《存儲行業深度報告:AI服務器存儲量價齊升算力需求推動HBM市場數倍增長-231122(81頁).pdf》由會員分享,可在線閱讀,更多相關《存儲行業深度報告:AI服務器存儲量價齊升算力需求推動HBM市場數倍增長-231122(81頁).pdf(81頁珍藏版)》請在三個皮匠報告上搜索。
1、 敬請閱讀末頁的重要說明 證券研究報告|行業深度報告 2023 年 11 月 22 日 推薦推薦(維持)(維持)存儲行業深度存儲行業深度報告報告 TMT 及中小盤/電子 AI 算力升級帶動服務器的算力升級帶動服務器的 CPU 迭代并提升迭代并提升 GPU 需求,帶動需求,帶動 AI 服務器存儲容服務器存儲容量和價值量較傳統服務器數倍增長。量和價值量較傳統服務器數倍增長。訓練型訓練型 AI 服務器中服務器中 GPU 承擔大部分算力,承擔大部分算力,算力算力要求推動了要求推動了 HBM 等新型存儲器等新型存儲器超百億超百億美元新興市場,進而美元新興市場,進而提升提升 Bumping、TSV、CoW
2、oS 等等先進封裝工藝需求先進封裝工藝需求,并帶來并帶來減薄、鍵合、減薄、鍵合、模塑、模塑、測試等設備以測試等設備以及及 EMC、電鍍液、電鍍液、PSPI 等材料的等材料的增量增量需求需求。疊加國內自主可控需求疊加國內自主可控需求持續增長持續增長,國內存儲及國內存儲及 HBM 等催生的先進封裝產業鏈發展空間巨大等催生的先進封裝產業鏈發展空間巨大。AI 服務器服務器 CPU 和和 GPU 隨算力需求而升級,對存儲器容量和價值量均有數倍隨算力需求而升級,對存儲器容量和價值量均有數倍拉動。拉動。傳統服務器以 CPU 作為算力核心,隨著 AI 訓練模型的算力要求不斷提升,CPU 的核心數、主頻、線程數
3、量均不斷提升,但僅靠 CPU 已經無法滿足算力需求,需要搭配 GPU 進行多線程數據處理,主流訓練型服務器一般搭配 8 個 GPU。AI 服務器用到的主要存儲器包括 CPU 內存、GPU 顯存和硬盤 NAND 等,存儲器容量和價值量均較普通服務器有數倍提升,1)DRAM:英偉達訓練型 AI 服務器中的 CPU DRAM 容量高達 2TB,另外單個 GPU 一般搭載 80GB 以上的 HBM 存儲器,AI 服務器 HBM 總容量預計超 640G,總內存容量相較普通服務器有 4-8 倍的提升,僅 CPU 內存價值量預計有 5 倍的提升,GPU 的 HBM 則為純增量市場;另外,服務器內存也在不斷迭
4、代,目前普通的服務器均多配備 DDR4,但最先進的 AI 服務器已經搭配了 DDR5 或LPDDR5;2)NAND:AI 服務器的硬盤容量高達 30TB,相較傳統服務器提升 2-4 倍,另外傳統服務器同時使用機械硬盤和固態硬盤(SSD),但 AI 服務器基本全部使用 SSD,整體價值量較普通服務器預計提升 10 倍左右。HBM 能夠突破能夠突破訓練型訓練型 AI 服務器的服務器的 GPU 帶寬極限,帶寬極限,2024 年增量空間預計超年增量空間預計超百億美元百億美元。HBM(High Bandwidth Memory)即高帶寬存儲器,是基于 2.5/3D封裝技術的一種新型 CPU/GPU 內存
5、芯片,將 DRAM Die 垂直堆疊,Die 之間通過 TSV 的方式連接。HBM 能夠以低功耗產生高帶寬,因此廣泛搭配訓練型 AI 服務器的 GPU 使用,訓練型 AI 服務器對 HBM 需求的拉動主要體現在:1)AI 服務器搭載服務器搭載 GPU 數量的提升數量的提升:由普通服務器的 2 個提升至目前的8 個;2)單個)單個 GPU 搭載搭載 HBM Stack 數量的提升:數量的提升:在 HBM1 方案中,單個GPU 搭載 4 個 HBM1,而在目前 HBM2e 或 HBM3 方案中,一般單個 GPU搭配 6 個 HBM Stack;3)HBM 堆疊的堆疊的 DRAM 層數和容量增多:層
6、數和容量增多:從 HBM1到 HBM3,單個 DRAM Die 密度從 2Gb 提升至 16Gb,堆疊高度從 4Hi 提升至 12Hi,單個 HBM 疊層容量從 1GB 提升至 24GB。Trendforce 預計 2025年全球服務器出貨量為 1700 萬臺,當前 AI 服務器滲透率大概不足 2%,假設2024 年 AI 服務器滲透率約 4%,按照每個 AI 服務器搭載 8 個 GPU、每個GPU 搭載 6 個共 80GB 至 100GB 及以上的 HBM Stack 的方案測算,那么2024 年 AI 服務器帶來的 HBM 增量空間預計超百億美元。AI 服務器的服務器的 GPU 采用采用
7、2.5D+3D 封裝工藝,推動封裝工藝,推動 TSV、CoWoS 等核心封裝等核心封裝技術需求。技術需求。HBM 和 GPU 采用 2.5D+3D 封裝工藝,根據 Yole,2021 年 HBM和 Si 中介層封裝市場規模合計約 14 億美元,預計 2027 年增至 35 億美元,其中 HBM 和硅中介層封裝市場分別增至 16.3 和 18.8 億美元。TSV(Through-Silicon Via)即硅通孔技術,順應 2.5D 封裝架構而產生,能夠以 行業規模行業規模 占比%股票家數(只)484 9.2 總市值(十億元)7599.2 9.3 流通市值(十億元)5976.7 8.4 行業指數行
8、業指數%1m 6m 12m 絕對表現 9.3 4.7 3.7 相對表現 7.3 13.9 8.7 資料來源:公司數據、招商證券 相關相關報告報告 1、半導體行業月度深度跟蹤:需求回暖疊加庫存改善,半導體產業鏈景氣復蘇趨勢明顯2023-11-07 2、三星電子 23Q3 跟蹤報告:存儲芯片觸底復蘇,看好 2024年手機等多終端需求改善2023-11-02 3、SK 海力士 23Q3 跟蹤報告:存儲行業全面復蘇,指引 AI 服務 器 和 HBM高 速 增 長 2023-11-01 4、美光 FY23Q4 跟蹤報告:存儲價格已逐步觸底,2024 年供 需 關 系 將 大 幅 改 善 2023-10-
9、09 5、存儲行業跟蹤報告:存儲拐點曙光初現,自主可控持續加速2023-04-04 鄢凡鄢凡 S1090511060002 曹輝曹輝 S1090521060001 -15-10-505101520Nov/22Mar/23Jul/23Oct/23(%)電子滬深300AIAI 服務器服務器存儲量價齊升存儲量價齊升,算力算力需求推動需求推動 HBMHBM 市場市場數倍增長數倍增長 敬請閱讀末頁的重要說明 2 行業深度報告 最低的能耗提供極高的帶寬和密度,是實現電路小型化、高密度、多功能化的首選解決方案。2.5D TSV 技術已經廣泛用于 AI GPU 基板上的 HBM 中,實現 DRAM 各層 Di
10、e 之間的連接,以及 HBM 芯片和下方的金屬凸塊之間的連接。CoWoS 工藝用于將 HBM 和硅中介層、封裝基板等進行整體封裝,當前臺積電處于領先地位,伴隨著谷歌 TPU、英偉達 GPU、AMD MI300 等均導入生成式 AI,臺積電 CoWoS 需求自 2022 年以來翻倍增長,目前持續供不應求,展望 2024 年將目前 CoWoS 產能翻倍。HBM 多層多層堆疊結構提升工序步驟,將帶動封裝設備和材料需求持續提升。堆疊結構提升工序步驟,將帶動封裝設備和材料需求持續提升。1)設備:設備:HBM 中大量增加前道工序,前道檢、量測設備主要增量來自微凸點、TSV、硅中介層等工藝,另外 HBM 中
11、增加的預鍵合晶圓級測試和 KGSD 相關的封裝級測試也帶動分選機、測試機、探針臺等后道測試設備的數量和精度提升;HBM 堆疊結構增多,要求晶圓厚度不斷降低,進而提升減薄、鍵合等設備需求;HBM 多層堆疊結構要求超薄晶圓和銅-銅混合鍵合工藝,增加了臨時鍵合/解鍵合以及混合鍵合設備需求,各層 DRAM die 的保護材料也非常關鍵,對注塑或壓塑設備提出較高要求;另外,諸如劃片機、固晶機、回流焊機/回流爐等傳統設備需求也均受益于 HBM 封裝帶來的工藝步驟提升和工藝變革帶來的價值量提升;2)材料:)材料:HBM 中芯片間隙采用 GMC(顆粒狀塑封料)或 LMC(液態塑封料)進行填充,GMC 最主要原
12、材料為球形硅微粉和球形氧化鋁;HBM 采用底部填充膠用于 FC 封裝工藝,采用 PSPI 作為硅中介層中 RDL 的再鈍化層;HBM 中的 Bumping、RDL、TSV 等引入前道工藝,帶來電鍍液用量提升;另外,HBM 也將提升電子粘合劑、封裝基板、壓敏膠帶等其他材料需求。投資建議。投資建議。相較傳統服務器,AI 服務器的存儲器容量和價值量均提升數倍,其中訓練型 AI 服務器 GPU 對帶寬要求顯著提升,催生了 HBM 等新型存儲器的增量需求。當前 DRAM、NAND、HBM 等份額均主要由海外原廠如三星、美光、SK 海力士等占據,HBM 的 CoWoS 封裝工藝主要由臺積電掌握,但考慮到
13、AI 對整個存儲產業鏈的拉動,疊加行業需求持續復蘇、國產自主可控需求持續提升,國內存儲及 HBM 等催生的先進封裝產業鏈發展空間巨大。建議關注先進封裝設備標的中科飛測、北方華創、中微公司、拓荊科技、華海清科、盛美上海、芯源微、精測電子、芯碁微裝、文一科技、至正股份、新益昌、光力科技、德龍激光、賽騰股份、耐科裝備、亞威股份、勁拓股份、邁為股份、奧特維、長川科技、華峰測控、金海通等;先進封裝材料標的鼎龍股份、安集科技、雅克科技、強力新材、天承科技、華海誠科、聯瑞新材、壹石通、飛凱材料、德邦科技、興森科技、生益科技、深南電路、神工股份、上海新陽、華正新材、方邦股份、回天新材、國風新材等;先進封裝標的
14、長電科技、通富微電、華天科技、深科技、太極實業、甬矽電子等;存儲芯片標的兆易創新、紫光國微、復旦微電、北京君正、聚辰股份、普冉股份、東芯股份、恒爍股份、上海貝嶺等;存儲模組及主控標的江波龍、佰維存儲、朗科科技、德明利、國科微等;存儲經銷標的香農芯創、雅創電子等;存儲及 HBM 配套標的國芯科技、瀾起科技、創益通等。風險提示:風險提示:AI 服務器滲透率提升不及預期、存儲行業復蘇不及預期、國產替服務器滲透率提升不及預期、存儲行業復蘇不及預期、國產替代進程不及預期、研發進展不及預期的風險。代進程不及預期、研發進展不及預期的風險。敬請閱讀末頁的重要說明 3 行業深度報告 表表 1:AI 服務器服務器
15、存儲存儲及先進封裝產業鏈及先進封裝產業鏈上市上市標的標的 產業環節產業環節 公司公司 海外標的 存儲原廠:SK 海力士、三星、美光 設備:Camtek、Onto、AMAT、KLA、DISCO、SUSS、EVG Group、BESI、TOWA、YAMADA、ASMPT 等 材料:住友電木、昭和電工、美國杜邦、漢高、Cabot 等 先進封裝相關設備 中科飛測、北方華創、中微公司、拓荊科技、華海清科、盛美上海、芯源微、精測電子、芯碁微裝、文一科技、至正股份、新益昌、光力科技、德龍激光、賽騰股份、耐科裝備、亞威股份、勁拓股份、邁為股份、奧特維、長川科技、華峰測控、金海通等 先進封裝相關材料 鼎龍股份、
16、安集科技、雅克科技、強力新材、天承科技、華海誠科、聯瑞新材、壹石通、飛凱材料、德邦科技、興森科技、生益科技、深南電路、神工股份、上海新陽、華正新材、方邦股份、回天新材、國風新材等 先進封裝 長電科技、通富微電、華天科技、深科技、太極實業、甬矽電子等 存儲芯片 兆易創新、紫光國微、復旦微電、北京君正、聚辰股份、普冉股份、東芯股份、恒爍股份、上海貝嶺等 存儲模組 及主控 江波龍、佰維存儲、朗科科技、德明利、國科微等 存儲經銷 香農芯創、雅創電子等 存儲配套 國芯科技、瀾起科技、創益通等 資料來源:公司官網,招商證券整理 以下標的為機械組覆蓋:以下標的為機械組覆蓋:光力科技、賽騰股份、亞威股份、勁拓
17、股份、邁為股份、奧特維、華峰測控;以下標的為化工組覆蓋:以下標的為化工組覆蓋:鼎龍股份、雅克科技、強力新材、聯瑞新材、壹石通、飛凱材料、回天新材、國風新材;以下標的為電子以下標的為電子&機械組聯合:機械組聯合:耐科裝備、長川科技、金海通;以下標的為電子以下標的為電子&化工化工組聯合:組聯合:安集科技、華海誠科、德邦科技。敬請閱讀末頁的重要說明 4 行業深度報告 正文正文目錄目錄 一、一、AI 服務器相較普通服務器算力明顯提升,存儲量價均有數倍增長空間服務器相較普通服務器算力明顯提升,存儲量價均有數倍增長空間.9 1、傳統服務器采用 CPU 作為算力來源,一般配置 DDR4 內存和 SATA/S
18、AS 等 類型 SSD.9 2、AI 服務器中 CPU+GPU 協同工作,存儲容量和價值量相較傳統服務器均有數 倍提升.12 3、存算一體突破存儲和功耗“雙墻”瓶頸,催生 2.5/3D 封裝及新型存儲器需求16 二、二、HBM 新型存儲器突破帶寬極限,訓練型新型存儲器突破帶寬極限,訓練型 AI 服務器推動超百億美金增量市場服務器推動超百億美金增量市場.19 1、HBM 用于高帶寬和高容量場景中,已普遍搭配主流 AI 訓練芯片使用.19 2、HBM 容量、帶寬、速度持續升級,訓練型 AI 服務器將帶來 HBM 超百億美元 增量市場.21 三、三、HBM 采用采用 2.5D+3D 封裝,核心工藝包
19、括封裝,核心工藝包括 TSV、CoWoS 等等.26 1、HBM 和 Si 中介層封裝遠期市場預計數倍增長,核心封裝工藝包括 Bumping、TSV、CoWoS 等.26 2、凸塊制造(Bumping)是扇入/扇出、FC 等封裝必要環節,推動沉積、涂膠 顯影、電鍍等前道工藝需求增長.28 3、TSV 用于 DRAM Die 之間及 HBM 和 Interposer 之間的互聯,為電鍍、鍵合 等工藝帶來較多增量.30 4、CoWoS 用于英偉達 AI GPU 的整體封裝,生成式 AI 有望推動 2024 年 CoWoS 需求翻倍以上增長.33 5、FC 工藝用于邏輯 die 與硅中介層連接,相較
20、傳統引線鍵合方式大大縮短信號 路徑.35 四、四、HBM 多層堆疊結構提升前后道工藝價值量,量測、電鍍、鍵合等設備需求多層堆疊結構提升前后道工藝價值量,量測、電鍍、鍵合等設備需求倍增倍增.37 1、測試:用于 Bump、TSV、KGSD 等環節,HBM 工藝步驟增多帶來前、后道 測試需求提升.39(1)前道檢/量測:核心增量來自 Bumping、TSV、RDL 工藝.39(2)后道測試:針對堆疊晶圓增加預鍵合測試,針對 KGSD 增加多種封裝測試 流程.41 2、減?。喝驕p薄設備市場空間超 8 億美元,HBM 多層堆疊結構提升設備需求 .43 敬請閱讀末頁的重要說明 5 行業深度報告 3、互
21、連:超薄晶圓增加臨時鍵合/解鍵合需求,混合鍵合市場有望指數級增長45 4、模塑:用于對芯片進行塑封保護,HBM 采用注塑或壓縮式工藝進行塑封.48 五、五、HBM 提升提升 EMC、電鍍液、電鍍液、PSPI 等材料需求,等材料需求,CXL 搭配搭配 HBM 有望突破有望突破 CPU內存極限內存極限.50 1、環氧塑封料:用于保護芯片,GMC 和 LMC 及原材料均受益于 HBM 需求增 長.50 2、電鍍液:用于形成 HBM 銅凸塊、TSV 等結構,全球市場空間約 10 億美元52 3、PSPI:用于 HBM 的硅中介層,形成 RDL 的再鈍化層.53 4、封裝基板:用于 HBM 硅中介層下方
22、,實現內部芯片與外部電路間的電氣連接 .54 5、CXL:能夠突破 CPU 內存極限,未來將越來越多搭配 HBM 使用.55 六、海外廠商較早布局六、海外廠商較早布局 HBM,三大存儲原廠占據,三大存儲原廠占據 HBM 主要市場份額主要市場份額.57 1、SK 海力士:開發 MR-MUF 技術,HBM 市場份額領先.57 2、三星:開創 I-Cube 及 X-cube 先進封裝工藝,2024 年 HBM 產能將提高 2.5 倍.59 3、美光:計劃通過 HBM3E 實現彎道超車,正開發 HBM Next 產品.61 七、投資建議七、投資建議.62 1、全球先進封裝設備及材料份額主要被海外占據,
23、AI 需求激增帶來部分廠商創 紀錄的先進封裝訂單.62 2、HBM 等先進封裝對工藝、設備、材料需求均提升,國內廠商在相關領域不斷 導入.69 3、AI 服務器對存儲容量有數倍拉動,行業邊際復蘇和自主可控趨勢帶動國內需 求增長.76 八、風險提示八、風險提示.79 圖表圖表目錄目錄 圖 1:浪潮通用服務器 NF5280M6 邏輯架構.9 圖 2:CPU 內存工作原理.10 圖 3:n-bit prefetch 技術原理.10 圖 4:DRAM 的演進過程.11 圖 5:機械硬盤結構.11 敬請閱讀末頁的重要說明 6 行業深度報告 圖 6:固態硬盤 SSD 結構.11 圖 7:服務器中 RAID
24、 卡工作原理.12 圖 8:RAID 5 結構.12 圖 9:CPU 和 GPU 的資源分布對比.12 圖 10:訓練 Transformer 模型的計算要求.12 圖 11:AI 訓練模型的工作方式.13 圖 12:Intel XEON CPU 技術路線圖.13 圖 13:英偉達 DGX H100 邏輯結構圖.13 圖 14:不同類型服務器成本占比.15 圖 15:E5 高配 X86 服務器成本占比.15 圖 16:存算一體技術發展趨勢.17 圖 17:HBM 結構圖.19 圖 18:HBM3 具備 12 層 DRAM die.19 圖 19:HBM 和 GDDR 方案對比.20 圖 20:
25、1GB HBM 和 GDDR5 芯片面積對比.20 圖 21:GDDR5 和 HBM 對比.20 圖 22:搭載 HBM1 的 GPU 基板結構圖.22 圖 23:DGX A100 GPU 基板結構圖.22 圖 24:英偉達改進前的 GH200 Grace Hopper.23 圖 25:英偉達改進前的 GH200 Grace Hopper 結構圖(單個 GPU 含 480GB LPDDR5X 和 96GB HBM3)24 圖 26:英偉達 H200 Grace Hopper.24 圖 27:HBM 結構圖及用到的封裝工藝.27 圖 28:HBM 中 DRAM die 堆疊的微觀結構.27 圖
26、29:2021-2027 年高端封裝工藝市場規模.28 圖 30:凸塊分布在整個芯片表面.28 圖 31:凸塊的微觀結構(銅柱凸塊).28 圖 32:錫基無鉛焊料和銅柱凸塊結構對比.29 圖 33:銅柱凸塊工藝流程.30 圖 34:HBM(4 層 DRAM+1 層邏輯)99.5%鍵合良率成本構成.31 圖 35:HBM(4 層 DRAM+1 層邏輯)99%鍵合良率成本構成.31 圖 36:TSV 工藝流程.32 圖 37:TSV 制造成本構成(via-middle 工藝).32 敬請閱讀末頁的重要說明 7 行業深度報告 圖 38:2.5/3D 封裝示意圖.33 圖 39:HBM 中的 CoWo
27、S 工藝示意圖.33 圖 40:CoWoS-R 工藝.34 圖 41:CoWoS-L 工藝.34 圖 42:2021 年全球主要廠商用于高端高性能封裝的市場規模.35 圖 43:壁仞科技 BR-100 芯片采用 CoWoS 封裝工藝.35 圖 44:FC 封裝較焊盤封裝大大縮短信號路徑.36 圖 45:倒裝鍵合回流焊工藝流程.36 圖 46:HBM 工藝流程及設備需求.37 圖 47:硅中介層工藝流程.38 圖 48:半導體質量控制環節根據集成電路制造工藝的劃分.39 圖 49:2022 年全球半導體前道設備占比.40 圖 50:全球半導體檢測和量測設備市場規模.40 圖 51:HBM 結構
28、Bumping 節點存在的問題.40 圖 52:TSV 工藝中增加的檢測和量測步驟.41 圖 53:RDL 橫截面和布線結構.41 圖 54:普通 DRAM 和 HBM 測試流程對比.43 圖 55:全球晶圓減薄機市場規模.44 圖 56:2021-2025 年減薄后晶圓數量.44 圖 57:多芯片封裝結構中減薄后的芯片厚度.45 圖 58:W2W 鍵合過程及后續工藝流程.45 圖 59:晶圓鍵合設備流程.45 圖 60:D2W 鍵合工藝.46 圖 61:采用微凸塊和混合鍵合工藝對比.47 圖 62:引線鍵合采用的傳遞式模塑工藝.48 圖 63:多疊層封裝采用的壓縮式模塑工藝.49 圖 64:
29、EMC 應用場景.50 圖 65:MR-MUF 技術的焊接溫度(Tj)比 TC-NCF 技術低 14.51 圖 66:鋁互聯和銅互聯需要的不同工藝流程.53 圖 67:CoWoS 工藝 RDL 布線中的 PSPI.54 圖 68:經過封裝工藝處理過的基板.55 圖 69:CXL 工作原理.56 敬請閱讀末頁的重要說明 8 行業深度報告 圖 70:CXL 連接的三類設備.56 圖 71:海外廠商 HBM 產品技術路線規劃.57 圖 72:SK 海力士最新封裝技術.58 圖 73:回流焊工藝中產生的熱翹曲和自由偏移問題.59 圖 74:I-Cube S.60 圖 75:I-Cube E.60 圖
30、76:X-Cube(微凸塊方案).60 圖 77:X-Cube(銅混合鍵合方案).60 圖 78:三星 HBM4 路線圖.60 圖 79:美光 HBM 路線圖.61 圖 80:Camtek 營收及增速.63 圖 81:2022 年 Camtek 營收結構.63 圖 82:Camtek 主要客戶.63 圖 83:BESI 產品矩陣.64 圖 84:BESI 面向的市場及份額.65 圖 85:SUSS 產品應用.66 圖 86:TOWA CPM1080 全自動塑封機.67 圖 87:日本住友化學模塑料和零件產品.69 圖 88:DXI 指數.76 敬請閱讀末頁的重要說明 9 行業深度報告 一、一、
31、AI 服務器相較普通服務器算力明顯提升,存儲服務器相較普通服務器算力明顯提升,存儲量價均有數倍增長空間量價均有數倍增長空間 1、傳統服務器采用、傳統服務器采用 CPU 作為算力來源,一般配置作為算力來源,一般配置 DDR4內存和內存和 SATA/SAS 等類型等類型 SSD 傳統服務器以傳統服務器以 CPU 作為算力來源,采用提高核心數來提升算力作為算力來源,采用提高核心數來提升算力。傳統服務器組件包括 CPU、內存、硬盤、RAID 卡、網卡等,搭配 BMC 管理系統,以及電源、主板、機箱等基礎硬件。按照外形分類,服務器可分為塔式、刀片、機架和高密度服務器,按照處理器數量,可分為單路、雙路及多
32、路。傳統服務器以 CPU 提供算力,擅長邏輯和浮點型計算等,服務器的算力提升主要依靠 CPU 增加核心數量。圖圖 1:浪潮通用服務器:浪潮通用服務器NF5280M6邏輯架構邏輯架構 資料來源:浪潮信息官網,招商證券 CPU 和內存是普通服務器邏輯架構中最重要的部分,等效頻率是內存的核心指和內存是普通服務器邏輯架構中最重要的部分,等效頻率是內存的核心指標。標。在服務器中,CPU 負責對數據進行邏輯運算,隨著 CPU 主頻、核心和線程數量的不斷提升,CPU 運算處理的速度已經遠超硬盤的讀寫速度,因此需要 CPU內存作為橋梁來暫時存放 CPU 中的運算數據,并與硬盤等外部存儲器交換數據,敬請閱讀末頁
33、的重要說明 10 行業深度報告 CPU 和內存之間通過內存總線進行通信。由于內存是能夠傳輸和儲存數據的中轉站,傳輸速度和處理性能尤其重要,核心指標為頻率。內存的頻率分為三種,核心頻率:核心頻率:指內存顆粒核心的時鐘頻率,核心頻率是固定的;工作頻率:工作頻率:指內存顆??偩€的時鐘頻率;等效頻率:等效頻率:指內存實際的數據傳輸率,也被稱為內存速率。圖圖2:CPU 內存工作原理內存工作原理 圖圖3:n-bit prefetch 技術原理技術原理 資料來源:美科安防科技、招商證券 資料來源:華為云官網、招商證券 服務器的服務器的 DRAM 類型類型伴隨伴隨 CPU 而而升級,升級,目前服務器標配目前服
34、務器標配 DDR4 或或 DDR5。SDRAM 最早出現,為了與 CPU 的計時同步而設計,在一個周期內,SDRAM只能在升的時候進行數據傳輸,即只能讀寫一次;改進后的 DDR 能夠在升和降兩個階段進行數據傳輸,所以等效頻率相較 SDRAM 增加 1 倍;DDR1 到 DDR3的升級主要在于預讀取的能力,預讀取從 1bit 上升至 8bit,每進步一代,預讀取能力增加一倍,相當于一次讀寫的數據數量增加一倍,即工作頻率翻倍;相較DDR3,DDR4 采用了 2-4 個 Bank Group 組的設計,即在同一脈沖工作周期內,DDR4 最多可以處理 2-4 組數據,相當于等效工作頻率提升 2-4 倍
35、;DDR5 將預讀取數提升至 16bit,等效頻率再次翻倍。從當前服務器型號來看,傳統服務器的 CPU 內存一般標配 DDR4,最先進的 AI 服務器 CPU 則配備了 DDR5 或LPDDR5。表表 2:各代:各代 DDR 型號對比型號對比 規格規格 內核頻率(內核頻率(MHz)工作頻率(工作頻率(MHz)預讀取預讀取 等效頻率(等效頻率(MT/s)傳輸速率(傳輸速率(GB/s)電壓(電壓(V)SDRAM 133/166/200 133/166/200 1n 133-166 0.8-1.3 3.3 DDR 133/166/200 133/166/200 2n 266/333/400 2.1-
36、3.2 2.5-2.6 DDR2 133/166/200 266/333/400 4n 533/667/800 4.2-6.4 1.8 DDR3 133/166/200 533/664/800 8n 1066/1333/1600 8.5-14.9 1.35/1.5 DDR4 133/166/200 1066/1333/1600 8n 2133/2666/3200 17-21.3 1.2 DDR5 133/166/200 3200 16n 6400 最大 67.2 1.1 資料來源:美光、三星等官網,招商證券 GDDR 在在 DDR 的基礎上發展形成,主要用于的基礎上發展形成,主要用于 PC 端
37、的顯卡。端的顯卡。GDDR/GDDR2 基于原有的內存技術,但無法滿足 GPU 對高性能存儲的需求,進而推動了 GDDR3作為第一款專為 GPU 而設計的存儲器的誕生。GDDR3 采用 4-bit 預讀取,能夠實現讀寫操作的快速切換;GDDR4 采用 8-bit 預讀取技術,但由于 NVIDIA 不愿跟進,最終并未得到廣泛應用;GDDR5 使用了雙 DQ 總線,實現了雙倍的接口帶寬,自 GDDR5 開始,GDDR 廣泛用于 PC 顯卡中,但由于傳統服務器中不配備 GPU,因此 GDDR 并未在傳統服務器中得到使用。敬請閱讀末頁的重要說明 11 行業深度報告 圖圖 4:DRAM 的演進過程的演進
38、過程 資料來源:CSDN、維基百科,招商證券整理 服務器硬盤服務器硬盤主要包括主要包括 HDD 和和 SSD,SSD 能夠大幅提升服務器性能能夠大幅提升服務器性能。目前服務器的硬盤主要包括 SATA/SAS 等機械硬盤(HDD)以及固態硬盤(SSD)等,HDD 主要由機械驅動,包括馬達、盤片、磁頭搖臂等部件,盡管容量不斷提升,但磁盤記錄方式并未改變,存儲系統的發展越來越落后于 CPU 的發展速度;SSD固態硬盤沒有機械結構,主要由主控-內存-固件算法構成,SSD 以區塊寫入和擦除的方式實現讀寫的功能,具備低功耗、穩定性高、耐震耐低溫等特點,可以大幅提升服務器性能,更適合工業自動化、嵌入式移動設
39、備和 AI 推理/訓練服務器等應用。圖圖5:機械硬盤結構機械硬盤結構 圖圖6:固態硬盤固態硬盤 SSD 結構結構 資料來源:CSDN、招商證券 資料來源:什么值得買社區、招商證券 RAID 卡可實現對固態硬盤數據的冗余保護,已成為卡可實現對固態硬盤數據的冗余保護,已成為 AI 服務器的重要配置。服務器的重要配置。RAID(Redundant Array of Independent Disks),即獨立硬盤冗余陣列,意為很多塊獨立磁盤構成的磁盤組,主要具備如下功能:通過對硬盤上數據的條帶化,實現對數據成塊存取,減少硬盤的機械尋道時間,提高數據存取速度;通過對一個陣列的幾塊硬盤同時讀取,減少硬盤
40、的機械尋道時間,提高數據存取速度;通過鏡像或存儲奇偶校驗信息的方式,實現對數據的冗余保護。由于硬件 RAID是采用集成的陣列卡或專用的陣列卡來控制硬盤驅動器,因此可以極大節省服務器系統 CPU 和操作系統的資源,目前 RAID 卡已成為 AI 服務器的標配。敬請閱讀末頁的重要說明 12 行業深度報告 圖圖7:服務器中服務器中 RAID 卡工作原理卡工作原理 圖圖8:RAID 5 結構結構 資料來源:CSDN、招商證券 資料來源:CSDN、招商證券 2、AI 服務器中服務器中 CPU+GPU 協同工作協同工作,存儲容量和價值量相存儲容量和價值量相較傳統服務器均有數倍提升較傳統服務器均有數倍提升
41、AI 訓練模型算力提升速度突破極限,目前訓練模型算力提升速度突破極限,目前英偉達英偉達訓練型訓練型 AI 服務器一般配備服務器一般配備 8 個個GPU。隨著以 chatGPT 為代表的 AI 的發展,訓練 GPT-3、Megatron-Turing NLG 530B 等超大語言模型所要求的算力提升速度已經突破了后摩爾定律算力提升速度的極限,盡管 CPU 不斷升級,但 CPU 制程以及單個 CPU 和核心數量接近極限,僅依靠 CPU 無法滿足算力需求。CPU 的內核數量大約數十個,但 GPU 具備成千上萬個 CUDA 核心,因此 GPU 多個內核決定了其能夠在相同的價格和功率范圍內,比 CPU
42、提供更高的指令吞吐量和內存帶寬,GPU 能夠并行執行成千上萬個線程(攤銷較慢的單線程性能以實現更大數據吞吐量)。在訓練 AI 模型的過程中,需要同時對所有樣本數據執行幾乎相同的操作,GPU 架構設計能夠很好滿足 AI 場景需求。AI 服務器相較通用服務器的一個明顯差別之一是增加了GPU,通用服務器一般含有 1-2 個 CPU、不含 GPU,而當前英偉達訓練型 AI服務器一般搭載 8 個 GPU。圖圖9:CPU 和和 GPU 的資源分布對比的資源分布對比 圖圖10:訓練訓練 Transformer 模型的計算要求模型的計算要求 資料來源:英偉達、CSDN、招商證券 資料來源:英偉達、招商證券 敬
43、請閱讀末頁的重要說明 13 行業深度報告 AI 服務器服務器 GPU 需要需要 CPU 來進行指令,模型算力提升帶動來進行指令,模型算力提升帶動 CPU 核心、主頻等提核心、主頻等提升。升。在 AI 服務器的 GPU 模式下,模型訓練一般分為 4 步,將輸入數據從系統內存拷貝到顯存;CPU 指示 GPU 處理數據;GPU 并行完成一系列計算;將計算結果從顯存拷貝到內存。雖然 GPU 并行能力優異但無法單獨工作,必須由 CPU 進行控制調用,CPU 可以獨立工作并直接訪問內存數據完成計算。因此在 AI 服務器中,GPU 和 CPU 需要協同工作,訓練模型所需算力升級也將帶動 CPU 技術升級,例
44、如在英偉達 DGX-2 服務器中,采用英特爾第三代至強處理器 8168,主頻大約 2.7GHz,核心數量為 24 個;在英偉達 DGX H100 服務器中,搭載英特爾第四代至強處理器 8480C,主頻提升至最高 3.8GHz,CPU 核心數量提升至大約 56 個。圖圖11:AI 訓練模型的工作方式訓練模型的工作方式 圖圖12:Intel XEON CPU 技術路線圖技術路線圖 資料來源:CSDN、招商證券 資料來源:Intel、招商證券 AI 服務器服務器存儲器容量伴隨存儲器容量伴隨 CPU/GPU 的升級而提升的升級而提升,相較傳統服務器,相較傳統服務器有數倍提有數倍提升升。最先進的 AI
45、服務器盡管增加了大量 GPU 需求,但存儲器的數據存儲方式、總線連接方式均和普通服務器相近,CPU 的運行數據寫入 DRAM 中,CPU 和GPU 產生的數據共同寫入 NAND 中。AI 服務器將提升內存、顯存的工作頻率和帶寬等,帶動存儲容量明顯上升。圖圖 13:英偉達:英偉達 DGX H100 邏輯結構圖邏輯結構圖 資料來源:英偉達,招商證券 敬請閱讀末頁的重要說明 14 行業深度報告 DRAM:AI 服務器服務器 CPU+GPU 相較普通相較普通/高性能服務器對高性能服務器對 DRAM 容量大約容量大約有有 3-8 倍的拉動。倍的拉動。當前服務器一般配 1-2 個 CPU,每個 CPU 一
46、般標配 DDR4內存,普通雙路服務器用于打印、網絡管理、數據記錄等場景,一般配備1-2個CPU,每個CPU周圍搭配大約10個內存插槽,按照單個DDR4 32GB容量計算,每個 CPU 搭配的內存容量大約不超過 320GB,系統容量不超過640GB;根據浪潮官網,高性能服務器用于涉及高密度計算的企業端,例如搭載雙路 CPU 的 NF5280M6 型號服務器單個 CPU 插槽數量為 16 個,按照每個 DDR4 32GB、插滿內存條計算,系統的內存容量可達 1TB;英偉達AI 服務器 CPU 均標配 2TB 的 DDR4/DDR5;另外,AI 服務器增加了 GPU的數量,每個 DGX A100 和
47、 H100 均需要搭配 80GB 容量的 HBM,系統整體需要 640GB 的容量。綜上所述,從容量上看,按照普通服務器綜上所述,從容量上看,按照普通服務器 CPU 內內存存 320-640GB 容量計算,容量計算,AI 服務器對服務器對 DRAM 容量的提升大約為容量的提升大約為 4-8 倍;倍;按照高性能服務器按照高性能服務器 CPU 內存內存 1TB 容量計算,容量計算,AI 服務器對服務器對 DRAM 容量的提容量的提升大約為升大約為 2.5-3 倍。倍。NAND:AI 服務器相較普通服務器相較普通/高性能服務器對高性能服務器對 NAND 容量大約有容量大約有 2-4 倍的拉倍的拉動。
48、動。由于機械硬盤持續進行成本優化,普通服務器依然會配備較多的機械硬盤,而高性能服務器和 AI 服務器對于存儲速度、準確性等提出更高要求,因此主要配備固態硬盤。從容量上看,傳統服務器 NP5570M5 最多支持 16塊 2.5 英寸 SAS 硬盤或 4 塊 3.5 英寸 SATA 硬盤,SAS 硬盤支持300GB/600GB/1TB/1.2TB/1.8TB/2.4TB 容量,SATA 硬盤支持 1/2/4/6/8TB容量,按照中間容量測算,系統硬盤容量大約共 8-16TB;高性能 NF5280M6型號最大支持 20 塊 3.5 英寸硬盤,系統硬盤容量大約 20TB;AI 服務器采服務器采用的硬盤
49、容量大約用的硬盤容量大約 30TB,相較傳統服務器,相較傳統服務器 NAND 容量提升大約容量提升大約 2-4 倍。倍。表表 3:服務器型號對比:服務器型號對比 項目項目 浪潮通用型浪潮通用型 浪潮雙路塔式浪潮雙路塔式 浪潮高性能浪潮高性能 英偉達英偉達 AI 英偉達英偉達 AI 英偉達英偉達 AI 服務器型號 NF5270M5 NP5570M5 NF5280M6 DGX-2 DGX A100 DGX H100 SXM5 應用場景 電信、金融、企業等 郵件、文件、打印服務,網絡管理,數據記錄和管理、桌面虛擬化 各行業場景 云與數據中心 云與數據 中心 云與數據中心 CPU 型號 Xeon Si
50、lver 4214 英特爾雙路 Xeon E5-26XX V3 系列 第三代英特爾至強可擴展處理器()英特爾 Xeon Platinum 8168 AMD Rome 7742 英特爾 Xeon Platinum 8480C CPU 數量 1-2 1-2 1-2 2 2 2 CPU 主頻 2.2-3.2GHz 2.5GHz 最高 3.6GHz 2.7GHz 最高 3.4GHz 最高 3.8GHz CPU 核心 12 12 40 24 64 56 CPU 線程 24 24 80 48 128 112 CPU 內存類型 DDR4 DDR4 DDR4 DDR4 DDR4 最高 DDR5 最大插槽數量
51、16 個 20 個 32 個 32 個 32 個 32 個 最大等效頻率 2666MT/s 2133MT/s 3200MT/s 2666MHz 3200MHz 2400MHz 總容量 最大 1TB 最大 640GB 隨 DDR 容量變化 2TB 2TB 2TB GPU 型號/Tesla V100 A100 H100 GPU 數量/16 8 8 GPU 顯存類型/HBM2 HBM2E HBM3 數量/每個 GPU 配4 個 每個 GPU 配6 個 每個 GPU 配 6 個 總容量/512GB 640GB 640GB 敬請閱讀末頁的重要說明 15 行業深度報告 項目項目 浪潮通用型浪潮通用型 浪潮
52、雙路塔式浪潮雙路塔式 浪潮高性能浪潮高性能 英偉達英偉達 AI 英偉達英偉達 AI 英偉達英偉達 AI 硬盤 前部最大支持 25 塊2.5 英寸SAS/SATA/SSD 硬盤或 12 塊 3.5 英寸SAS/SATA/SSD 硬盤,前部最大支持 4塊 NVMe SSD;后部最大支持 2 塊SAS/SATA/SSD/M.2 SATA SSD 最大支持 16 塊 2.5寸 SAS 硬盤或者SATA SSD 或 4 塊3.5 寸 SATA 硬盤 最大支持 20塊 3.5 英寸硬盤或39塊2.5英寸 NVMe SSD 硬盤 操作系統:960GB NVMe SSD 內部存儲:30TB(8*3.84TB)
53、NVMe SSD 操作系統:2個 1.92TB NVME SSD 內部存儲:15TB(4*3.84TB)NVMe SSD,可擴展至30TB 操作系統:2 塊1.9TB NVMe M.2 SSD 內部存儲:8*3.84TB NVMe U.2 SSD 資料來源:浪潮信息和英偉達官網,招商證券 AI 服務器的服務器的 GPU 和存儲價值量均明顯提升,和存儲價值量均明顯提升,GPU 價值量占比最高。價值量占比最高。1)基礎型服務器:)基礎型服務器:根據 IDC,基礎型服務器中 CPU、內存和硬盤的占比最高,根據英特爾,典型的 x86 服務器E5 高配服務器的成本中硬盤、CPU 和內存成本占比分別為 3
54、1%、28%和 21%。例如浪潮 NF5270M5 售價大約 39000 元,采用 2 顆 Xeon Silver 4214 CPU,每顆售價大約 1000 美金,CPU 成本占比大約32%;配置 16 個 32GB DDR4,按單 GB 成本 3 美金計算,DRAM 成本占比大約 26%;最大支持 25 塊 2.5 英寸硬盤,按照每塊硬盤 512GB、1TB SATA 40美元計算,硬盤總價值量占比大約 20%;2)AI 服務器:服務器:以英偉達 DGX A100 系統為例,售價大約 19.5 萬美金,含有 2顆 AMD Rome 7742,每顆售價大約 7000 美金,價值量占比大約 7%
55、;含有 8顆A100 GPU,每顆GPU售價大約1-1.5萬美元,GPU價值量占比大約40-50%;CPU 采用 2TB 的 DDR4,價值量占比大約 3-4%;GPU 配置共 640GB HBM2E,假設單 GB 價值量 15-20 美金,價值量占比大約 5-8%;操作系統配備 2 塊 1.9TB SSD,內部配備 8 塊 3.84TB SSD,按照 1 塊 1.92TB 數據中心 SSD 650 美元、1 塊 3.84TB 數據中心 SSD 1200 美元測算,硬盤價值占比大約 6%。綜上所述,從價值量來看,綜上所述,從價值量來看,AI 服務器中服務器中 CPU 內存和內存和 SSD 的價
56、值量相較于普通的價值量相較于普通雙路服務器預計均提升雙路服務器預計均提升 5 倍左右,倍左右,GPU 顯存為純增量市場。顯存為純增量市場。圖圖14:不同類型服務器成本占比不同類型服務器成本占比 圖圖15:E5 高配高配 X86 服務器成本占比服務器成本占比 資料來源:IDC、招商證券 資料來源:英特爾、招商證券 0%10%20%30%40%50%60%70%80%90%100%基礎型高性能型機器學習型CPUGPU內存硬盤其他31%28%21%8%7%5%硬盤CPU內存主板RAID+顯卡其他 敬請閱讀末頁的重要說明 16 行業深度報告 表表 4:AI 服務器價值增量測算服務器價值增量測算 項目項
57、目 雙路雙路 NF5270M5 DGX A100 價值倍數價值倍數 總價格 39000 元(5700 美元)195000 美元 34x CPU 2000 美元 14000 美元 7x CPU占比 32%7%GPU/80000120000 美元/GPU占比/4060%CPU-內存 1500 美元 7000 美元 5x 內存占比 26%4%GPU-顯存/15000 美元 顯存占比/8%硬盤 HDD/SSD 1000 美元 10000 美元 10 x 硬盤占比 20%6%資料來源:CF 閃存市場、AMD、Intel、英偉達,招商證券,注:通用和 AI 服務器不同型號配置差距很大,另外服務器的內存插槽
58、和硬盤也可選配不同數量,因此按照通用服務器和 AI 服務器的一般配置來對比 3、存算一體突破存儲和功耗“雙墻”瓶頸,催生、存算一體突破存儲和功耗“雙墻”瓶頸,催生 2.5/3D 封封裝及新型存儲器需求裝及新型存儲器需求 傳統服務器傳統服務器計算芯片與存儲器采用并行架構,“存儲墻”和“功耗墻”分別限制計算芯片與存儲器采用并行架構,“存儲墻”和“功耗墻”分別限制數據訪問速度提升和數據訪問能耗下降數據訪問速度提升和數據訪問能耗下降。在馮-諾依曼架構中,計算和存儲功能分別由 CPU 和存儲器完成,數據從存儲單元外的存儲器中獲取,處理完畢后再寫回存儲器,計算核心與存儲器之間有限的總帶寬直接限制了交換數據
59、的速度,處理器速度和訪問速度的差異進一步減緩處理速度。一方面,處理器和存儲器制程、工藝不同,性能差距逐漸增大,存儲器數據訪問速度遠低于 CPU 的數據處理速度,即形成“存儲墻”;另一方面,數據搬運的能耗比浮點計算高 1-2 個數量級,DRAM 的訪問功耗可達芯片內一級功耗的 50-100 倍,進一步增加了數據訪問功耗。存算一體架構可部分解決“雙墻”問題,存算一體架構可部分解決“雙墻”問題,主要包括近存計算和存內計算主要包括近存計算和存內計算。1)近)近存計算:存計算:主要包括光互聯、2.5/3D 封裝等形式,其中 2.5/3D 封裝可以提高系統集成度,增大并行帶寬或利用串行傳輸提升存儲帶寬。典
60、型的產品形態為高帶寬典型的產品形態為高帶寬內存(內存(High Bandwidth Memory,HBM),),將內存顆粒通過硅通孔(TSV)多層堆疊實現存儲容量提升,同時基于硅中介板的高速接口與計算單元互聯提供高帶寬存儲服務;2)存內計算:)存內計算:主要包括存儲內計算(In-Storage Computing,ISC),即在固態硬盤中等加入 FPGA、ARM 處理核等計算單元,承擔如數據壓縮、搜索、視頻文件轉碼等本地處理,減少遠端處理器如 CPU 的負載;內存內計算(In-Memory Computing,IMC),數據直接在內存中計算后返回,通過將存儲層和邏輯層堆疊實現高帶寬計算。典型產
61、品形態包括 HBM-PIM 和PIM-DIMM,在 DRAM die 中內置 AI 等處理單元,提供大吞吐低延遲片上處理能力。敬請閱讀末頁的重要說明 17 行業深度報告 圖圖 16:存算一體技術發展趨勢:存算一體技術發展趨勢 資料來源:億鑄科技,招商證券 存內計算電路可基于易失性和非易失性存儲器實現,未來存內計算電路可基于易失性和非易失性存儲器實現,未來 RRAM、MRAM 等新等新型存儲器件有望得到更多應用。型存儲器件有望得到更多應用。易失性存儲器包含 SRAM 等,非易失存儲器包含 NOR Flash、阻變隨機存儲器(Resistive Random Access Memory,RRAM)
62、、磁性隨機存儲器(Magnetoresistive Random Access Memory,MRAM)、相變存儲器(Phase Change Memory,PCM)等。SRAM:常用于 CPU 中的緩存,其讀寫速度快、無讀寫次數限制,且工藝成熟,器件的一致性和穩定性較好,缺點是價格相對昂貴、多晶體管單元結構下存儲密度較低、需要通電以保持數據,因此芯片面積大、功耗較高;NOR:基于 NOR 的存內計算芯片具有存儲密度大、工藝成熟、成本低等優點,業內主要利用其多比特存儲特性進行模擬存內計算,相關產品在智能終端與邊緣場景實現小批量商用。目前 NOR 的問題在于工藝微縮存在一定瓶頸,可能需要 2.5
63、/3D 封裝工藝配合擴展性能;阻變隨機存儲器(阻變隨機存儲器(RRAM):):基本存儲單元一般為金屬-絕緣體-金屬/半導體結構,通過在電極層施加電壓/電流,電阻轉變層的電阻值可以實現高阻態和低阻態的切換,并可實現多級電阻狀態,從而存儲多比特信息?;?RRAM的存內計算芯片制備工藝簡單、工藝成本低、支持多比特存儲,但目前在器件一致性和準確性等方面需要提高;磁性存儲器(磁性存儲器(MRAM):):利用磁隧道磁阻效應實現電阻狀態改變,從而存儲信息,具有非易失、訪問速度快、讀/寫次數高等優點,具備較高的可靠性和穩定性,但目前成熟度較低,功耗、速度和耐久性等指標有待提升;相變存儲器(相變存儲器(PCM
64、):):可以介于完全多晶態和完全非晶態之間的多種狀態,以此實現多值存儲,具備存儲密度高、多比特存儲、支持 3D 堆疊等優點,但 PCM 存在寫入功耗較大、擦寫次數較少等問題。敬請閱讀末頁的重要說明 18 行業深度報告 表表 5:用于存內計算的存儲器對比:用于存內計算的存儲器對比 項目項目 SRAM NOR Flash RRAM MRAM PCM 易失特性 易失 非易失 非易失 非易失 非易失 多值存儲 否 是 是 否 是 現有工藝節點 5nm 28nm 28nm 16nm 28nm 理論工藝極限 2nm 14nm 5nm 5nm 5nm 單比特存儲面積(F/bit)300 7.5 2040 3
65、0 24 讀寫次數 無線 106 108 1015 108 應用場景 云側和邊側的推理和訓練 邊側和端側的推理和訓練 云側、邊側和端側的推理和訓練 云側和邊側的推理和訓練 云側、邊側和端側的推理和訓練 資料來源:存算一體白皮書,招商證券 目前存內計算主要采用目前存內計算主要采用 NOR、SRAM、DRAM 等成熟存儲介質,新型存儲器在等成熟存儲介質,新型存儲器在大算力領域應用尚不成熟。大算力領域應用尚不成熟。目前 HBM 等近存計算已經規模商用,但大部分存內計算采用模擬計算或使用 DRAM 等成熟存儲介質,新型存儲器落地場景仍相對有限。在低功耗、低精度和中小算力場景,多使用 NOR、SRAM
66、等工藝;在高精度、大算力的 AI 芯片中,目前 RRAM、MRAM 等新型存儲器技術指標尚在提升,因此新型存儲器尚未規模應用,應用方案以 HBM、HBM-PIM 等為主,例如三星、海力士等均采用 2.5D 封裝形式,將 DRAM die 堆疊形成 HBM,進而提高集成度;三星于 2021 年推出結合 DRAM 的高帶寬內存-內存內處理(HBM-PIM),將 AI 計算能力引入內存,通過將經過 DRAM 優化的 AI 引擎置于每個存儲子單元內,將處理能力直接引入到數據的存儲位置,從而實現并行處理并盡可能減少數據移動。敬請閱讀末頁的重要說明 19 行業深度報告 二、二、HBM 新型存儲器突破帶寬極
67、限,新型存儲器突破帶寬極限,訓練型訓練型 AI 服務服務器推動器推動超百超百億美金增量市場億美金增量市場 1、HBM 用于高帶寬和高容量場景中,已普遍搭配主流用于高帶寬和高容量場景中,已普遍搭配主流 AI訓練芯片使用訓練芯片使用 HBM 是基于是基于 2.5/3D 封裝技術的新型存儲器,滿足高帶寬、高速度等需求。封裝技術的新型存儲器,滿足高帶寬、高速度等需求。HBM(High Bandwidth Memory)指高帶寬存儲器,是一款新型的 CPU/GPU 內存芯片,基于 2.5D/3D 封裝技術將 DRAM Die 垂直堆疊,具備高帶寬、高速度等特點。DRAM Die 之間通過 TSV 的方式
68、連接,邏輯控制單元對 DRAM 進行控制,GPU 和 DRAM 之間通過 uBump 和 Interposer(起互聯功能的硅片)連通。目前最先進的 HBM 為第五代 HBM3 以及第六代 HBM3E,封裝的 DRAM Die 層數達到 12 層。圖圖17:HBM 結構圖結構圖 圖圖18:HBM3 具備具備 12 層層 DRAM die 資料來源:AMD、招商證券 資料來源:SK 海力士、招商證券 GPU 顯存顯存一般一般采用采用 GDDR 或或 HBM 兩種方案,兩種方案,HBM 能夠在實現高帶寬和高容能夠在實現高帶寬和高容量的同時節約芯片面積和功耗,非常適合在功率受限但又需要高帶寬的場景中
69、使量的同時節約芯片面積和功耗,非常適合在功率受限但又需要高帶寬的場景中使用用。1)傳統的傳統的 GDDR 方案方案:主要通過提升 GDDR 的帶寬實現性能的提升,但存在如下問題:GDDR 不斷增長的功耗一定程度阻礙了圖形性能的表現,未來可能導致GDDR的性能表現無法匹配GPU的性能要求;為了實現GPU的高帶寬,GPU 需要搭配大量的 GDDR5/6 芯片,芯片面積不斷增大,同時也需要更高的電壓。2)HBM 方案方案:將原本在 PCB 板上的 DDR 內存顆粒和 GPU 芯片同時集成到SiP 封裝中,使內存更加靠近 GPU,DDR Die 采用堆疊方式,通過增加單個 DDR容量和層數來實現內存容
70、量和帶寬的提高。HBM 具備如下優勢,大大節約芯大大節約芯片面積:片面積:根據 AMD,1GB HBM 相較 1GB GDDR5 能夠節省大約 94%的芯片面積;能夠在更低的頻率和更少的功耗下提供超越常規內存的帶寬:能夠在更低的頻率和更少的功耗下提供超越常規內存的帶寬:HBM 通過同一封裝內的硅中介層與 SoC 集成在一起,能夠克服數據 I/O 封裝管腳限制的最大數量,進而突破內存帶寬的限制。例如,典型的 GDDR5 使用 32 位總線,在 1750MHz、1.5V 電壓下,最高帶寬為 28GB/s,而一個 HBM 包使用 1024 位總線,僅在 500MHz、1.3V 的電壓下,就能達到超過
71、 100GB 的帶寬。敬請閱讀末頁的重要說明 20 行業深度報告 圖圖19:HBM 和和 GDDR 方案對比方案對比 圖圖20:1GB HBM 和和 GDDR5 芯片面積對比芯片面積對比 資料來源:AMD、招商證券 資料來源:AMD、招商證券 圖圖 21:GDDR5 和和 HBM 對比對比 資料來源:AMD,招商證券 HBM 已普遍搭配主流已普遍搭配主流 AI 訓練芯片使用,相較訓練芯片使用,相較 GDDR 帶寬顯著提升帶寬顯著提升。HBM 最早于2013年由SK海力士首先制造,第一顆采用HBM存儲的GPU是2015年AMD的 Radeon R9 Fury X,第一顆應用 HBM2 的 GPU
72、 是英偉達的 Tesla P100;目前,先進的 AI 訓練 GPU 芯片均搭載 HBM 存儲芯片,例如英偉達的 DGX A100單GPU搭載了總計80GB容量的HBM2E,DGX H100單GPU搭載了總計80GB容量的 HBM3 存儲,單個 HBM3 存儲帶寬最高可達 819GB/s,較單個 GDDR 帶寬提升 10 倍以上,H200 更是搭載了共 141GB 的 HBM3e 內存,總 HBM 容量較 H100 提升 76%,總帶寬提升約 43%。敬請閱讀末頁的重要說明 21 行業深度報告 表表 6:GDDR 和和 HBM 的應用場景及參數對比的應用場景及參數對比 指標指標 G GDDR5
73、DDR5 G GDDR5XDDR5X G GDDR6DDR6 G GDDR6XDDR6X H HBM2BM2 H HBM2EBM2E H HBM3BM3 應用示例 GTX 1070 RX 570 TitanX Titan RTX RX5700 XT GeForce RTX 3080/3090 Tesla V100 Radeon Instinct MI520 NVIDIA A100 NVIDIA H100#of placement(GPU 搭配的個數)8 12 12 12 4 4-8 4-8 Gb/s/pin(速度)8 11.4 14-16 19-21 1.75-2 3.2-3.6 6 GB/s
74、/placement(帶寬)32 45 56-64 76-84 224-256 410-461 最高 819 GB/s/system(系統帶寬)256 547 672-768 912-1008 896-1024 1638-2765 最高 4.8TB Configuration(配置)256 I/O(8pcs32 I/O package)384 I/O(12pcs32 I/O package)384 I/O(12pcs32 I/O package)384 I/O(12pcs32 I/O package)4096 I/O(4pcs1024 I/O package)4096-8192 I/O(4-8
75、pcs1024 I/O package)/Frame Buffer of Typical System(系統容量)8GB 12GB 12GB 12GB 16-32GB 32-96GB/AVG Device Power 9.0 8.0 7.5 7.25 7.0 6.0/Typical I/O Channel(封裝與接口連接形式)PCB(P2P SM)PCB(P2P SM)PCB(P2P SM)PCB(P2P SM)Si Interposer(2.5D Intergration)Si Interposer(2.5D Intergration)Si Interposer(2.5D Intergrat
76、ion)資料來源:美光、SK 海力士,招商證券 2、HBM 容量、帶寬、速度持續升級,容量、帶寬、速度持續升級,訓練型訓練型 AI 服務器將服務器將帶來帶來 HBM 超百億超百億美元增量市場美元增量市場 HBM 目前最主要搭配目前最主要搭配 AI 的的 GPU 使用,使用,訓練型訓練型 AI 服務器是最主要服務器是最主要的的增量市場。增量市場。根據 SK 海力士,受益于 HPC、AI、CPU 等應用,HPC 市場復合增長率達到 40%左右,由于隨著數據量呈指數級增長,以及 AI/ML 訓練等高級工作負載的快速增長,預計 AI 服務器將是幾年內 HBM 最大的下游市場。訓練型 AI 服務器對于
77、HBM 市場的拉動體現在:AI 服務器滲透率的提升;服務器滲透率的提升;單個單個 AI 服務器搭載服務器搭載 GPU 數量的增加;每個數量的增加;每個 GPU 搭載的搭載的 HBM Stack 數量增數量增加;單個加;單個 HBM 堆疊的堆疊的 DRAM die 層數(層數(Hi)增加,以及)增加,以及 DRAM die 容量增容量增加;加;HBM 代際進步帶來單代際進步帶來單 GB 價值量的提升。價值量的提升。AI 訓練訓練服務器滲透率提升服務器滲透率提升:根據 Trendforce,2022 年全球服務器出貨大約1430 萬臺,其中訓練側 AI 服務器占比僅為 1%;由于四大 CSP 陸續
78、下調采購量,Dell 及 HPE 等 OEM 廠商在 2-4 月期間下調全年出貨量至同比分別減少 15%和 12%,Trendforce 預估 2023 年全球服務器整機出貨量將同比減少 2.85%至 1383.5 萬臺。同時,考慮到云服務廠商積極投入生成式AI,AI 服務器滲透率預計將快速提升;1)GPU 基板搭載基板搭載 HBM 數量提升:數量提升:搭載 HBM1 的 GPU 基板上僅采用 4 個HBM1 芯片,而英偉達 AI 服務器 DGX A100 和 H100 的基板搭載了 8 個GPU,每個 GPU 搭配 6 個 HBM2e;2)單個單個 DRAM die 密度增加、密度增加、HB
79、M 堆疊層數和堆疊層數和容量提升容量提升:根據 SK 海力士官網信息,從 HBM1 進步到 HBM3,單個 DRAM Die 密度從 2Gb 提升至16Gb,堆疊高度從 4Hi 提升至最高 12Hi,單個 HBM 容量從最高 1GB 提升至 24GB;敬請閱讀末頁的重要說明 22 行業深度報告 3)HBM 性能提升:性能提升:HBM1 提升至 HBM3,最高速度從 1Gbps 提高到高于6Gbps,帶寬從 128GB/s 提升至最高 4.8TB/s。例如,SK 海力士的 HBM3將 DRAM 芯片高度減少至大約 30 微米,相當于 A4 紙厚度的 1/3,使用 TSV技術(在 DRAM 芯片上
80、打上數千個細微孔并通過垂直貫通的電極連接上下芯片)垂直連接12個芯片,相較于HBM2e,HBM3的速度提高了大約78%,容量提升了 1.5 倍,并且大大降低了工作溫度;4)價值量較傳統)價值量較傳統 DRAM 提升:提升:傳統 DDR4 每 GB 價格大約數美元,HBM價格大約為其數倍,HBM3、HBM3E 相較前幾代產品價值量也大大提升。圖圖22:搭載搭載 HBM1 的的 GPU 基板結構圖基板結構圖 圖圖23:DGX A100 GPU 基板結構圖基板結構圖 資料來源:Techinsights、招商證券 資料來源:英偉達、招商證券 表表 7:HBM 型號對比型號對比 指標指標 HBM1 HB
81、M2 HBM2e HBM3 HBM3E DRAM die 密度 2Gb 8Gb 16Gb 16Gb 16-24Gb 引腳數據速率*1Gbps 2.4Gbps 3.6Gbps 6.4Gbps 9.2Gbps 帶寬*128GB/s 307GB/s 460GB/s 819GB/s 1.2TB/s 核心 Die 堆疊 4 層 4/8 層 4/8 層 12 層 12 層 容量 1GB 4/8GB 8/16GB 24GB 24-36GB 資料來源:SK 海力士,招商證券,注:*最大數值,HBM3E 來自美光計劃推出的產品參數 2024 年年訓練型訓練型 AI 服務器對服務器對 HBM 增量空間預計增量空間
82、預計超百超百億美元。億美元。由于推理型 AI 服務器對 GPU 和 HBM 需求量相對較少,因此我們僅考慮訓練型 AI 服務器帶來的HBM 市場增量。假設 2024 年訓練型 AI 服務器滲透率達 4%,單個訓練型 AI 服務器搭載 8 個 GPU,目前 DGX A100 和 H100 的每個 GPU 配 6 個 HBM,總容量為 80GB;考慮到改進前的 GH200 搭載的 HBM 總容量為 96GB,H200 搭載的 HBM 總容量升至 141GB,考慮到 GPU 算力持續提升,因此我們合理假設平均每個GPU搭載HBM容量持續提升;目前HBM持續供不應求,單價快速增長,但目前HBM 產品以
83、HBM2及HBM2E 為主,考慮到2024 年更高價值量的HBM3將持續放量,因此假設 2024 年價格繼續上漲;考慮到 2025 年 HBM 產能逐步開出,成本有望持續下降,我們假設 2025 年價格有所回落。綜上所述,我們預計2024 年 AI 服務器有望帶動 HBM 超百億美金增量市場。敬請閱讀末頁的重要說明 23 行業深度報告 表表 8:訓練型訓練型 AI 服務器服務器 HBM 市場需求測算市場需求測算 指標指標 2022 2023E 2024E 2025E 服務器出貨量(萬臺)1430 1389 1473 1561 AI 服務器滲透率 1.0%1.5%4.0%6.0%AI 服務器出貨
84、量(萬臺)14.3 20.8 58.9 93.7 AI 服務器搭載 GPU 數量 8 8 8 8 每個 GPU 搭載 HBM 容量(GB)80 90 110 130 AI 服務器 HBM 總容量(億 GB)0.9 1.5 5.2 9.7 HBM 單 GB 價格(美元)15 20 22 20 HBM 總價值量(億美元)總價值量(億美元)13.7 30.0 114.0 194.8 資料來源:英偉達,SK 海力士,Trendforce,招商證券整理 英偉達在英偉達在 COMPUTEX 2023 大會上公布了大會上公布了 GH200 Grace Hopper,每個,每個 GPU使用使用 96GB 的的
85、 HBM3,搭載的,搭載的 HBM 容量繼續提升。容量繼續提升。由 NVIDIA GH200 Grace Hopper 超級芯片和 NVIDIA NVLink Switch System 驅動的 NVIDIA DGX 超級計算機,旨在助力開發面向生成式 AI 語言應用、推薦系統和數據分析工作負載的巨型、下一代模型。NVIDIA DGX GH200 的超大共享內存空間通過 NVLink 互連技術以及 NVLink Switch System 使 256 個 GH200 超級芯片相連,使它們能夠作為單個 GPU 運行。其提供 1 exaflop 的性能和 144 TB 的共享內存相較2020 年推
86、出的上一代 NVIDIA DGX A100 內存大了近 500 倍。H200 單 GPU 總共支持 576GB 的內存,其中 480GB 為 LPDDR5X,從 HBM 容量來看,A100和 H100 單 GPU 最大支持 80GB HBM 容量,H200 單 GPU 最大支持 96GB 容量的 HBM3。圖圖 24:英偉達英偉達改進前的改進前的 GH200 Grace Hopper 資料來源:英偉達官網,招商證券 敬請閱讀末頁的重要說明 24 行業深度報告 圖圖 25:英偉達英偉達改進前的改進前的 GH200 Grace Hopper 結構圖(單個結構圖(單個 GPU 含含 480GB LP
87、DDR5X 和和 96GB HBM3)資料來源:英偉達官網,招商證券 英偉達于英偉達于 11 月月 13 日上午在日上午在“Supercomputing 23”會議上正式發布了全新的”會議上正式發布了全新的H200 GPU 和改進后的和改進后的 GH200,每個,每個 H200 GPU 搭載搭載 HBM3e 容量進一步提升容量進一步提升至至 141GB。H200 依然是建立在現有的 HopperH100 架構之上,但增加了更多高帶寬內存(HBM3e),全新的 H200 提供了總共高達 141GB 的 HBM3e 內存,有效運行速度約為6.25Gbps,六個HBM3e堆棧中每個GPU的總帶寬為4
88、.8TB/s。與上一代的 H100(具有 80GB HBM3 和 3.35TB/s 帶寬)相比,HBM 容量提升了超過 76%。改進后的 GH200 預計將包含總計 624GB 內存,相較改進前的GH200(總計 576GB 內存),總內存容量提升了 8.3%。圖圖 26:英偉達英偉達 H200 Grace Hopper 資料來源:英偉達,招商證券 長期來看,長期來看,HBM 在在 AR/VR 設備、汽車自動駕駛域等領域也將有更多應用。設備、汽車自動駕駛域等領域也將有更多應用。1)AR/VR 設備:以 HTC VIVE pro2 為例,其連接 GTX1060,當前并不需要 HBM 敬請閱讀末頁
89、的重要說明 25 行業深度報告 等高帶寬產品,未來有望伴隨顯示需求增多逐步產生高帶寬需求;2)汽車:當前自動駕駛域并不需要 HBM 的高帶寬,比如英偉達 Jetson AGX Orin 采用 256位的 LPDDR,帶寬最高為 204.8HB/s。但長期來看,伴隨 L3/L4 等級別自動駕駛汽車逐漸落地,也將提升 HBM 需求,例如 L3 級別自動駕駛帶寬要求一般為600GB/s-1TB/s 之間,單車可用 2 個 HBM2e 或者 1 個 HBM;L4 級別自動駕駛帶寬要求一般為 1-1.5TB/s 之間,單車可用 3 個 HBM2e 或 2 個 HBM3。敬請閱讀末頁的重要說明 26 行業
90、深度報告 三、三、HBM 采用采用 2.5D+3D 封裝,核心工藝包括封裝,核心工藝包括 TSV、CoWoS 等等 1、HBM 和和 Si 中介層中介層封裝遠期市場預計數倍增長封裝遠期市場預計數倍增長,核心封,核心封裝工藝包括裝工藝包括 Bumping、TSV、CoWoS 等等 HBM 采用采用 2.5D+3D 封裝工藝,采用封裝工藝,采用的核心封裝工藝包括的核心封裝工藝包括 Bumping、RDL、FC、TSV、CoWoS 等。等。封裝工藝主要有四項功能:保護芯片免受外部沖擊或損壞;將外部電源傳輸至芯片,保證芯片的正常運行;為芯片提供線路連接,以便執行信號輸入和輸出操作;合理分配芯片產生的熱
91、量,確保其穩定運行。HBM采用“2.5+3D”封裝工藝,即分別在 DRAM die 上和硅中介層上制作 TSV,主要使用的封裝工藝如下:1)HBM 由 DRAM Die 堆疊而成,Die 之間借助 TSV(硅通孔)和微凸塊(microbump)相連,并借助 TC(Thermal Compression,熱壓)-NCF(non-conductive film,非導電薄膜)或 MR-MUF(批量回流模制底部填充)技術,用 NCF 或 LMC(Liquid Molding Compound,液態塑封料)對芯片形成互連和保護;2)HBM 通過 TSV 和微凸塊連接下方的 HBM 邏輯控制 die(Ba
92、se Die),邏輯控制 die 再通過凸塊(bump)連接下方的硅中介基板(Si interposer);3)HBM Stack 通過 CoWoS 等 2.5D 封裝工藝,和 CPU/GPU 等并排鋪設在硅中介基板上方,CPU/GPU 等邏輯 die 采用倒片封裝(FC)形式和硅中介基板連接,存儲器和 GPU 等邏輯芯片之間通過 RDL 實現通信;4)硅中介基板通過 2.5D TSV 工藝和 Cu Bump 連接至封裝基板(Package Substrate)上;4)最后,封裝基板通過封裝錫球(package balls)和下方的 PCB 基板(Circuit Board)相連,這一過程采用
93、傳統的球柵陣列(Ball Grid Array,BGA)封裝工藝。敬請閱讀末頁的重要說明 27 行業深度報告 圖圖 27:HBM 結構圖及用到的封裝工藝結構圖及用到的封裝工藝 資料來源:臺積電,Wikichip,招商證券整理 圖圖 28:HBM 中中 DRAM die 堆疊的微觀結構堆疊的微觀結構 資料來源:Techinsights,招商證券 2021 年年針對針對 HBM 和和 Si Interposer 的高端高性能封裝的高端高性能封裝市場規模市場規模合計合計約約 14 億美億美元,預計元,預計 2027 年年增至增至約約 35 億美元。億美元。根據 Yole,高端高性能封裝主要包括 UH
94、D FO、嵌入式硅橋、硅中介層(Si Interposer)、3D 堆棧存儲器和 3D SoC,嵌入式硅橋主要解決方案包括臺積電的 LSI 和英特爾的 EMIB;硅中介層通常由臺積電、三星和聯電提供,還包括英特爾的 Foveros 方案;3D 堆棧存儲器分為 HBM、3DS 和 3D NAND 堆棧。Yole 預計 2021 年高端高性能封裝市場規模約 27.4 億美元,其中 HBM 和硅中介層市場封裝規模分別為 4.35 億美元和 9.64 億美元;到 2027 年,整體市場規模預計增至 78.7 億美元,其中 UHD FO、HBM、3DS和硅中介層封裝將在 2027 年占據超 50%的市場
95、份額,其中 HBM 和硅中介層封裝預計分別增至 16.3 億美元和 18.8 億美元,CAGR 分別為 25%和 12%。敬請閱讀末頁的重要說明 28 行業深度報告 圖圖 29:2021-2027 年高端封裝工藝市場規模年高端封裝工藝市場規模 資料來源:Yole,招商證券 2、凸塊制造(凸塊制造(Bumping)是扇入是扇入/扇出、扇出、FC 等封裝必要環等封裝必要環節,節,推動推動沉積、涂膠顯影、電鍍等前道工藝沉積、涂膠顯影、電鍍等前道工藝需求增長需求增長 晶圓級封裝采用凸塊(晶圓級封裝采用凸塊(Bump)取代鍵合引線)取代鍵合引線,凸塊可以分布在整個芯片表面形,凸塊可以分布在整個芯片表面形
96、成信號觸點。成信號觸點。凸塊工藝即在晶圓切割成單個芯片之前,在晶圓上以整個晶圓的形式形成由焊料組成的“凸塊”或“球”,這些凸塊是芯片和基板互連形成單個封裝的基本互連組件。傳統的引線鍵合工藝中,接合焊盤/引腳放置在外圍區域,但用于凸塊的 I/O焊盤可以分布在芯片的整個表面,每個凸塊都是一個信號觸點,從而可以縮小芯片尺寸并優化電氣路徑,因此凸塊工藝廣泛用于倒裝芯片封裝、扇入或扇出型封裝工藝。圖圖30:凸塊分布在整個芯片表面凸塊分布在整個芯片表面 圖圖31:凸塊的微觀結構(銅柱凸塊)凸塊的微觀結構(銅柱凸塊)資料來源:Powertech、招商證券 資料來源:FaradayTech、招商證券 凸塊可以
97、由共晶、無鉛、高鉛材料或晶圓上的銅柱組成,高端應用多采用銅柱作凸塊可以由共晶、無鉛、高鉛材料或晶圓上的銅柱組成,高端應用多采用銅柱作為凸塊。為凸塊。含鉛焊料作為凸塊有多年歷史,但已經被錫基無鉛焊料取代并用于倒裝封裝等工藝,而在 AI、HPC、基帶、高性能存儲等應用場景,銅柱凸塊(Cu Pillar Bump,CPB)逐漸取代無鉛錫球,主要系 CPB 的精細節距(Fine Pitch)更小,無鉛錫球的 Fine Pitch 一般為 130-250um,銅柱凸塊的 Fine Pitch 可縮小至 敬請閱讀末頁的重要說明 29 行業深度報告 130-40um 左右,采用銅柱凸塊的芯片尺寸較錫球能夠縮
98、小 5-10%,襯底層可從6 層減少到 4 層,基板成本下降約 30%;銅柱凸塊還具有改善的電子遷移阻力,能夠減少功率損耗和信號延遲,同時實現更好的散熱性能。無鉛錫球整體由錫金屬構成,銅柱則分為三層結構,包括銅金屬柱、一層薄鎳金屬作為銅柱的擴散屏蔽層、錫/銀金屬作為焊帽。圖圖 32:錫基無鉛焊料和銅柱凸塊結構對比:錫基無鉛焊料和銅柱凸塊結構對比 資料來源:Indium 官網,招商證券 凸塊工藝(凸塊工藝(Bumping)流程為制備)流程為制備 UBM 和形成凸塊,和形成凸塊,主要使用主要使用 PVD 設備、涂設備、涂膠顯影機、電鍍機、刻蝕機、膠顯影機、電鍍機、刻蝕機、回流爐回流爐等設備。等設備
99、。在制作凸塊前,首先需要在芯片表面需要生長凸塊的區域制作凸塊下金屬(Under Bump Metallization,UBM),用于阻擋凸點金屬擴散至下層金屬焊盤中;在完成制備 UBM 后,凸塊的制備可以采用三種方式:電鍍、印刷錫膏固化和植球方式,電鍍方式形成銅柱等凸塊,在電鍍焊料、去除光刻膠和刻蝕掉濺射金屬后,通過回流進行固化;印刷錫膏固化方式通過將含焊錫料的改性錫膏通過貼片、回流焊等加熱固化;植球方式則通過植球機將預成型的凸塊印制在 UBM 上。具體工藝步驟如下:具體工藝步驟如下:1)沉積阻擋層、濺射沉積阻擋層、濺射 Ti/Cu:采用濺射或其他物理氣相沉積方式在晶圓表面沉積一層鈦或鈦鎢作為
100、阻擋層,再沉積一層銅或其他金屬作為后續電鍍所需的種子層。阻擋層用于阻擋芯片表面焊盤金屬與種子層間金屬相互擴散。在沉積金屬前,晶圓先進入濺射機臺的預清潔腔體,用氬氣等離子去除焊盤金屬表面的氧化層,以提高濺射金屬層與芯片表面的結合力;2)涂膠顯影:)涂膠顯影:在晶圓表面旋涂一定厚度的光敏光刻膠(UV 膠,Ultraviolet Rays),并應用光刻曝光工藝,對光刻膠進行選擇性曝光,光刻系統在 UV 膠頂部形成預定凸塊尺寸的圖案;3)電鍍、刻蝕:)電鍍、刻蝕:前一步預定凸塊尺寸的圖案被刻蝕,形成一個小間隙;使用電化學沉積(ECD)或電鍍設備在間隙填充或鍍銅;再剝離 UV 膠并刻蝕結構;4)回流或加
101、熱回流或加熱:最后,形成的凸塊結構在回流爐中回流和加熱,形成凸塊。敬請閱讀末頁的重要說明 30 行業深度報告 圖圖 33:銅柱凸塊工藝流程:銅柱凸塊工藝流程 資料來源:John Lau,欣興微電子,招商證券 3、TSV 用于用于 DRAM Die 之間及之間及 HBM 和和 Interposer 之間的之間的互聯,互聯,為電鍍、鍵合等工藝帶來較多增量為電鍍、鍵合等工藝帶來較多增量 TSV 技術專為技術專為 2.5/3D 封裝而生,能夠以最低的能耗提供極高的帶寬和密度。封裝而生,能夠以最低的能耗提供極高的帶寬和密度。TSV(Through-Silicon Via)即硅通孔技術,是目前最先進的封裝
102、技術之一,與傳統的 SIP 等封裝技術相比,TSV 的垂直連接可以允許更多數量的連接,因此具備更好的電勢能、更低的功耗、更寬的帶寬、更高的密度、更小的外形尺寸、更輕的質量等優勢,是實現電路小型化、高密度、多功能化的首選解決方案。2.5/3D TSV 技術已經廣泛用于 AI GPU 基板上的 HBM 中,DRAM 各層 Die 之間的連接采用 3D TSV 工藝,HBM 芯片和下方的金屬凸塊之間的連接采用 2.5D TSV 工藝。表表 9:2.5D TSV 技術在技術在 GPU 中的應用中的應用 應用應用 GPU GPU AI GPU 配置 ASIC+HBM ASIC+4HBM ASIC+6HB
103、M ASIC+6HBM 封裝 41*31mm 55*55mm 55*55mm 55*58mm 內插板 27*15mm 43*34mm 43*37mm 47*34mm ASIC 17*33mm 32*26mm 33*26mm 33*26mm 硅節點 14nm 12nm 7nm 5nm 存儲器 HBM2 HBM2 HBM2 HBM2E/3 敬請閱讀末頁的重要說明 31 行業深度報告 應用應用 GPU GPU AI GPU 平面設計圖 資料來源:安靠,招商證券 TSV 工藝價值量在工藝價值量在 HBM 3D 封裝工藝中占比最高。封裝工藝中占比最高。3D TSV 是 HBM 堆疊工藝中成本占比最高的工
104、藝,根據 3DinCites,考慮 4 層 DRAM die 和 1 層邏輯 die 堆疊的 HBM 結構,在 99.5%和 99%的芯片鍵合(die bonding)良率下,TSV 制造和 TSV 通孔露出工藝分別占其成本的 30%和 29%。圖圖34:HBM(4 層層 DRAM+1 層邏輯)層邏輯)99.5%鍵合良率成鍵合良率成本構成本構成 圖圖35:HBM(4 層層 DRAM+1 層邏輯)層邏輯)99%鍵合良率成本鍵合良率成本構成構成 資料來源:3DInCites、招商證券 資料來源:3DInCites、招商證券 TSV 工藝工藝主要主要包括前段的成型制造工藝和中段的露出包括前段的成型制
105、造工藝和中段的露出及及金屬化工藝。金屬化工藝。晶圓廠一般負責 TSV 的成型工藝,主要包括刻蝕和沉積,封裝廠一般負責中段制程(MEOL),即硅通孔露出和背面金屬化工藝,主要包括減薄、鈍化和鍵合等,以及最后的封裝工藝。1)刻蝕:)刻蝕:主要使用深反應離子刻蝕(DRIE,Deep Reactive Ion Etching)法,又稱博世法,該技術在真空系統中利用分子氣體等離子的誘導化學反應來實現各向異性刻蝕;2)沉積:)沉積:在刻蝕完成后,需要利用 PECVD 法沉積二氧化硅或氮化硅,以形成絕緣層對硅襯底進行電氣隔離;之后,需要制作金屬擴散阻擋層來防止銅原子擴散、制作種子層方便后續銅的沉積,一般采用
106、PVD 沉積 Ti/Ta、采用 CVD 沉積 TiN/TaN 等;3)電鍍:)電鍍:在沉積完成后,需要電鍍方法形成銅,一般采用自下而上的方法(Bottom-up);4)減薄拋光:)減薄拋光:在電鍍完成后,需要對晶圓進行減薄拋光來使 TSV 背面的銅層快速露出,一般采用機械研磨等方法將晶圓減薄至 50m 甚至更薄,并采用 CMP 等技術露出銅通孔中的銅柱;5)晶圓鍵合:)晶圓鍵合:用來完成通孔金屬化和連接端子的晶片之間的互聯。18%12%20%20%15%3%1%7%4%TSV創建TSV暴露前段制程后段制程組裝晶圓凸點測試創建TSV時損失組裝時損失18%11%19%19%15%3%1%7%8%T
107、SV創建TSV暴露前段制程后段制程組裝晶圓凸點測試創建TSV時損失組裝時損失 敬請閱讀末頁的重要說明 32 行業深度報告 圖圖 36:TSV 工藝流程工藝流程 資料來源:SK 海力士,招商證券 TSV工藝中臨時鍵合工藝中臨時鍵合/解鍵合、銅電鍍、背面通孔露出成本占比最高。解鍵合、銅電鍍、背面通孔露出成本占比最高。在via-middle的 TSV 工藝中,臨時鍵合/解鍵合和銅電鍍成本占比均為 17%左右,背面通孔露出(主要包括背面減薄和拋光)和背面 RDL(主要包括刻蝕、CVD、PVD、電鍍等)成本占比約為 15%左右,其他關鍵工藝包括刻蝕、CVD、銅阻擋層 PVD等。圖圖 37:TSV 制造成
108、本構成(制造成本構成(via-middle 工藝)工藝)資料來源:A cost model analysis comparing via-middle and via-last TSV processes,招商證券 17%4%8%8%9%17%7%15%15%臨時鍵合/解鍵合硬掩膜CVD刻蝕襯底CVD銅阻擋層PVD銅電鍍銅CMP背面通孔露出背面RDL退火 敬請閱讀末頁的重要說明 33 行業深度報告 4、CoWoS 用于英偉達用于英偉達 AI GPU 的整體封裝,生成式的整體封裝,生成式 AI 有有望望推動推動 2024 年年 CoWoS 需求翻倍以上增長需求翻倍以上增長 HBM 和和 GPU
109、等芯片采用等芯片采用 TSMC CoWoS 技術進行整體封裝,能夠減少封裝體技術進行整體封裝,能夠減少封裝體積和功耗等。積和功耗等。目前立體封裝主要包括 2.5/3D 封裝,2.5D 封裝主要指將存儲、記憶體等其他芯片,并排鋪設在硅中介板(Silicon Interposer)上,經過微凸塊(Micro Bump)連接,以實現硅中介板上不同芯片之間的通信;再通過硅穿孔(TSV)來連接下方的金屬凸塊,再由導線載板連接外部;3D封裝致力于實現芯片如HBM中 DRAM die 的垂直堆疊。目前,英偉達 AI GPU DGX A100、H100、H200 等均采用臺積電的 CoWoS(Chip on
110、Wafer on Substrate)技術,首先將芯片通過首先將芯片通過CoW(Chip on Wafer)工藝連接至硅中介層()工藝連接至硅中介層(Interposer),再通過),再通過 OS(On Subtrate)工藝將)工藝將 CoW 芯片和基板連接,整合成芯片和基板連接,整合成 CoWoS。圖圖38:2.5/3D 封裝示意圖封裝示意圖 圖圖39:HBM 中的中的 CoWoS 工藝示意圖工藝示意圖 資料來源:Semiconductor Engineering、招商證券 資料來源:臺積電、招商證券 按照中介基板(按照中介基板(interposer)材料的不同,)材料的不同,CoWoS
111、可分為可分為 CoWoS-S/R/L 三種三種形式。形式。CoWoS(Chip on Wafer on Substrate,晶圓級封裝)是一種高集成度封裝技術,能夠在中介基板(Interposer)上密集防止多顆芯片。從工藝流程上來看,已知良品芯片(Know Good Die,KGD)首先通過 Chip on Wafer(CoW)的封裝制程連接至硅晶圓,再將 CoW 芯片與基板相連并整合成 CoWoS。臺積電根據中介基板的不同,將 CoWoS 技術分為三種類型。1)CoWoS-S:是基礎的 CoWoS 技術,使用 Si 襯底作為中介層,可以支持超高集成密度,提供不超過兩倍掩膜版尺寸的硅中介層,
112、是 HBM 等高速高帶寬內存芯片使用的工藝;2)CoWoS-R:引入 RDL 作為中介層,RDL(Redistribution Layer,重新分配層)是集成電路上形成的額外金屬布線層,旨在重新排列 I/O 焊盤,將焊盤重塑到所需位置,以便于在必要時操作焊盤。這種工藝具備靈活性,增加了封裝連接的可靠性,允許新封裝可以擴大尺寸以滿足更復雜的功能需求,有效支持多個Chiplet 之間進行高度可靠互聯。例如,芯片中心的凸塊陣列可重新分配到靠近芯片邊緣的位置。重新分配焊盤有助提高接觸密度;敬請閱讀末頁的重要說明 34 行業深度報告 圖圖 40:CoWoS-R 工藝工藝 資料來源:臺積電,招商證券 3)
113、CoWoS-L:在 CoWoS-S 和 InFO 技術的基礎上,引入 LSI(Local Silicon Interconnect)技術,LSI 芯片在每個產品中可以具有多種連接結構(例如從 SoC到 SoC、SoC 到小芯片、SoC 到 HBM 等),提供更靈活和可復用的多芯片互聯架構。圖圖 41:CoWoS-L 工藝工藝 資料來源:臺積電,招商證券 臺積電臺積電 CoWoS 需求持續強勁需求持續強勁,展望,展望 2024 年年產能將增至目前產能將增至目前 2 倍倍。臺積電在CoWoS 工藝處于領先地位,根據 Yole,2021 年全球領先廠商在高端高性能封裝上的資本支出總投入約 119 億
114、美元,其中 TSMC 資本支出為 30.5 億美元,主要包括InFO 工藝,用于 UHD FO;CoWoS 工藝,用于 RDL 或硅中介層等;LSI 平臺,和英特爾的 EMIB 直接競爭。由于 Google TPU、英偉達 GPU 和AMD MI300 等均導入生成式 AI,臺積電 AIGC 訂單增多,先進封裝需求持續提升,其中英偉達是 A100 和 H100 級數據中心 CoWoS 封裝的最大客戶,谷歌通過博通成為 TPUv4 和 v5 的第二大客戶,AMD 在 MI300 上采用 CoWoS,亞馬遜的 Trainium through AI Chip 及微軟最新 AI 芯片也使用 CoWo
115、S 工藝,國內壁仞科技于 2022 年 8 月發布首款通用 GPU 芯片 BR100,采用 7nm 工藝,也使用Chiplet與CoWoS 2.5D封裝工藝。臺積電總裁魏哲家于6月6日股東大會表示,自去年以來 CoWoS 需求幾乎翻倍增長,明年需求將持續強勁。由于目前市場需求大于產能,臺積電表示當前首要任務是增加 CoWoS 產能,必要情況可能會通過轉廠方式生產;臺積電于 23Q3 法說會上表示,2024 年 CoWoS 產能將翻倍。敬請閱讀末頁的重要說明 35 行業深度報告 圖圖 42:2021 年全球主要廠商用于高端高性能封裝的市場規模年全球主要廠商用于高端高性能封裝的市場規模 資料來源:
116、Yole,招商證券 圖圖 43:壁仞科技壁仞科技 BR-100 芯片采用芯片采用 CoWoS 封裝工藝封裝工藝 資料來源:壁仞科技,招商證券 5、FC 工藝工藝用于用于邏輯邏輯 die 與與硅中介層硅中介層連接連接,相較傳統引線鍵,相較傳統引線鍵合方式大大縮短信號路徑合方式大大縮短信號路徑 倒片封裝(倒片封裝(Filp Chip,FC)將芯片翻轉,將芯片翻轉,在在先進封裝工藝中先進封裝工藝中取代引線鍵合取代引線鍵合。倒裝鍵合(Flip Chip Bonding)指通過在芯片頂部形成凸點,并將芯片倒轉過來,實現芯片的有源區域和基板間的電氣和機械連接。倒片封裝在先進封裝工藝中取代引線鍵合,主要系引
117、線鍵合對于可進行電連接的輸入/輸出(I/O)引腳的數量和位置有限制,而倒片封裝不存在此種限制;倒片封裝的電信號傳輸路徑短于引線鍵合。在引線鍵合方法中,金屬焊盤在芯片表面采用一維方式排列,無法出現在芯片邊緣或中心位置,而倒片鍵合方法在鍵合至基板或形成焊接凸點的過程中不存在任何工藝方面的限制,因此在倒片封裝中,金屬焊盤可以采用二維方式全部排列在芯片的一個側面,增加金屬焊盤的數量;另外,用于形成凸點的焊盤可以布置在芯片頂部的任何位置,用于供電的焊盤可以布置在靠近需要供電的區域,進一步提升電氣性能。敬請閱讀末頁的重要說明 36 行業深度報告 圖圖 44:FC 封裝較焊盤封裝大大縮短信號路徑封裝較焊盤封
118、裝大大縮短信號路徑 資料來源:SK 海力士,招商證券 FC 封裝采用回流焊或熱壓工藝形成芯片上的凸點與基板上的焊盤連接。封裝采用回流焊或熱壓工藝形成芯片上的凸點與基板上的焊盤連接。倒裝封裝首先將焊球附著在芯片焊盤上,再將芯片正面朝下放置在基板上,焊球通過回流焊(Reflow)或熱壓縮(Thermo Compression)工藝實現和基板的連接?;亓骱腹に囀堑寡b封裝中最主流的工藝,又稱為 C4 工藝(Controlled Collapse Chip Connection),通過在回流爐的高溫下融化結合處的凸點;熱壓縮工藝通過向接合處施加熱量和壓力,一般用于 I/O 密度更高的銅柱凸點。熱壓工藝的
119、成本高、裝片工藝也要求更高的精度,導致目前耗時較長。圖圖 45:倒裝鍵合回流焊工藝流程:倒裝鍵合回流焊工藝流程 資料來源:集成電路產業全書,招商證券 敬請閱讀末頁的重要說明 37 行業深度報告 四四、HBM 多層堆疊結構多層堆疊結構提升提升前后道工藝價值量,前后道工藝價值量,量量測、電鍍測、電鍍、鍵合、鍵合等設備需求倍增等設備需求倍增 HBM 工藝流程包括晶圓測試、中段制造、后段封測等環節,工藝流程包括晶圓測試、中段制造、后段封測等環節,一方面帶動部分傳一方面帶動部分傳統封裝工藝環節的設備價值量提升或帶來傳統封裝工藝變革,另一方面,統封裝工藝環節的設備價值量提升或帶來傳統封裝工藝變革,另一方面
120、,HBM引入引入凸塊、凸塊、RDL、TSV 等等先進封裝先進封裝工藝工藝,使得越來越多的使得越來越多的檢檢/量測、電鍍等量測、電鍍等前道前道設備設備融入融入中后段工藝中中后段工藝中。圖圖 46:HBM 工藝流程及設備需求工藝流程及設備需求 資料來源:SK 海力士,Yole、集成電路全書等,招商證券整理 1)前道前道檢檢/量測量測:HBM 中全部的 DRAM die 均需要 100%檢測,并且由于 HBM中的銅凸點、銅柱、TSV、UBM(凸點下金屬層)的結構極其復雜,其質量影響整個 HBM 及 CoWoS 封裝的良率,因此需要對每個關鍵結構的大小、情況、精確程度等進行量測,此環節使用的設備包括前
121、道檢測和量測設備;前道檢測和量測設備;2)凸塊凸塊(Bump)制造:)制造:介于前道晶圓制造和后道封裝工藝之間,是扇入(Fan-in)封裝、芯片級封裝(CSP)、系統級封裝(SiP)、芯粒封裝(Chiplet)等工藝的必要環節,TSV、晶圓級封裝(WLP)等均是凸塊制造工藝的延伸。在 HBM 中,微凸塊(uBump)用于 HBM 之間 DRAM Die、HBM 和硅中介層之間的連接,C4 Cu 凸點用于硅中介層和封裝基板之間的連接,實現電氣互連和應力緩沖。如前文所述,Bumping 工藝主要使用 PVD 設備、涂膠顯影機、電鍍機、刻蝕機、設備、涂膠顯影機、電鍍機、刻蝕機、植球機植球機、回流爐、
122、回流爐等設備等設備;3)TSV 制造:制造:如前文所述,TSV 工藝主要包括前段的通孔成型工藝和中段的通孔露出和背面金屬化工藝,使用的設備主要包括刻蝕機、刻蝕機、PECVD、PVD、電鍍、電鍍機、減薄機、機、減薄機、CMP、鍵合機等;、鍵合機等;敬請閱讀末頁的重要說明 38 行業深度報告 4)硅中介層()硅中介層(Interposer)制造:)制造:主要包括形成 TSV、雙面 RDL 和凸塊制造、臨時鍵合和解鍵合、晶圓減薄、切片等工序。RDL(Redistribution Layer,重新分配層)是額外金屬布線層,通過重新排列 I/O 焊盤,滿足封裝工藝更復雜的功能需求,例如將 HBM 堆棧與
123、 SoC 等邏輯芯片相連。凸塊工藝可以看做是點與點的連接,RDL 工藝則是面陣連接,RDL 制備使用的設備與凸塊類似,主要包括光刻機、刻蝕機、濺射、光刻機、刻蝕機、濺射、CVD、電鍍設備、電鍍設備等,完整的硅中介層制造還主要需要臨臨時鍵合時鍵合/解鍵合機、背面減薄機解鍵合機、背面減薄機等;圖圖 47:硅中介層工藝流程:硅中介層工藝流程 資料來源:中國科學院微電子所,招商證券 5)后道)后道封裝封裝:傳統封裝工藝流程包括晶圓背面減薄、劃片/切割、貼片/固晶、互連、模塑、激光打標、切筋成型等步驟,HBM 的多層堆疊結構顯著提升晶背減晶背減薄、鍵合等設備薄、鍵合等設備的需求,并且對注塑機注塑機提出更
124、高要求;6)后道測試:)后道測試:在晶圓制造之后、封裝開始之前,需要首先使用探針臺、測試機等將不良芯片剔除,探針臺接觸裸芯片上的 PAD 點,并連接測試機完成測試過程,再將不良芯片進行打點標記,形成晶圓 Map 圖;在封裝完成后,需要分選機配合測試機進行成品芯片的終測,該環節主要使用的設備包括探針臺、探針臺、分選機、分選機、測試機;測試機;7)板卡級組裝和整機組裝:)板卡級組裝和整機組裝:為 HBM 封裝的最后一道工序,主要將引腳通過 PCB上的導線和其他器件建立連接,工藝涉及通孔插裝技術、表面貼裝技術等,為了實現 PCB 組裝工藝,使用的設備主要包括焊膏涂覆設備、絲網印刷機、點膠機焊膏涂覆設
125、備、絲網印刷機、點膠機、貼片機、回流爐、清洗機、自動光學檢測設備貼片機、回流爐、清洗機、自動光學檢測設備等;為了提供 PCB 基板,使用的設備主要包括真空層壓機、鉆孔機、通孔電鍍設備、涂膠機、光刻機、顯影機、真空層壓機、鉆孔機、通孔電鍍設備、涂膠機、光刻機、顯影機、刻蝕機、絲網印刷機、電鍍銅設備、自動光學檢測儀刻蝕機、絲網印刷機、電鍍銅設備、自動光學檢測儀等。敬請閱讀末頁的重要說明 39 行業深度報告 1、測試測試:用于用于 Bump、TSV、KGSD 等等環節,環節,HBM 工藝步工藝步驟增多帶來前、后道測試需求提升驟增多帶來前、后道測試需求提升(1)前道檢)前道檢/量測:核心增量來自量測:
126、核心增量來自 Bumping、TSV、RDL 工藝工藝 檢測(檢測(Inspection)和量測()和量測(Metrology)兩大工藝分別用于檢測晶圓異質情況)兩大工藝分別用于檢測晶圓異質情況和對晶圓結構做出量化描述。和對晶圓結構做出量化描述。檢測指在晶圓表面上或電路結構中,檢測其是否出現異質情況,如顆粒污染、表面劃傷、開短路等對芯片工藝性能具有不良影響的特征性結構缺陷;量測指對被觀測的晶圓電路上的結構尺寸和材料特性做出的量化描述,如薄膜厚度、關鍵尺寸、刻蝕深度、表面形貌等物理性參數的量測。根據檢測類型不同,半導體質量控制設備也相應分為檢測設備和量測設備兩大類。圖圖 48:半導體質量控制環節
127、根據集成電路制造工藝的劃分半導體質量控制環節根據集成電路制造工藝的劃分 資料來源:中科飛測招股書,招商證券 2022 年全球檢測和量測設備市場空間大約年全球檢測和量測設備市場空間大約 125 億美元。億美元。根據 VLSI Research 數據,2016 年全球檢測和量測設備合計規模為 47.6 億美金,2020 年增長至 76.5億美金,CAGR 達 12.6%。根據 Gartner,2021 年和 2022 年全球工藝控制設備市場占比大約為 11%和 12%,結合全球前道設備市場空間,2021 和 2022 年全球半導體檢測和量測設備市場規模預計分別為 100 億美元和 125 億美元。
128、敬請閱讀末頁的重要說明 40 行業深度報告 圖圖 49:2022 年全球半導體前道設備占比年全球半導體前道設備占比 圖圖 50:全球全球半導體檢測和量測設備市場規模半導體檢測和量測設備市場規模 資料來源:Gartner,招商證券 資料來源:VLSI Research、Gartner,招商證券 HBM 對前道檢對前道檢/量測設備的增量量測設備的增量主要主要來自來自 Bumping、TSV、RDL 工藝工藝。Bumping 工藝中工藝中,檢,檢/量測設備量測設備需要識別凸點的缺失、大小不一致等問題。需要識別凸點的缺失、大小不一致等問題。在 HBM 中,銅凸點(Bump)、凸點下金屬(UBM)、RD
129、L、TSV 等的結構極其復雜,其形狀、質量、精確程度直接影響 HBM 甚至整體 CoWoS 封裝的良率。例如,Bump 用于各 DRAM die、HBM 和硅中介層、GPU 和硅中介層的連接,C4 凸點用于硅中介層和封裝基板之間的連接,均需要檢/量測設備來檢測 Bump 是否缺失、大小是否不一致等問題。圖圖 51:HBM 結構結構 Bumping 節點存在的問題節點存在的問題 資料來源:Camtek,招商證券 TSV 工藝中,刻蝕、襯底工藝中,刻蝕、襯底/阻擋層沉積、填充和阻擋層沉積、填充和 CMP 等每一個工藝步驟等每一個工藝步驟均均需要測量和缺陷檢查。需要測量和缺陷檢查。例如,TSV 的襯
130、底和阻擋層是采用納米級薄膜沉積工藝制造的,關鍵尺寸(CD)的精確測量和控制需要 TSV 橫截面的高分辨率掃描電子顯微鏡(SEM)圖像;對于 TSV 特定的缺陷機制,包括裂縫、不完全體填充和絕緣體壁上的針孔等,這些納米級的結構缺陷需要通過高壓17%22%22%12%6%4%3%2%1%11%光刻刻蝕薄膜沉積工藝控制工藝控制清洗涂膠顯影CMP離子注入氧化退火 其他-10%-5%0%5%10%15%20%25%30%35%0204060801001201402016201720182019202020212022市場規模(億美元)YOY(右軸)敬請閱讀末頁的重要說明 41 行業深度報告 SEM 來進
131、行量測;另外,隨著堆疊芯片數量的增加,3D 結構中需要更小的CD 尺寸,由此將產生 D2W 或 W2W 鍵合、及 TSV 未對準產生的納米尺寸缺陷或孔洞,該類量測需求也不斷增加。圖圖 52:TSV 工藝中增加的檢測和量測步驟工藝中增加的檢測和量測步驟 資料來源:Unraveling 3D Semiconductor Packaging Challenges_Thermal Fisher,招商證券 針對針對 RDL 工藝,更精細的電路增加檢工藝,更精細的電路增加檢/量測設備需求。量測設備需求。HBM 中硅中介層上排列多層 RDL 布線,支持極其精細的線路,可以互連芯片上間距為 55um甚至 40
132、um 的微凸點。隨著需要互聯的凸點增多,RDL 互連的凸點間距進一步縮小,增加更加精細和先進的檢/量測設備需求。圖圖 53:RDL 橫截面和布線結構橫截面和布線結構 資料來源:LAM Research,招商證券 (2)后道測試:針對堆疊晶圓增加預鍵合測試,針對)后道測試:針對堆疊晶圓增加預鍵合測試,針對 KGSD 增加多增加多種封裝測試流程種封裝測試流程 根據根據 SEMI,2021 年全球半導體年全球半導體后道后道測試設備市場規模大約測試設備市場規模大約 78 億美元,國內市億美元,國內市場大約場大約22.5億美元,其中測試機、分選機、探針臺分別占比億美元,其中測試機、分選機、探針臺分別占比
133、63%、17.4%、15.2%。目前,測試設備主要被泰瑞達、愛德萬等海外廠商壟斷,探針臺目前,測試設備主要被泰瑞達、愛德萬等海外廠商壟斷,探針臺/分選機等主要分選機等主要被東京精密、東京電子等壟斷,國產化提升空間較大。被東京精密、東京電子等壟斷,國產化提升空間較大。測試機:測試機:主要實現晶圓的功能測試,通過一臺工作站來做外部的控制,透過 敬請閱讀末頁的重要說明 42 行業深度報告 測試程序的定義來產生待測器件上所需要的電壓、電流及時序信號等的輸入和讀取,依次來判斷待測器件的好壞;探針臺:主要用于半導體制造晶圓檢測(探針臺:主要用于半導體制造晶圓檢測(CP,Circuit Probing)環節
134、,也)環節,也用于設計驗證和成品測試環節。用于設計驗證和成品測試環節。在晶圓檢測環節中,探針測試主要對封裝前晶圓上的裸芯片進行性能測試,裸芯片上有多個 PAD 點用于信號傳輸(即圖 41 綠色方塊上多個方形區域,邊長約數十微米),測試時探針與之接觸并輸入、輸出測試信號。裸芯片經 PAD 引出引腳、覆蓋封裝材料等工序后即成為成品芯片,穩定性與尺寸均大幅提升;分選機主要用于分選機主要用于 IC 設計階段的驗證環節和封測階段的成品測試環節,一般設計階段的驗證環節和封測階段的成品測試環節,一般和探針臺配合使用。和探針臺配合使用。分選機將待檢測的芯片自動傳送至測試工位(用于將芯片與測試機連接并進行測試的
135、位置),待檢測芯片的引腳通過測試工位上的專用連接線與測試機的功能模塊進行連接,測試機在進行檢測之后將測試結果傳送給分選機,分選機根據測試結果將檢測過的芯片進行標記、分類、收料。相較傳統相較傳統 DRAM,HBM 增加了預鍵合晶圓級測試增加了預鍵合晶圓級測試流程,并針對流程,并針對 KGSD 增加多增加多種封裝級測試工藝。種封裝級測試工藝。傳統 DRAM 測試流程包括晶圓級和封裝級測試,常見的晶圓級測試包括晶圓老化(WFBI)測試、冷/熱測試、存儲器矩陣修復等組成,HBM增加了針對堆疊的核心芯片進行預鍵合測試,以檢測 MBIST 和 PHY 電路中的缺陷,以確保堆疊的芯片具有相同的質量水平;對于
136、封裝級測試,在傳統 DRAM中,老化測試、熱/冷測試和速度測試均在封裝級別進行,然而 HBM 堆疊的 KGSD(已知的良好堆疊管芯)具有晶圓的外形尺寸,因此無法使用傳統的 DRAM 封測解決方案,需要增加 TSV 測試、動態模式老化測試、溫度處理無晶圓損壞測試,并通過直接訪問焊盤進行高速測試。針對基礎晶圓(針對基礎晶圓(Base die),),HBM 的的基礎晶圓為邏輯基礎晶圓為邏輯 die,需要增加掃描測,需要增加掃描測試和高速試和高速 PHY 測試;測試;針對針對 TSV,需要增加開路,需要增加開路/短路測試,確保短路測試,確保 DRAM 和和 Base die 之間數據和之間數據和命令信
137、號的連接;命令信號的連接;針對針對 KGSD,需要增加高溫下的動態和靜態應力測試,在預鍵合階段,僅,需要增加高溫下的動態和靜態應力測試,在預鍵合階段,僅進行靜態應力測試,以便在堆疊時更好施加應力;另外,進行靜態應力測試,以便在堆疊時更好施加應力;另外,KGSD 還需要增還需要增加晶圓級速度測試環節,這需要特定的探針卡以滿足加晶圓級速度測試環節,這需要特定的探針卡以滿足 HBM 堆疊芯片并行測堆疊芯片并行測試需求;試需求;針對針對 I/O 接口,由于接口,由于 HBM 中中 I/O 接口高達接口高達 1000 個以上,而直徑和間距太個以上,而直徑和間距太小,無法在小,無法在 KGSD 期間測試到
138、,還需要增加特定的期間測試到,還需要增加特定的 PHY I/O 測試環節。測試環節。敬請閱讀末頁的重要說明 43 行業深度報告 圖圖 54:普通普通 DRAM 和和 HBM 測試流程測試流程對比對比 資料來源:High-Bandwidth Memory(HBM)Test Challenges and Solutions,招商證券 2、減?。喝驕p?。喝驕p薄設備減薄設備市場空間超市場空間超 8 億美元億美元,HBM 多層堆多層堆疊結構提升設備需求疊結構提升設備需求 用來提高晶圓強度的襯底材料用來提高晶圓強度的襯底材料 90%以上均需要被去除,背面減薄工藝可將晶圓以上均需要被去除,背面減薄工藝可
139、將晶圓減薄至減薄至 250um-20um。伴隨硅片和晶圓尺寸的增大,其厚度也需要相應增厚來保證硅片或晶圓在制造過程中具有足夠的強度,例如 6 英寸和 8 英寸的晶圓的厚度分別為 625um 和 725um,12 英寸硅片的平均厚度為 775um。對于 725um 厚度的 12 英寸晶圓,其電路層的有效厚度一般為 5-10um,總厚度 90%以上的襯底材料是為了保證晶圓在制造、測試和運送過程中具備足夠的強度。隨著芯片集成化程度提高,晶圓厚度一般越薄越好,因此,在晶圓制造完成之后,需要首先通過晶圓背面減?。˙ack Grinding)工藝來降低厚度,再進行劃片、貼片等后續封裝工藝。對于單芯片封裝來
140、說,經過背面減薄的晶圓厚度一般會從對于單芯片封裝來說,經過背面減薄的晶圓厚度一般會從700-800um 減少到減少到 200-250um;對于多層堆疊芯片封裝來說,晶圓一般需減??;對于多層堆疊芯片封裝來說,晶圓一般需減薄至至 70-80um,通過兩次研磨工藝甚至可以減薄至,通過兩次研磨工藝甚至可以減薄至 20um。2022 年全球晶圓減薄市場約年全球晶圓減薄市場約 8.2 億美元,主要為億美元,主要為 DISCO、東京精密等海外廠商、東京精密等海外廠商壟斷。壟斷。根據 QYResearch,2022 年全球減薄機市場規模約 8.2 億美元,2018-2022年CAGR約為18.7%,預計到20
141、29年將增長至接近13.2億美元,未來6年CAGR大約 6.5%。全球主要減薄機廠商包括 Disco、東京精密(TOKYO SEIMITSU)、敬請閱讀末頁的重要說明 44 行業深度報告 G&N、Okamoto Semiconductor Equipment Division 等,CR3 占有 85%的份額。圖圖 55:全球晶圓減薄機市場規模全球晶圓減薄機市場規模 資料來源:QYResearch,招商證券 先進封裝、先進封裝、Chiplet 等技術需要厚度更低的超薄晶圓,將大幅提升對減薄設備的等技術需要厚度更低的超薄晶圓,將大幅提升對減薄設備的需求。需求。芯片不斷追求更高的集成度和更小的體積,
142、3D IC 等工藝得以發展,通過硅穿孔(TSV)等技術實現 IC 堆疊,可以有效減小 IC 之間互連的長度,將芯片整合成效能最佳、體積最小的狀態,目前大部分的 3D NAND、背照型 CMOS 圖像傳感器、智能手機 SoC 等先進芯片均使用 2.5 或 3D IC 技術。傳統的減薄工藝一般只需要將晶圓減薄至 100-200um,但在 3D 封裝中,需要將多層芯片進行堆疊,往往需要將晶圓厚度減薄至 50-100um 甚至 50um 以下。根據 Yole,2019年全球減薄后晶圓出貨量為 1 億片,預計到 2025 年上升至 1.35 億片,其中100-200um 晶圓仍占據主要份額,約為 820
143、0 萬片;30-50um 晶圓增速最快,2019-2025 年 CAGR 為 98%,在 2025 年預計出貨量約 170 萬片。圖圖 56:2021-2025 年減薄后晶圓數量年減薄后晶圓數量 資料來源:Yole,招商證券整理 減薄拋光是減薄拋光是 TSV 工藝中工藝中介于電鍍和鍵合之間的重要工序介于電鍍和鍵合之間的重要工序,幫助實現,幫助實現 HBM 多層多層DRAM die 堆疊。堆疊。晶圓廠一般負責 TSV 的成型工藝,主要包括刻蝕和沉積,封裝廠一般負責中段制程(MEOL),即硅通孔露出和背面金屬化工藝,主要包括減薄、鈍化和鍵合等,以及最后的封裝工藝。在沉積完成后,需要電鍍方法形成銅,
144、一般采用自下而上的方法(Bottom-up);在電鍍完成后,需要對晶圓進行減薄拋光來使 TSV 背面的銅層快速露出,一般采用機械研磨等方法將晶圓減薄至50m 甚至更薄,并采用 CMP 等技術露出銅通孔中的銅柱。減薄后的晶圓將大大降低 TSV 阻抗,還會增加數據帶寬、降低熱阻,最終增加互連密度。在在 HBM0%5%10%15%20%25%30%35%40%02468101214201820192020202120222023E2024E2025E2026E2027E2028E2029E收入(億美元)yoy 敬請閱讀末頁的重要說明 45 行業深度報告 結構中,減薄和鍵合工藝配合使用,結構中,減薄和
145、鍵合工藝配合使用,DRAM die 之間不再需要導電凸塊,芯片的之間不再需要導電凸塊,芯片的厚度將薄數倍,整體堆疊高度得以降低。經過背面減薄的晶圓厚度一般從厚度將薄數倍,整體堆疊高度得以降低。經過背面減薄的晶圓厚度一般從700-800um 降至降至 70-80um,減薄到十分之一厚度的晶圓能夠堆疊,減薄到十分之一厚度的晶圓能夠堆疊 4-6 層,經過層,經過兩次減薄工藝,晶圓可以減薄至大約兩次減薄工藝,晶圓可以減薄至大約 20um,從而,從而實現未來實現未來 HBM 堆疊堆疊 16-32 層。層。圖圖 57:多芯片封裝結構中減薄后的芯片厚度:多芯片封裝結構中減薄后的芯片厚度 資料來源:SK 海力
146、士,招商證券整理 3、互連互連:超薄晶圓增加臨時鍵合超薄晶圓增加臨時鍵合/解鍵合需求,混合鍵合市解鍵合需求,混合鍵合市場有望指數級增長場有望指數級增長 鍵合(鍵合(Bonding)屬于后道封裝過程,)屬于后道封裝過程,按鍵合的材料類型按鍵合的材料類型分為晶圓分為晶圓-晶圓鍵合晶圓鍵合(Wafer-to-Wafer,W2W)和芯片)和芯片-晶圓鍵合(晶圓鍵合(Die-to-Wafer,D2W)。鍵合主要指將兩片表面清潔、原子級平整的同質或異質半導體材料經表面清洗和活化處理,在一定條件下直接結合,通過范德華力、分子力甚至原子力使兩片半導體材料成為一體的技術。W2W 是指通過化學或物理反應將晶圓與晶
147、圓、晶圓與玻璃基板或其他材料圓片永久結合起來的工藝。鍵合過程為,在外能量的作用下,兩個晶圓接合界面上的原子相互反應形成共價鍵,從而使晶圓接合并達到一定的界面鍵合強度;D2W 指在劃片工藝之后,將從晶圓上切割的芯片黏貼在封裝基板(引線框架或印刷電路板)上。芯片鍵合的傳統方法為引線鍵合(Wire Bonding),先進方法采用倒裝鍵合(Flip Chip Bonding)、混合鍵合(Hybrid Bonding)等。圖圖58:W2W 鍵合過程及后續工藝流程鍵合過程及后續工藝流程 圖圖59:晶圓鍵合設備流程晶圓鍵合設備流程 資料來源:3D 集成晶圓鍵合裝備現狀及研究進展,招商證券 資料來源:3D 集
148、成晶圓鍵合裝備現狀及研究進展,招商證券 敬請閱讀末頁的重要說明 46 行業深度報告 圖圖 60:D2W 鍵合工藝鍵合工藝 資料來源:SK 海力士,招商證券 按鍵合的方式,鍵合分為按鍵合的方式,鍵合分為臨時鍵合和永久鍵合,鍵合精度、材料選擇等是工藝成臨時鍵合和永久鍵合,鍵合精度、材料選擇等是工藝成功的關鍵功的關鍵。晶圓鍵合工藝是半導體器件物理、材料物理化學、精密機械設計、高精度自動控制等多學科交叉的領域,對對位精度、鍵合溫度均勻性、鍵合壓力范圍及控制精度等要求較高,另外用于固定薄晶圓的鍵合膠也是工藝成功的關鍵。1)臨時鍵合:)臨時鍵合:超薄晶圓需要超薄晶圓需要臨時鍵合臨時鍵合至較厚的載體上,方便
149、后續進行減薄等一至較厚的載體上,方便后續進行減薄等一些列工藝。些列工藝。柔性、易碎、翹曲是減薄后晶圓的特點,因此需要通過臨時鍵合工藝,用中間材料將薄晶圓鍵合到較厚的載體片上,再經過背面減薄、TSV 開孔、重布互聯等工藝后,再輸入外界能量(光、電、熱、力等)使粘層失效,無損地將晶圓與載體片分離;2)永久鍵合:指通過化學或物理反應將晶圓與晶圓、晶圓與玻璃基板或其他材)永久鍵合:指通過化學或物理反應將晶圓與晶圓、晶圓與玻璃基板或其他材料圓片永久結合起來的工藝。料圓片永久結合起來的工藝。在外能量的作用下,兩個晶圓接合界面上的原子相互反應形成共價鍵,從而使晶圓接合并達到一定的界面鍵合強度。在 TSV 封
150、裝工藝中,為了形成良好的電學互聯,精度要達到連接柱直徑的 10%,對連接精度要求很高,TSV 連接柱的直徑一般為 5um 以下,那么連接精度就要求在 0.5um以下,這時候采用晶圓對晶圓的對準方式就能實現很好的對準精度?;旌湘I合技術能夠實現芯片模塊間快速通信,成為最先進的新一代鍵合技術?;旌湘I合技術能夠實現芯片模塊間快速通信,成為最先進的新一代鍵合技術。在混合鍵合之前,2D、2.5D、3D 封裝均使用焊球凸點或微凸點來實現芯片與基板、芯片與中介層之間的連接,而由于凸點間距逐漸縮小,芯片模塊間的通信速度不斷提升,原來的錫焊球無法滿足工藝要求;銅-銅混合鍵合(Hybrid Bonding)通過精密
151、間隔的銅焊盤垂直連接 D2W 或 W2W,信號丟失率可忽略不計,在高數據量、高性能計算領域優勢明顯。敬請閱讀末頁的重要說明 47 行業深度報告 圖圖 61:采用微凸塊和混合鍵合工藝對比:采用微凸塊和混合鍵合工藝對比 資料來源:SK 海力士,招商證券 HBM 的多層堆疊結構的多層堆疊結構帶動臨時鍵合、混合鍵合等需求。帶動臨時鍵合、混合鍵合等需求。在傳統工藝中,每一代SoC 上集成更多的功能,但芯片縮放越來越困難,而使用 Chiplet 技術,大型 SoC被分割成更小的 Dies 或 IP 塊,并重新聚合成一個全新的設計,對臨時鍵合、混合鍵合等需求均有拉動。1)臨時鍵合需求:)臨時鍵合需求:在 C
152、hiplet 技術中,為了縮小芯片體積、提高芯片散熱性能和傳導效率等,晶圓減薄工藝會被大量應用,為了不損傷減薄中以及減薄后晶圓,需要將晶圓片與玻璃基板臨時鍵合并在完成后續工藝后最終解鍵合。同時在Chiplet 技術路線下,Fan-out、CoWoS 等封裝工藝路線都要經過單次或多次的臨時鍵合及解鍵合工藝來實現芯?;ヂ?;2)混合鍵合需求:)混合鍵合需求:隨著臺積電、英特爾、三星等廠商開始采用 3D 封裝工藝,Chiplet 應用范圍擴大,混合鍵合越來越多用于 CPU、GPU 及高性能計算中。最早實現 Hybrid Bonding 量產的產品是索尼的圖像傳感器,下部電路芯片和上部像素芯片之間增加了
153、銅連接焊盤,同時建立物理和電氣連接;又如在 AMD 的一個方案中,AMD 堆疊了 SRAM 和一個處理器芯片,形成了 3D 封裝形式,并在頂部結合了高性能的 MPU 和高速緩存,使用混合鍵合連接各個 Die。表表 10:混合鍵合工藝的應用混合鍵合工藝的應用 背面發光背面發光 圖像傳感器圖像傳感器 存儲存儲 邏輯邏輯 3D NAND HBM 疊層疊層 DDR6+下一代存儲下一代存儲 SoC 分割分割成更小的成更小的 Die 縮放縮放 鍵合 形式 光電二極管+DRAM+Logic NAND Block+周邊 12+層堆疊 Peri under DRAM Peri on MRAM、FeRAM、PCM
154、 3D SoC SRAM+Logic Backside PDN(5nm node)鍵合 過程 W2W W2W W2W and/or W2W W2W W2W W2W W2W hybrid hybrid hybrid hybrid hybrid hybrid hybrid hybrid 間距 2um1um 2um1um 5um3um 2um1um 2um1um 9um2um 2um By scanner 成熟度 大規模生產 大規模生產 研發 研發 研發 試產 試產 試產 敬請閱讀末頁的重要說明 48 行業深度報告 應用 示例 資料來源:EVG Group,招商證券 半導體晶圓鍵合設備全球市場空間大
155、約半導體晶圓鍵合設備全球市場空間大約 9 億美元,混合鍵合設備細分市場有望迎億美元,混合鍵合設備細分市場有望迎來指數級增長。來指數級增長。根據 thebrainyinsights 數據,2021 年全球半導體鍵合設備市場空間大約 8.74 億美元,當前晶圓鍵合設備主要為海外廠商壟斷,行業龍頭包括奧地利的 EVG Group、德國的 SUSS、日本 TEL 等,國內尚無多模塊集成的晶圓鍵合設備,技術與國外差距較大,國內主要廠商為拓荊科技、上海微電子(SMEE),蘇州芯圖(芯??萍迹?、華卓精科等,芯源微正在研臨時鍵合機、解鍵合機等新型設備。伴隨著“后摩爾時代”來臨,三維集成領域進入成長期,混合鍵合
156、設備細分市場有望迎來指數級增長。4、模塑:模塑:用于對芯片進行塑封保護用于對芯片進行塑封保護,HBM 采用注塑或壓縮采用注塑或壓縮式工藝進行塑封式工藝進行塑封 模塑(模塑(Molding)是指一種將芯片或器件模塑料進行保護的封裝工藝是指一種將芯片或器件模塑料進行保護的封裝工藝,傳統封裝,傳統封裝采用注射或傳遞式成型塑封法采用注射或傳遞式成型塑封法。模塑使裸露于外界的芯片、器件及連接線路通過外部塑封體得到保護,免受外界環境對半導體器件的侵蝕,避免產品失效。對于傳統的 TSSOP、DFN、QFN 及 BGA 等封裝工藝,半導體塑封主要采用注射式成型塑封(Injection Molding)或傳遞成
157、型塑封(Transfer Molding),過程為:將引線鍵合連接芯片的基板放在兩個模具上,同時將環氧樹脂模塑料片放在中間,然后通過注塑頭施加熱量和壓力,使環氧樹脂塑料熔化為液態,流入模具并填充間隙。圖圖 62:引線鍵合采用的傳遞式模塑工藝:引線鍵合采用的傳遞式模塑工藝 資料來源:Compensation Method for Die Shift Caused by Flow Drag Force in Wafer-Level Molding Process,Hye Jin Lee,招商證券整理 壓縮式成型塑封主要用于存儲器等多疊層超薄晶圓的封裝,可滿足晶圓級等先進壓縮式成型塑封主要用于存儲器
158、等多疊層超薄晶圓的封裝,可滿足晶圓級等先進封裝需求。封裝需求。在壓縮式塑封(Compression Molding)工藝中,模具會預先填充環 敬請閱讀末頁的重要說明 49 行業深度報告 氧樹脂模塑料粉末,基板放入模具中后,隨后施加熱量和壓力,模具中填充的環氧樹脂模塑料粉末會液化并最終成型。其會即刻熔化為液體,無需流動便可填充間隙。由于塑封料在模具腔體內無流動,可實現無沖線塑封和超薄塑封,也可實現晶圓級等大面積塑封需求,例如 LGA、WLCSP 等。隨著扇出型封裝的興起,隨著扇出型封裝的興起,塑封起到重新構造晶圓、面板,并增加芯片布線、布球的功能,在主流的晶圓級、塑封起到重新構造晶圓、面板,并增
159、加芯片布線、布球的功能,在主流的晶圓級、面板級扇出封裝中,塑封工藝是關鍵工藝。面板級扇出封裝中,塑封工藝是關鍵工藝。圖圖 63:多疊層封裝采用的壓縮式模塑工藝:多疊層封裝采用的壓縮式模塑工藝 資料來源:Compensation Method for Die Shift Caused by Flow Drag Force in Wafer-Level Molding Process,Hye Jin Lee,招商證券整理 SK 海力士的海力士的 HBM 采用采用 MR 方式方式將液態將液態 LMC 注入注入 DRAM die 之間之間??紤]到批量回流工藝的效率高、可靠性高,因此 SK 海力士在其
160、HBM3、HBM3e 堆疊中并未采用 TC(熱壓)方式,而是沿用 MR(批量回流)工藝進行 HBM 間模塑材料的填充。具體過程為,直接將液態的 LMC 材料注入 DRAM die 之間的空間,并進行固化,從而達到芯片保護的作用。SK 海力士采用新技術來控制晶圓變薄,使其不會彎曲;在 12 層及以上堆疊過程中,瞬時施加強烈熱量,以確保連接芯片的凸塊均勻拼接;將 LMC 材料置于真空下,并施加 70 噸壓力來填充芯片之間的狹小空間。敬請閱讀末頁的重要說明 50 行業深度報告 五五、HBM 提升提升 EMC、電鍍液、電鍍液、PSPI 等等材料材料需求需求,CXL 搭配搭配 HBM 有望突破有望突破
161、CPU 內存極限內存極限 1、環氧塑封料環氧塑封料:用于保護芯片,用于保護芯片,GMC 和和 LMC 及原材料均及原材料均受益于受益于 HBM 需求增長需求增長 EMC起到保護芯片的功能,起到保護芯片的功能,在傳統和先進封裝中均廣泛應用在傳統和先進封裝中均廣泛應用。環氧塑封料(Epoxy Molding Compound,簡稱 EMC)全稱為環氧樹脂模塑料,屬于包裝材料,是用于半導體封裝的一種熱固性化學材料,由環氧樹脂為基本樹脂,以高性能酚醛樹脂為固化劑,加入硅微粉等填料,以及添加多種助劑加工而成,主要功能是保護半導體芯片不受外界環境(水汽、溫度、污染等)的影響,并實現導熱、絕緣、耐濕、耐壓、
162、支撐等復合功能。EMC 在傳統引線鍵合封裝和先進封裝中均廣泛應用,FOWLP、多層堆疊封裝對塑封料提出了更高的性能要求。圖圖 64:EMC 應用場景應用場景 資料來源:華海誠科招股書,招商證券 EMC 主要包括液態塑封料(主要包括液態塑封料(LMC,Liquid Molding Compound)與顆粒狀環)與顆粒狀環氧塑封料(氧塑封料(GMC,Granular Molding Compound)。)。1)GMC 指采用均勻撒粉的方式,在預熱后變為液態,將帶有芯片的承載板浸入到樹脂中而成型,具有操作簡單、工時較短、成本較低等優勢;2)LMC 指通過將液態樹脂擠壓到產品中央,在塑封機溫度和壓力的
163、作用下增強液態樹脂的流動性,從而填滿整個晶圓。LMC 具備可中低溫固化、低翹曲、模塑過程無粉塵、低吸水率及高可靠性等優具備可中低溫固化、低翹曲、模塑過程無粉塵、低吸水率及高可靠性等優點,是目前用于晶圓級封裝的相對成熟的塑封材料。點,是目前用于晶圓級封裝的相對成熟的塑封材料。EMC 的填充料成本占比最高,主要無機填料為球形硅微粉的填充料成本占比最高,主要無機填料為球形硅微粉和球形氧化鋁和球形氧化鋁。目前常見的環氧塑封料主要組成為填充料(60-90%)、環氧樹脂(18%以下)、固化劑(9%以下)、添加劑(約 3%)。在先進封裝中,環氧塑封料的主要要求為高耐潮、低應力、低射線、耐浸焊和回流焊,需要保
164、證塑封性能好,因此環氧塑封料必須在無機樹脂基體內摻雜無機填料,現有的無機填料基本均為二氧化硅球形微粉,具有降低塑封料的線性膨脹系數。增加熱導,降低介電常數,環保、阻燃,減小內應力,防止吸潮,增加塑封料強度,降低封裝料成本等作用。另外,針對另外,針對HBM 封裝等高導熱存儲芯片封裝領域,封裝等高導熱存儲芯片封裝領域,顆粒封裝材料(顆粒封裝材料(GMC)中)中一般將一般將 TOP CUT20um 以下球形硅微粉以下球形硅微粉和和 Low 球形氧化鋁球形氧化鋁復配混用,散熱要求越高的場復配混用,散熱要求越高的場景,景,Low-球鋁的球鋁的占比會越高占比會越高。傳統的傳統的 HBM 采用采用 TC-N
165、CF 技術技術堆疊堆疊 DRAM die 并用并用 GMC 材料保護材料保護,SK 海力海力士獨創士獨創 MR-MUF 技術技術將將 LMC 材料材料用于用于塑封塑封 HBM3 和和 HBM3E。1)TC-NCF(Non-Conductive Film,非導電薄膜)技術即將上下兩層 die 之間通過 TC(Thermal Compression,熱壓)工藝,用一層薄膜(DAF 膜等)連接起來,美 敬請閱讀末頁的重要說明 51 行業深度報告 光和三星均采用這種方式,SK 海力士在 HBM 和 HBM2E 中也采用這種方式。在傳統 HBM 中,塑封模具中會預先填充 GMC 顆粒狀塑封料,隨后施加熱
166、量和壓力,使 GMC 液化并最終成型為塑封料;2)MR-MUF(Mass reflow bonding with molded underfill,批量回流模制底部填充)為 SK 海力士獨創的技術,即將半導體芯片堆疊后,為保護芯片和芯片之間的電路,在其空間中注入液體形態的保護材料,即 LMC(Liquid Molding Compound)并進行固化。由于 MR-MUF 效率高、可靠性高,散熱性能好(SK 海力士的 MR-MUF 技術焊接溫度比 TC-NCF技術低 14),因此 SK 海力士將 MR-MUF 技術用于 HBM3 和 HBM3E 產品中,并憑借此技術迅速占領 HBM 大多數市場份
167、額。圖圖 65:MR-MUF 技術的焊接溫度(技術的焊接溫度(Tj)比)比 TC-NCF 技術低技術低 14 資料來源:a study on the advanced chip to wafer stack for better thermal dissipation of high bandwidth memory_SK 海力士,招商證券 2021 年國內年國內 EMC 市場規模約市場規模約 66 億元,億元,HBM 帶動帶動 EMC 和球形硅微粉等市場增和球形硅微粉等市場增長長。根據華海誠科招股書,2021 年中國包封材料市場規模為 73.6 億元,EMC占比大約 90%,即市場規模大約
168、66.24 億元。在傳統封裝領域,內資 EMC 廠商份額逐漸提升,在 SOP、QFP 等領域仍存在一定替代空間;在先進封裝領域,市場份額基本被住友電木、藹司蒂、京瓷等外資廠商占據,內資廠商多處于客戶驗證階段,少數廠商產品實現小批量產。敬請閱讀末頁的重要說明 52 行業深度報告 表表 11:環氧塑封料國產化水平及競爭格局:環氧塑封料國產化水平及競爭格局 下游封裝類下游封裝類型型 下游封裝技術下游封裝技術 環氧塑封料國產化程度環氧塑封料國產化程度 環氧塑封料競爭格局環氧塑封料競爭格局 傳統封裝 DO、SMX、TO、DIP 等 由內資廠商主導,但在應用于 TO 領域內外資整體相當 市場主要由華海誠科
169、、衡所華威、長春塑封料等塑封料廠商主導 SOD、SOT、SOP、QFP 等 仍由外資廠商主導,但內資廠商的市場份額逐步提升,大部分產品性能已達到外資同類產品的水平,仍存在一定的替代空間 市場份額主要被住友電木、藹司蒂、華海誠科、衡所華威四家廠商占據 先進封裝 QFN、BGA 等 外資廠商基本處于壟斷地位,內資廠商產品仍主要處于導入考核階段,較少數內資廠商已實現小批量生產,存在較大的替代空間 市場份額基本由住友電木、藹司蒂等外資領先廠商占據,以發行人為代表的較少數內資廠商已陸續通過主流廠商的考核驗證,并實現小批量生產 SiP、MUF、FOWLP 等 外資廠商處于壟斷地位,內資廠商處于產品開發或客
170、戶考核階段,產品類別相對單一 市場份額主要由住友電木、藹司蒂、京瓷等外資領先廠商占據,國內布局相對有限,華海誠科目前用于FC、SiP、FOWLP/FOPLP 等領域封裝材料陸續通過客戶驗證 資料來源:華海誠科招股書,招商證券 2、電鍍液:用于形成電鍍液:用于形成 HBM 銅凸塊、銅凸塊、TSV 等結構,全球市等結構,全球市場空間約場空間約 10 億美元億美元 電鍍液及添加劑主要用于銅互聯工藝,全球市場空間接近電鍍液及添加劑主要用于銅互聯工藝,全球市場空間接近 10 億美元。億美元。電鍍液在IC 制造和先進封裝中用于銅互聯工藝,該工藝貫穿整個芯片制造過程,隨著先進封裝對鍍銅材料需求快速增加,大馬
171、士革銅互聯、先進封裝凸塊電鍍(Cu Pillar/Bump/RDL/UBM)、硅通孔(TSV)電鍍等材料市場不斷擴大,在先進芯片中互聯材料首尾連接可長達約 30 英里。根據 TECHCET,銅互聯材料是電鍍材料最大的細分市場,2022 年全球半導體用電鍍材料市場規模約 10.2 億美元,預計到 2026 年增加至 13.8 億美元。IC 制造工藝中金屬布線用于連接電子元器件層,銅互聯采用電鍍方式實現銅的制造工藝中金屬布線用于連接電子元器件層,銅互聯采用電鍍方式實現銅的填充。填充。IC 最初采用鋁作為導體,二氧化硅作為絕緣體來構造互聯層,整個互聯過程從在晶圓表面沉積鋁開始,然后通過選擇性刻蝕形成
172、布線圖案,沉積氧化物絕緣體,并利用 CMP 使晶圓表面平坦化;隨著器件特征尺寸縮小,越來越薄的鋁線無法實現所需的速度和電性能,銅互聯結構逐步取代鋁互聯;然而由于銅不易形成揮發性化合物,因此使用等離子干法刻蝕銅的方法并不可行,因此工程師選擇采用大馬士革銅互聯鑲嵌工藝(即借鑒大馬士革的珠寶行業,先在基底金屬上刻蝕圖案,再將貴金屬嵌入圖案中),先沉積和刻蝕電介質材料,再將銅填充到圖案之中。為了實現高深寬比圖案特征,必須采用電鍍而非 PVD 或 CVD 來填充銅金屬。敬請閱讀末頁的重要說明 53 行業深度報告 圖圖 66:鋁互聯和銅互聯需要的不同工藝流程:鋁互聯和銅互聯需要的不同工藝流程 資料來源:S
173、K 海力士、招商證券整理 HBM 引入銅互連工藝,引入銅互連工藝,電鍍液電鍍液主要主要用于形成銅柱凸塊、用于形成銅柱凸塊、TSV 等結構等結構。由于 HBM中需要的 Bumping、RDL、TSV 等先進封裝工藝引入前道電鍍工藝環節,自然帶來電鍍液需求提升。1)在 Bumping 工藝中,電鍍步驟位于涂膠顯影工藝環節之后,用于制備一定厚度的金屬層作為 UBM;2)在 RDL 工藝中,每層 RDL 布線均需要電鍍來形成銅凸塊;3)TSV 技術的核心是在晶圓上打孔,并在硅通孔中進行鍍銅填充,從而實現晶圓的互聯和堆疊,在無需繼續縮小芯片線寬的情況下,提高芯片的集成度和性能。和芯片制造銅互連工藝相比,
174、和芯片制造銅互連工藝相比,TSV 電鍍的尺寸電鍍的尺寸更大,通常需要更長的沉積時間、更高的電鍍速率以及多個工藝步驟,銅互連電更大,通常需要更長的沉積時間、更高的電鍍速率以及多個工藝步驟,銅互連電鍍液及添加劑成本占鍍液及添加劑成本占 TSV 工藝的總成本比重也更高。工藝的總成本比重也更高。3、PSPI:用于用于 HBM 的的硅硅中介層中介層,形成,形成 RDL 的再鈍化層的再鈍化層 聚酰亞胺聚酰亞胺具有最高的阻燃等級,高端光敏聚酰亞胺主要用作光敏光刻膠和先進封具有最高的阻燃等級,高端光敏聚酰亞胺主要用作光敏光刻膠和先進封裝樹脂裝樹脂。聚酰亞胺(Polymide,PI)指分子結構主鏈中含有酰亞胺結
175、構的高分子聚合物,高性能 PI 的主鏈大多以芳環和雜環為主要結構單元。PI 具有最高的阻燃等級(UL-94),良好的電氣絕緣性能、機械性能、化學穩定性、耐老化性能、耐輻照性能、低節點損耗等,這些性能在很寬的溫度范圍(-269-400)內不會發生顯著變化。光敏聚酰亞胺(Photosensitive Polyimide,PSPI)是一類在高分子鏈上兼有亞胺環和光敏基因,具備良好的感光性能,主要用于光刻膠和電子封裝領域。作為光刻膠:在 PSPI 中添加增感劑、穩定劑等可以得到 PSPI 光刻膠,相較于傳統光刻膠,PSPI 光刻膠無需涂覆光阻隔劑;作為電子封裝材料:PSPI 可用于:緩沖涂層、鈍化層、
176、射線屏蔽材料、層間絕緣材料、晶片封裝材料等,還可以用于集成電路和多芯片封裝件的封裝。敬請閱讀末頁的重要說明 54 行業深度報告 在在 HBM 中,中,PSPI 主要用于主要用于硅中介層硅中介層的的 RDL 等。等。在 WLCSP 封裝中,為了緩解焊球凸點和再布線層對芯片產生的應力,會在芯片表面和再布線層表面涂覆一層高分子薄膜材料,成為再鈍化層(Repassivation Layer)。目前,再鈍化層材料除了 PSPI 外,還包括聚苯并咪唑(Polybenzoxazole,PBO)和苯并環丁烯(Benzocyclobutene,BCB)。圖圖 67:CoWoS 工藝工藝 RDL 布線中的布線中的
177、 PSPI 資料來源:臺積電,招商證券 4、封裝基板:用于、封裝基板:用于 HBM 硅中介層下方,實現硅中介層下方,實現內部芯片與外內部芯片與外部部電路電路間的電氣連接間的電氣連接 封裝基板(封裝基板(Package Substrate)可分為有機基板和陶瓷基板)可分為有機基板和陶瓷基板。有機基板由有機樹脂和玻璃纖維布為主要材料,一般采用銅箔作為導體,有機樹脂包括:環氧樹脂(FR4)、BT 樹脂(耐高溫雙馬來酰亞胺三嗪)、PPE 樹脂(聚苯醚樹脂)、PI 樹脂(聚酰亞胺樹脂)等;陶瓷基板具備更好的機械和熱性能,通常包括:HTCC、LTCC、氮化鋁等。英特爾主導研發了一種以 ABF(Ajinom
178、oto Build-up film)作為原材料的基板,相較于 BT 基板,ABF 材質可用于線路較細、高訊息傳輸的 IC,比如 CPU、GPU 等芯片。ABF 作為基板的優勢在于,銅箔基板上面附著 ABF 增厚薄膜就可以直接電鍍銅來形成金屬布線,不需要熱壓過程。在 HBM 中,硅中介層一般采用 FC-BGA(球柵陣列封裝)和下方封裝基板相連,采用錫球來代替引線框架,錫球附著于基板底部,引線與基板頂部連接,基板中心位置由名為“芯板(Core)”的材料構成,通過將銅箔與浸漬過有機樹脂的玻璃纖維粘合在一起,金屬引線在銅箔表面形成,之后在銅箔上涂覆阻焊劑,露出作為保護層的金屬焊盤/引腳。敬請閱讀末頁的
179、重要說明 55 行業深度報告 圖圖 68:經過封裝工藝處理過的基板:經過封裝工藝處理過的基板 資料來源:SK 海力士,招商證券 5、CXL:能夠突破能夠突破 CPU 內存極限,未來將越來越多搭配內存極限,未來將越來越多搭配HBM 使用使用 HBM 目前目前直接搭配直接搭配 CPU 的的應用較少,主要系應用較少,主要系訪問延遲訪問延遲等限制。等限制。由于目前 HBM訪問延遲較高,在訪問某個特定位置的數據后,需要若干周期的時間以后才能抵達該位置并執行控制器發出的指令,同時由于 HBM 和主芯片在同一系統中進行SiP 封裝,靈活性欠佳,HBM 顯存容量基本不可以后續進行擴展。因此,當前HBM 在 P
180、C 等 CPU 中應用較少,2022 年 11 月,英特爾發布全球首款配備 HBM內存的x86 CPU“至強Max”Sapphire Rapids,搭載4個HBM2e Stack供64GB,每個 HBM2e Stack 容量為 16GB。CXL 使使 CPU 內存空間和連接設備上的內存之間保持一致性,進而突破內存空間和連接設備上的內存之間保持一致性,進而突破 CPU 內內存極限。存極限。AI 等應用促進處理的數據指數級增長,服務器越來越多轉向異構計算架構,即使用專門的 GPU 等加速器從 CPU 卸載專門的工作負載。CXL(Compute Express)是一種新的開放式互聯標準,是基于 PC
181、Ie 物理層的高速、低延遲 CPU設備互聯技術,可以在主機 CPU 和互聯設備(例如加速器和存儲器擴展設備)之間提供高效連接,能夠簡化加速器和內存擴展的互聯和可擴展性。CXL 本質特點是確保直接掛載的 CPU 存儲器與 CXL 設備上的存儲器保持一致,使主機和CXL 設備之間可以無縫讀取相同的數據;允許通過 CXL 接口將各種類型(例如易失性、持久性等)的存儲器掛載到主機,這與底層存儲器技術無關;支持交換和內存池,交換功能可實現存儲器擴展,池化功能允許動態分配和釋放存儲器資源,從而提高整體系統效率。敬請閱讀末頁的重要說明 56 行業深度報告 圖圖 69:CXL 工作原理工作原理 資料來源:三星
182、電子官網,招商證券 CXL 可以作為可以作為 HBM 的補充,未來的補充,未來 CXL 將越來越多搭配將越來越多搭配 HBM 使用。使用。根據 CXL聯盟,目前確定了 CXL 互聯的三類主要設備:智能 NIC 等加速器通常缺少本地內存,CXL 可以實現 NIC 等設備和主機 CPU 的 DDR 內存進行通信;GPU、ASIC 和 FPGA 等都配備了 DDR 或 HBM 內存,可以使用 CXL 使主機 CPU 的內存在本地供加速器使用,加速器的內存也可在本地供 CPU 使用,并且加速器和CPU 的內存位于同一個緩存的一致域中,有助于提升異構工作負載;連接內存緩沖區(buffer),為主機 CP
183、U 提供額外的帶寬和容量。圖圖 70:CXL 連接的三類設備連接的三類設備 資料來源:Intel,招商證券 敬請閱讀末頁的重要說明 57 行業深度報告 六六、海外廠商較早布局海外廠商較早布局 HBM,三大存儲原廠三大存儲原廠占占據據HBM 主要主要市場份額市場份額 HBM 市場份額集中于三大存儲原廠,國內廠商尚不具備市場份額集中于三大存儲原廠,國內廠商尚不具備 HBM DRAM Die 生產生產和堆疊能力。和堆疊能力。HBM 的 DRAM Die 由存儲原廠負責生產和堆疊,目前份額集中于三大原廠 SK 海力士、三星、美光,根據集邦咨詢,2022 年三大原廠 HBM 市占率分別為 SK 海力士
184、50%、三星約 40%、美光約 10%,其中 SK 海力士是 HBM3產品的領先生產商,是英偉達 AI 服務器 GPU 的主要供應商,三星主要滿足其他云端服務商的訂單。表表 12:2022-2024 年年 HBM 市占率預估市占率預估 廠商廠商 2 2022022 2 2023E023E 2 2024E024E SK 海力士 50%46-49%47-49%三星 40%46-49%47-49%美光 10%4-6%3-5%資料來源:Trendforce,招商證券 圖圖 71:海外廠商海外廠商 HBM 產品技術路線規劃產品技術路線規劃 資料來源:Trendforce、招商證券 1、SK 海力士海力士
185、:開發開發 MR-MUF 技術,技術,HBM 市場份額領先市場份額領先 HBM 市場先行者和領軍者,全面市場先行者和領軍者,全面推進推進第五代第五代 HBM3E。2014 年,SK 海力士和AMD 合作開發第一代硅通孔 HBM 產品,還聯合開發了高帶寬三維堆疊存儲器技術和相關產品。HBM1 帶寬高于 DDR4 和 GDDR5 產品,同時以較小的外形尺寸消耗較低的功率,更能滿足 GPU 等帶寬需求較高的處理器;SK 海力士憑借HBM1 占據市場領先地位,并在 2018 年發布第二代產品HBM2,其中一項關鍵的改進是偽通道模式(Pseudo Channel Model),將一個通道分為兩個單獨的
186、64bit I/O 子通道,為每個存儲器的讀寫訪問提供 128 位預取,從而優化內存訪問并降低延遲,從而有效提供帶寬;2020 年,SK 海力士發布第三代產品 敬請閱讀末頁的重要說明 58 行業深度報告 HBM2E,作為 HBM2 的擴展版本,HBM2E 堆疊 8 個 16Gb 芯片,總容量是 HBM2的兩倍,處理速度高達 3.6Gbps;2021 年 10 月,SK 海力士成功開發出第四代產品HBM3,并于 2022 年 6 月開始生產;2023 年 4 月,公司宣布,在全球率先研發出 12 層堆疊的 HBM3 內存,單顆容量最高可達 24GB;2023 年 8 月,公司成功開發出面向 AI
187、 超高性能 DRAM 新品 HBM3E,預計 24H1 量產;公司預計 2026 年量產 HBM4。SK 海力士海力士開發開發 MR-MUF 等堆疊技術,鞏固等堆疊技術,鞏固 HBM 市場領先地位。市場領先地位。SK 海力士的CoC(芯片內建芯片)技術將凸塊互聯(Bump Interconnection)與引線鍵合(Wire Bonding)相結合,在提高運行速度和降低成本方面實現突破,目前專門用于 SK海力士的高密度模塊的量產與生產;SK 海力士還開發了 MR-MUF 技術,用于其HBM2E、HBM3 等產品中,確保了 HBM 10 萬多個微凸塊互連,另外該技術還提供更出色的散熱性能;SK
188、海力士還正在開發 Cu-to-Cu(Copper-to-Copper,銅-銅)鍵合工藝替代焊接,Cu-to-Cu 可在完全不使用凸塊的情況下將間距縮小至 10 微米及以下;另外,SK 海力士還在研究用于 Fan-out RDL(扇出型重新分配層)技術等,計劃將該技術用于以 Chiplet 為基礎的集成封裝,計劃到 2025年實現 1um 及以下水平的 RDL 技術。圖圖 72:SK 海力士最新封裝技術海力士最新封裝技術 資料來源:SK 海力士,招商證券 MR-MUF 技術工藝效率高并且散熱性能更好,難點在于熱翹曲和芯片偏移問題。技術工藝效率高并且散熱性能更好,難點在于熱翹曲和芯片偏移問題。對比
189、 TC-NCF 技術,MR-MUF 技術的優點為:1)提高工藝效率:)提高工藝效率:NCF 在每堆疊一個芯片時鋪上一層薄膜型材料,MR-MUF 在注入 LMC 材料之后,批量加熱每個芯片以實現瞬時互連;2)散熱性能更好,提高凸塊互連的質量:)散熱性能更好,提高凸塊互連的質量:MR-MUF由于采用了高導熱率的模制底部填充(MUF)材料,散熱效果較 NCF 技術更好,提高了 HBM 超過 10 萬個微凸塊互連的質量。MR-MUF 工藝難點在于熱翹曲和工藝難點在于熱翹曲和芯片偏移問題芯片偏移問題,由于模塑是一個熱反應過程,芯片堆疊過程中需要對每個芯片施加瞬時高熱,進而熔化凸點實現連接,但由于環氧樹脂
190、和晶圓之間的熱膨脹系數(CTE)相互不匹配,回流(MR)工藝會導致熱翹曲、非接觸性斷開、局部橋接等問題,進而導致焊接凸點失效;另外,料盤/載具在傳輸過程中容易受振動影響,回流過程中芯片也會自由偏移,因此芯片的位置也容易發生偏移。敬請閱讀末頁的重要說明 59 行業深度報告 圖圖 73:回流焊工藝中產生的熱翹曲和自由偏移問題:回流焊工藝中產生的熱翹曲和自由偏移問題 資料來源:使用應變計測量回流焊過程中印刷電路板的熱致曲率和翹曲_廖孟杰,招商證券 SK 海力士對海力士對 MR-MUF 技術進行改進,一定程度上解決熱翹曲和芯片偏移問題。技術進行改進,一定程度上解決熱翹曲和芯片偏移問題。SK 海力士在先
191、進的 HBM 中仍沿用 MR-MUF 工藝,主要系 MR-MUF 具備可靠性和高效率,并且 SK 海力士對原始的 MR-MUF 技術進行改進,主要包括:采用新技術來控制晶圓變薄,使其不會彎曲;在 12 層及以上堆疊過程中,瞬時施加強烈熱量,以確保連接芯片的凸塊均勻拼接;將 LMC 材料置于真空下,并施加 70 噸壓力來填充芯片之間的狹小空間。SK 海力士表示,改進后的 MR-MUF技術保留原來工藝的優點,將生產率提高了約 3 倍,并將散熱性能提高了約 2.5倍。2、三星三星:開創:開創 I-Cube 及及 X-cube 先進封裝工藝,先進封裝工藝,2024 年年HBM 產能將提高產能將提高 2
192、.5 倍倍 24H1 HBM3 產量將占產量將占 HBM 總產量一半以上,計劃將總產量一半以上,計劃將 2024 年年 HBM 產能提高產能提高2.5 倍倍。2020 年,三星推出 HBM2;2021 年 2 月,三星推出 HBM-PIM(存算一體)芯片;2022 年,三星開始量產 HBM3,在 23Q3 量產并開始供應 8 層和12 層產品,計劃在 23Q4 進一步擴大生產規模,預計到 24H1,HBM3 將占公司HBM 總產量的一半以上;公司計劃 24H1 推出 HBM3E,24GB 8 層樣品已經推出,計劃 24H1 量產,36GB 12 層產品計劃于 24Q1 提供樣品。為了保持行業最
193、為了保持行業最高的高的 HBM 產能,公司計劃將產能,公司計劃將 2024 年年 HBM 產能提高產能提高 2.5 倍。倍。三星自研三星自研 I-Cube 技術技術和和 X-Cube 技術技術,分別用于,分別用于 2.5D 和和 3D 封裝。封裝。I-Cube 2.5D 封裝技術通過并行水平芯片放置,封裝技術通過并行水平芯片放置,處理處理熱量積存并擴展性能熱量積存并擴展性能。三星 I-Cube 技術為 2.5D 封裝工藝的一種,包括 I-Cube S 和 I-Cube E,I-Cube S 將邏輯芯片與一組 HBM 裸片水平放置在硅中介層上,通過調整材料和厚度來控制硅中介層翹曲和熱膨脹問題;I
194、-Cube E 采用硅嵌入式結構,采用大尺寸、無 TSV 結構的 RDL 中介層。目前三星已開發出 I-Cube 8(搭載 8 組 HBM)技術,計劃 2024 年量產;敬請閱讀末頁的重要說明 60 行業深度報告 圖圖74:I-Cube S 圖圖75:I-Cube E 資料來源:三星電子官網,招商證券 資料來源:三星電子官網,招商證券 X-Cube 3D 封裝技術包括微凸塊和銅混合鍵合兩種方案。封裝技術包括微凸塊和銅混合鍵合兩種方案。X-Cube 技術中,上下層邏輯 die 通過微凸塊(X-Cube TCB 方案)或銅混合鍵合(HCB 方案)連接,在銅混合鍵合方案中,堆疊精度進一步降低,三星正
195、在開發低于 4um等更精細的 HCB 技術。圖圖76:X-Cube(微凸塊方案)(微凸塊方案)圖圖77:X-Cube(銅混合鍵合方案)(銅混合鍵合方案)資料來源:三星電子官網,招商證券 資料來源:三星電子官網,招商證券 三星三星 HBM4 路線圖計劃變革路線圖計劃變革 HBM 封裝方式。封裝方式。在 HBM4 技術路線圖中,三星計劃使用 FinFET 節點生產邏輯 die,封裝方式計劃將從基于凸點的 CoW(chip on wafer)變為基于 Pad 連接的 Bumpless 形式,并且三星正開發針對高溫熱特性優化的非導電粘合膜(NCF)組裝與混合鍵合(HCB)等技術。圖圖 78:三星:三星
196、 HBM4 路線圖路線圖 資料來源:semianalysis,招商證券 敬請閱讀末頁的重要說明 61 行業深度報告 3、美光美光:計劃通過計劃通過 HBM3E 實現彎道超車,正開發實現彎道超車,正開發 HBM Next產品產品 HBM3E 產品正在英偉達驗證,預計產品正在英偉達驗證,預計 2024 財年產生財年產生 7 億美元收入。億美元收入。美光于 2020年開始提供 HBM2 產品,用于高性能顯卡、服務器處理器等領域;美光跳過 HBM3直接提供HBM3E樣品,在8層die堆疊的情況下,單顆HBM容量提升至24GB,美光HBM3E 采用 1nm DRAM 和TSV技術,正在獲得英偉達的產品資
197、格認證,預計 2024 年初量產,2024 財年產生 7 億美元收入。美光預計于美光預計于 2026 年推出年推出 HBM Next 產品。產品。美光正在開發 HBM Next 產品,預計2026 年推出,內存容量預計提升至 36-64GB,帶寬為 1.5-2TB/s。圖圖 79:美光:美光 HBM 路線圖路線圖 資料來源:美光,招商證券 敬請閱讀末頁的重要說明 62 行業深度報告 七七、投資建議投資建議 1、全球先進封裝設備及材料份額主要被海外占據、全球先進封裝設備及材料份額主要被海外占據,AI 需求需求激增帶來部分廠商激增帶來部分廠商創紀錄的先進封裝創紀錄的先進封裝訂單訂單 根據我們前文所
198、述,半導體先進封裝尤其是 HBM CoWoS 封裝核心增量來自Bumping、TSV、RDL 等工藝,增量需求最大的設備主要為檢檢/量測、量測、減薄、電減薄、電鍍、鍵合、模塑機臺鍍、鍵合、模塑機臺,并且先進封裝也為激光切割機、固晶機、回流爐、后道測試機/分選機/探針臺等傳統封裝設備帶來一定需求提升。用于高端先進封裝的設備份額主要為海外廠商占據,例如先進封裝檢/量測領域的 Camtek、ONTO;減薄領域的 DISCO;電鍍領域的 AMAT、ASMPT;鍵合領域的 SUSS、EVG Group;塑封領域的 TOWA、YAMADA 等;芯片貼裝領域的 BESI 等。由于 AI 等領域需求旺盛,Ca
199、mtek、BESI、SUSS 等廠商面向先進封裝如 HBM 和異構集成、AI 應用的訂單均創下歷史新高,并仍在持續增長;用用于高端先進封裝的材料品類繁多,且更為分散,主要包括 IC 封裝載板、ABF基板層介電材料、制造封裝基板核心層材料、環氧樹脂固態封裝材料、導線架、焊線材、底部填充劑等,市場份額大多被美國、日本、德國廠商占據,核心供應商包括日本住友化學、日本昭和電工、美國杜邦等。Camtek:先進封裝檢先進封裝檢/量測設備龍頭,量測設備龍頭,HBM、異構集成等領域訂單激增、異構集成等領域訂單激增 Camtek 是是以色列以色列自動光學檢測(自動光學檢測(AOI)和量測設備廠商,涵蓋從)和量測
200、設備廠商,涵蓋從 Wafer、先進、先進封裝、封裝、PCB 的測試。的測試。公司產品為 Eagle 系列,在前端制程領域包括 Eagle-i 和Eagle-I PLUS 型號,可用于大規模 2D 檢測,包括電鍍 bump、電測針印、劃片后的檢測等,還可用于 CMOS、MEMS、LED 等器件的檢測;在先進封裝領域,公司設備包括 Eagle-AP 和 Golden Eagle 型號,主要面向 Bump、RDL、TSV等工藝,以及扇出型 PLP 封裝面板等應用。公司設備 2D 檢測精度 0.2um,3D檢測高度精度 0.05um(量測范圍 2-100um),檢測速度為量測單片 5000 萬點的Bu
201、mp。表表 13:Camtek 檢測、量測設備產品矩陣檢測、量測設備產品矩陣 型號型號 圖例圖例 功能功能 參數參數 Eagle-i 根據不同量測需求可以選配不同配置,包括Eagle-i 和 Eagle-I Plus 兩種型號。針對大規模 2D 前道檢測:電鍍 bump 前后的檢測、電測針印大小的檢測、劃片后的檢測等;器件檢測:CMOS、MEMS、LED 等;扇出型應用:2um 線寬的 RDL、翹曲晶圓處理、低至 0.2um 的表面缺陷 2D 檢測精度 0.2um,3D 檢測高度精度0.05um(量測范圍 2-100um),檢測速度為量測單片 5000 萬點的 Bump 經銷商售價:500-8
202、00 萬人民幣 Eagle-AP 專為先進封裝領域設計,包括 Eagle AP 和 Eagle AP Plus 兩種型號,主要用于 Bump 尺寸和間距、RDL 后的線寬線距、TSV 填孔后的尺寸 敬請閱讀末頁的重要說明 63 行業深度報告 Golden Eagle 為扇出型 PLP 封裝面板應用設計,支持最大650*650mm 面板尺寸,用于扇出型 RDL 檢查等-資料來源:Camtek 官網、儀器信息網,招商證券 Camtek 大部分收入來自先進封裝和中國地區,大部分收入來自先進封裝和中國地區,大客戶覆蓋三大存儲原廠、臺積大客戶覆蓋三大存儲原廠、臺積電、英特爾等電、英特爾等。Camtek
203、為先進封裝尤其是 HBM 領域的量測設備龍頭,收入從2017 年的 0.93 億美元增長至 2022 年 3.21 億美元,2022 年收入 60%以上來自先進封裝互連封裝應用,其中很大一部分來自 HBM 和 Chiplet,2022 年收入 44%來自中國地區。公司客戶 70%以上業務面向 Tier1 廠商,包括臺積電、聯電、三星、SK 海力士、美光、英飛凌、日月光、安靠等。2023 年 11 月,Camtek 以 1億美元完成對德國 FormFactor(FRT)公司的收購,FRT 是先進封裝和 SiC 市場高精度計量設備領先廠商,具有獨特的混合多傳感器 SurFaceSens 技術。圖圖
204、80:Camtek 營收及增速營收及增速 圖圖81:2022 年年 Camtek 營收結構營收結構 資料來源:Camtek 財報,招商證券 資料來源:Camtek 財報,招商證券 圖圖 82:Camtek 主要客戶主要客戶 資料來源:Camtek,招商證券 0%10%20%30%40%50%60%70%80%00.511.522.533.5201720182019202020212022營業收入(億美元)yoy44%20%17%14%5%中國亞太美國韓國歐洲 敬請閱讀末頁的重要說明 64 行業深度報告 Camtek 先進封裝訂單積壓至先進封裝訂單積壓至 2024 年,指引年,指引 2024 年
205、收入創新高。年收入創新高。Camtek 自2023 年 Q3 開始不斷接收一級制造商客戶的訂單,大部分來自先進封裝領域的異構集成、HBM、扇出型封裝等。2023 年 7 月,公司公告稱收到了多家一級制造商的 42 套系統訂單,很大一部分用于異構集成的小芯片模塊和 HBM,這些訂單將于 23H2 交付;8 月底,公司公告自 2023 年 8 月以來已收到約 45 套系統的訂單,30%用于 HBM 和 Chiplet 應用;11 月,公司公告收到一家一級制造商的28 套系統新訂單,用于高帶寬的檢測、HBM、異構集成(HI)應用程序,進一步增加了將于 2024 年交付的訂單積壓量。展望 2024 年
206、,公司預計整體收入創歷史新高,HBM、Chiplet 領域收入占比超 30%。BESI:芯片固晶機龍頭,芯片固晶機龍頭,混合鍵合設備構筑成長曲線混合鍵合設備構筑成長曲線 BESI 聚焦封裝固晶聚焦封裝固晶/貼裝機,貼裝機,具備晶圓級封裝和混合鍵合能力具備晶圓級封裝和混合鍵合能力。BESI 位于荷蘭,是后道封裝芯片貼裝設備龍頭,最大的下游為智能手機,2022 年收入 79%來自芯片貼裝,21%來自封裝和組裝設備。公司目前具備晶圓級先進封裝和混合鍵合技術能力,23Q1 推出混合鍵合機臺 8800 CHAMEO ultra plus 機臺。圖圖 83:BESI 產品矩陣產品矩陣 資料來源:BESI
207、官網,招商證券 BESI 是芯片貼裝領域龍頭,在先進封裝貼片領域占比超是芯片貼裝領域龍頭,在先進封裝貼片領域占比超 70%份額。份額。2022 年全球封裝市場規模大約 55 億美元,BESI 面向的市場空間大約 20 億美元(不包括引線鍵合、切片等市場),市場份額大約 32%,其中 Die Attach(芯片貼裝)市場空間大約 13 億美元,BESI 份額大約 40%,先進封裝芯片貼裝市場空間大約 4億美元,BESI 占據 74%的份額;在芯片封裝和組裝領域,公司面向的市場為 6.83億美元,占據 18%的份額。敬請閱讀末頁的重要說明 65 行業深度報告 圖圖 84:BESI 面向的市場及份額
208、面向的市場及份額 資料來源:BESI 官網,招商證券 混合鍵合混合鍵合設備設備構筑公司成長曲線,相關訂單持續快速增長構筑公司成長曲線,相關訂單持續快速增長。23Q3 公司收入和凈利潤分別為 1.233 億歐元和 3500 萬歐元,分別同比下滑 24%和 33.5%,主要系整體市場下滑和高端智能手機需求疲軟;不過,公司 23Q3 訂單環比增長 13%,主要系AI及混合鍵合需求增加,23Q3公司從2家客戶收到了新的混合鍵合訂單,其中包括一家領先的客戶,以及來自行業領先客戶的光子應用的大量訂單;23Q3至今,公司收到了來自邏輯和存儲客戶的更多混合鍵合訂單,預計 23Q4 訂單繼續增加;另外,公司在
209、23Q3 至今收到了多個來自亞洲的芯片貼裝訂單,用于晶圓級芯片和基板的貼裝?;诰A級封裝產品的訂單,公司預計 23Q4 混合鍵合和其他先進封裝設備積壓的訂單持續發貨,指引 23Q4 收入將環比增長 15-25%。SUSS:后道涂膠顯影和臨時鍵合后道涂膠顯影和臨時鍵合/解鍵合領先廠商,解鍵合領先廠商,AI 需求帶來創記錄的需求帶來創記錄的臨時鍵合機臺訂單臨時鍵合機臺訂單 SUSS 產品組合涵蓋后道涂膠顯影、鍵合和光產品組合涵蓋后道涂膠顯影、鍵合和光掩模掩模設備,鍵合和光掩模設備收入設備,鍵合和光掩模設備收入強勁強勁增長。增長。SUSS 總部位于德國,擁有 70 多年工程經驗,產品矩陣涵蓋掩模設
210、備,涂膠顯影機、納米壓印光刻機、晶圓鍵合機等,2023 年前三季度,公司實現收入 2.023 億歐元,同比增長 21.8%,其中光掩模解決方案和鍵合機分別同比增長 61.3%和 56.2%,截至三季度末總積壓訂單為 4.147 億歐元。敬請閱讀末頁的重要說明 66 行業深度報告 圖圖 85:SUSS 產品應用產品應用 資料來源:SUSS 官網,招商證券 AI應用應用為為SUSS帶來創紀錄的臨時鍵合機臺訂單帶來創紀錄的臨時鍵合機臺訂單,中國臺灣大客戶增加對,中國臺灣大客戶增加對SUSS鍵合設備需求鍵合設備需求。在 AI 芯片制造過程中,HBM 必須磨得盡可能薄,對于研磨過程和進一步加工,必須將晶
211、圓臨時鍵合到第二片晶圓上來加固,之后通過脫鍵再次釋放鍵合,然后必須清除晶圓上的粘合劑殘留物。SUSS 的 XBS300 和 XBC300機臺可以為 HBM 臨時鍵合全流程提供完善的解決方案,23Q3,SUSS 共產生1.03 億歐元的訂單,其中高端后道設備訂單共 9370 萬歐元,鍵合設備訂單高達6210 萬歐元;從 2023 年 6 月到 10 月底,SUSS 已經被預定了價值約 1 億歐元的 AI 應用臨時鍵合解決方案;中國臺灣某個世界領先的先進封裝代工廠也采用了 SUSS 的 XBS300 設備,用于邏輯和存儲芯片的耦合,SUSS 表示,中國臺灣客戶產線未來每年將采用多達 12 臺鍵合機
212、。表表 14:SUSS 12 英寸晶圓鍵合機產品矩陣英寸晶圓鍵合機產品矩陣 型號型號 圖例圖例 功能功能 XBS300 晶圓鍵合機 支持臨時鍵合的所有關鍵步驟:分離層的形成、粘合劑的涂覆、低力度的晶圓粘接、紫外線固化或熱固化和冷卻,能夠處理所有商業可用的臨時鍵合膠,支持杜邦 HD3007 工藝 XBC300 Gen2 晶圓解鍵合機 為 2.5D 和 3D 應用提供全面解決方案,支持厚度 50um 及以下的晶圓,支持機械 peel-off 解鍵合,包括所有常見的機械解鍵合粘合劑和剝離層;支持激光輔助解鍵合,基于 UV 激光技術和透光性載體材料,如玻璃和藍寶石;支持溫和清潔減薄晶圓 資料來源:SU
213、SS 官網,招商證券 敬請閱讀末頁的重要說明 67 行業深度報告 TOWA:半導體塑封機龍頭:半導體塑封機龍頭,高端壓塑型號用于高端壓塑型號用于 HBM 和和 2.5D 等封裝形式等封裝形式 TOWA 布局半導體后道貼片、植球、塑封成型機等多種設備,是全自動塑封機布局半導體后道貼片、植球、塑封成型機等多種設備,是全自動塑封機等設備龍頭等設備龍頭。TOWA 總部位于日本,產品主要面向半導體后道封裝,包括貼片機、植球機、焊線機、倒裝貼片機、塑封成型機等。公司是半導體先進封裝塑封成型機龍頭,包括壓縮成型和注塑成型兩大類設備。根據 SEMI,針對中國大陸市場的全自動塑封設備,TOWA 每年銷量約 20
214、0 臺、YAMADA 約 50 臺、BESI 約 50臺。TOWA CPM 1080 型號支持晶圓級(型號支持晶圓級(WLP)和面板級()和面板級(PLP)等先進封裝形式)等先進封裝形式,FY23H1 訂單超過訂單超過 FY22 全年全年。CPM 1080 是公司面向晶圓級先進封裝(WLP)等領域的高端全自動塑封機,可用于 HBM 的壓縮成型。公司表示,生成式 AI帶來 HBM 和 2.5D 封裝的壓縮塑封成型需求,公司 2023 財年上半年的訂單已經超過 2022 財年全年。圖圖 86:TOWA CPM1080 全自動塑封機全自動塑封機 資料來源:TOWA 官網,招商證券 ASMPT:后道先
215、進封裝平臺型設備廠商,新增訂單來自先進封裝和汽車終:后道先進封裝平臺型設備廠商,新增訂單來自先進封裝和汽車終端端 ASMPT 設備覆蓋幾乎先進封裝全流程,新增訂單來自先進封裝和汽車終端設備覆蓋幾乎先進封裝全流程,新增訂單來自先進封裝和汽車終端。ASMPT 總部位于新加坡,為電子制造全流程提供解決方案,公司半導體解決方案包括 PVD 沉積、電鍍、激光劃片、熱壓鍵合、D2W 混合鍵合,以及測試分選機等設備,先進封裝領域重點市場包括 WLP、2.5D/3D IC、TSV、扇出、嵌入式芯片等。公司 23Q3 半導體部門收入受景氣度影響同比下滑 28.7%至 15.7 億港元,虧損 1.1 億港元,新增
216、訂單同比下滑 10.9%至 13.3 億港元,但環比增長4.4%,公司表示新增訂單主要來自先進封裝和汽車終端市場應用,AI 服務器需求也有所增長。表表 15:ASMPT 先進封裝產品矩陣先進封裝產品矩陣 型號型號 圖例圖例 功能功能 晶圓 PVD 設備 Apollo 300 應用:可配置最多 5 種金屬,支持 UBM/RDL、扇出、RF 濾波器、功率器件 特征:True Bridge 工具功能:尺寸更改;脫氣/退火;ICP/CCP 蝕刻 敬請閱讀末頁的重要說明 68 行業深度報告 晶圓電鍍機 Stratus P300 應用:可配置最多 6 種金屬,支持 UBM/RDL、扇出、RF 濾波器、功率
217、器件 特征:雙晶圓尺寸能力;膜池可實現長期的鍍液穩定性;ShearPlate 技術可實現最薄的邊界層;基材處理靈活性 面板電鍍機 Stratus P500 應用:可配置最多 5 種金屬,支持多芯片扇出、SoC 封裝、微型 LED 特征:晶圓級精密硬件和軟件;鍍銅、錫(銀)、鎳和金10m L/S;單/雙面電鍍;膜電池提供高化學利用率;多區陽極可優化厚種子和薄種子的均勻性;用于均勻高通量電鍍的圖案屏蔽 激光切割機 Laser1205 能夠切割晶圓材料及 DAF 或 FOW,厚度范圍 10-250um;多種切槽寬度,寬度范圍為 10-100um 熱壓鍵合機 FIREBIRD TCB Series 可
218、實現 2D、2.5D、3D 的異構集成,可配置用于帶狀、單片、晶圓基板的模塊,使用 SlimFEM 直接處理晶圓和玻璃基板 混合鍵合機 LITHOBOLT 與前端工藝兼容的工具設計、Chiplet 集成設計、D2W 混合鍵合的靈活工藝能力 轉塔式分選機 SUNBIRD 最大吞吐量為 40000UPH,全 6 面視覺檢查,可選晶圓重構單元 資料來源:ASMPT 官網,招商證券 住友住友電木:電木:目前環氧塑封料(EMC)市場份額主要由日本住友電木和日本昭和等占據,日本住友電木主要面向半導體用環氧化合物、電子和電器安裝用酚醛樹脂及電子器件電路連接用的各向異性導電薄膜和其他化學品。敬請閱讀末頁的重要
219、說明 69 行業深度報告 圖圖 87:日本住友日本住友電木電木模塑料和零件產品模塑料和零件產品 資料來源:住友化學官網,招商證券 美國杜邦:美國杜邦:半導體領域材料廣泛覆蓋制造、封裝及組裝領域,在半導體封裝領域,公司產品涵蓋電鍍光刻膠、銅柱電鍍中的錫銀帽、RDL 層、UBM 材料等,組裝材料涵蓋芯片粘結劑、封裝劑、永久鍵合電介質、熱界面材料等。在 PI(聚酰亞胺)方面,公司最早開發和生產,市占率全球第一,重視對組分的研究改性,并關注高模量、柔韌性等機械性能。德國漢高:德國漢高:漢高(Henkel)成立于 1876 年,其產品在膠粘劑市場占有率全球第一,公司工程膠黏劑、密封劑和表面處理方面的系列
220、產品涵蓋了錫膏、厭氧膠、環氧膠、硅膠、瞬干膠、UV 膠、PU 膠、MS 聚合物、清洗劑等八大系列。2、HBM 等先進封裝等先進封裝對工藝、設備、材料需求均提升,國內對工藝、設備、材料需求均提升,國內廠商在廠商在相關相關領域不斷導入領域不斷導入 AI 對對 GPU 高帶寬需求高帶寬需求催生催生 HBM 百百億美金市場億美金市場,以以 HBM 為代表的先進封裝封為代表的先進封裝封測技術測技術、設備和材料等需求有望持續提升、設備和材料等需求有望持續提升。多用于 PC 顯卡的 GDDR 無法滿足AI 服務器的高帶寬要求,因此 HBM 等新型存儲器應運而生,HBM 能夠以低功耗實現高帶寬,非常適合 AI
221、 服務器的應用場景。伴隨著訓練型 AI 服務器出貨量增長、AI 服務器滲透率的提升、單 GPU 搭載 HBM 疊層的數量提升、以及 HBM堆疊 DRAM Die 數量和 DRAM 容量的提升,預計到 2024 年 HBM 市場空間有望超百億美元。以 HBM 為代表的先進封裝市場對封測技術、先進封裝設備及材料需求持續增長,國內先進封裝封測、設備、材料等產業鏈有望持續受益。設備:設備:中科飛測:中科飛測:金屬膜厚設備批量出貨,納米圖形缺陷檢測和關鍵尺寸量測設備開發金屬膜厚設備批量出貨,納米圖形缺陷檢測和關鍵尺寸量測設備開發順利。順利。公司目前收入主要來自無圖形和圖形晶圓缺陷檢測設備、三維形貌量測設
222、備,套刻精度量測設備和金屬膜厚量測設備成為收入新增長點,套刻精度量測設 敬請閱讀末頁的重要說明 70 行業深度報告 備在成熟工藝節點實現批量供應,在先進工藝產線已經通過部分客戶驗證,多臺金屬膜厚量測設備已實現批量出貨。針對 2Xnm 節點,公司明場和暗場納米圖形晶圓缺陷檢測設備、關鍵尺寸量測設備研發進展順利;針對 1Xnm 節點,持續性研發布局多款檢測和量測設備。北方華創:北方華創:在先進封裝領域,針對 Flip chip Bumping、Fan-Out、WLCSP、2.5D/3D TSV 等技術,公司刻蝕設備、沉積設備、爐管設備等已經實現了在主流先進封裝企業的批量生產,并不斷獲得客戶的重復采
223、購訂單。等離子去膠機 BMD P300可兼容 Fan-out 大翹曲晶圓,并具備多種 Descum 工藝處理能力,如 PI curing后,PR 顯影后電鍍前,PR strip 后,Ti/Cu 刻蝕后,Molding 前,Underfill 前等;Polaris B 系列 PVD,可兼容大翹曲 Fan-out 圓片,并支持 Si,EMC,Glass,Bonding等多種基片的精準高效傳輸;12英寸PI膠固化系統(PIQ)SUMERIS AP302C 可在更低氧的環境下實現對 Polyimide(聚酰亞胺)的固化、精準控溫。中微公司:中微公司:公司等離子體刻蝕設備可用于先進封裝生產線,其深硅刻蝕
224、設備Primo TSV 200E、Primo TSV 300E 可用于 IC 3D 封裝、CMPS、MEMS 等,在晶圓級封裝、2.5D 封裝和 MEMS 系統等領域持續獲得重復訂單,在 12 英寸3D 芯片的 TSV 刻蝕工藝上成功驗證。拓荊拓荊科技:子公司拓荊鍵科(海寧)產品包括晶圓對晶圓鍵合(科技:子公司拓荊鍵科(海寧)產品包括晶圓對晶圓鍵合(Wafer to Wafer Bonding,W2W)產品和芯片對晶圓鍵合表面預處理()產品和芯片對晶圓鍵合表面預處理(Die to Wafer Bonding Preparation and Activation,D2W)產品。)產品。拓荊科技鍵
225、合設備主要由控股子公司拓荊鍵科(海寧)開展,拓荊鍵科成立于 2020 年 9 月 30 日,母公司持股比例為 55%。拓荊鍵科聯合擁有先進晶圓片鍵合機的技術儲備的海寧君鑫科技,利用自身掌握的晶圓鍵合對準技術,進而開拓晶圓鍵合設備市場。1)晶圓對晶圓常溫混合鍵合(Hybrid Bonding)和熔融鍵合(Fusion Bonding):可以實現復雜的 12 英寸晶圓對晶圓常溫共價鍵合,搭載了晶圓表面活化、清洗、鍵合和自研的鍵合精度檢測模塊,具有對準精度高、產能高、無間隙等性能特點。首臺晶圓對晶圓鍵合產品(Dione 300)已通過客戶驗收并獲得重復訂單;2)晶圓及切割后芯片的表面活化及清洗:可以
226、實現芯片對晶圓鍵合前表面預處理工序,包括晶圓及切割后芯片的表面活化及清洗工藝。首臺 W2W 產品Dione300 已經出貨至客戶端驗證,并取得突破性進展,D2W 產品 Pollux 完成研發,正在客戶端驗證。華海清科:基于華海清科:基于 CMP 技術自研技術自研 12 英寸減薄設備,量產機臺已發往龍頭客戶端英寸減薄設備,量產機臺已發往龍頭客戶端并獲得先進存儲、并獲得先進存儲、Chiplet 封裝等多個訂單。封裝等多個訂單。2023 年 5 月 17 日,公司全新一代12 英寸超精密晶圓減薄機 Versatile-GP300 量產機臺出機發往集成電路龍頭企業,標志著公司自研的國產減薄設備批量進入
227、大生產線。該款設備用于前道晶圓制造背面減薄工藝,是業內首次實現 12 英寸晶圓超精密磨削和 CMP 全局平坦化的有機整合集成設備,自主研發的超精密晶圓磨削系統穩定實現 12 英寸晶圓片內磨削 TTV1um,達到了國內領先和國際先進水平。華海清科創新開發的CMP 多區壓力智能控制系統,突破傳統減薄機的精度限制,實現了減薄工藝全過程的穩定可控。Versatile-GP300 機臺現已收獲包括先進存儲、Chiplet 封裝等技術領域在內的多個訂單,近期將陸續出機;另外,公司用于封裝領域的 12 英寸超精密減薄機各項性能指標達到預期目標,已經發往客戶端進行驗證。盛美上海:盛美上海:先進封裝領域布局濕法
228、類、電鍍、涂膠顯影等設備,電鍍設備有望保先進封裝領域布局濕法類、電鍍、涂膠顯影等設備,電鍍設備有望保持高增長。持高增長。公司產品線覆蓋濕法清洗、電鍍、爐管、無應力拋銅、后道先進封裝及其他類設備,公司先進封裝產品線完整,覆蓋電鍍、涂膠顯影、濕法刻蝕、濕 敬請閱讀末頁的重要說明 71 行業深度報告 法去膠、金屬剝離、無應力拋光先進封裝平坦化、清洗設備等,23H1 先進封裝及其他后道設備收入同比增長 47%。公司電鍍設備可用于前道和后道,針對先進封裝的包括大馬士革電鍍、TSV 電鍍、先進封裝電鍍、第三代半導體電鍍設備均開發出來,在工藝上得到驗證,公司電鍍設備獲得較多重復訂單,預計明年仍將保持高速成長
229、。其中,公司用于3D TSV和2.5D轉接板的三維電鍍設備Ultra ECP 3D 可為高深寬比(深寬比大于 10:1)銅應用提供高性能、無孔洞的鍍銅功能。芯源微:針對芯源微:針對 Chiplet 等先進封裝領域開發臨時鍵合機等先進封裝領域開發臨時鍵合機/解鍵合機,目前正在客解鍵合機,目前正在客戶端驗證。戶端驗證。公司產品線包括涂膠顯影機、物理清洗機等,覆蓋前道 IC、后道先進封裝及小尺寸等領域。公司涂膠顯影機在國內后道先進封裝領域市占率第一,加深和盛合晶微、長電紹興、上海易卜等國內新興封裝勢力的合作關系;針對Chiplet 等新興市場,基于在三維封裝工藝的技術儲備和前期應用,公司開發了臨時鍵
230、合/解鍵合機臺,目前產品均進入客戶驗證階段。精測電子:精測電子:覆蓋半導體膜厚量測、關鍵尺寸量測、電子束缺陷檢測等設備,持續覆蓋半導體膜厚量測、關鍵尺寸量測、電子束缺陷檢測等設備,持續獲得先進制程訂單。獲得先進制程訂單。公司產品面向顯示、半導體及新能源檢測系統,在半導體領域,公司產品包括檢測和量測設備,包括膜厚量測、光學關鍵尺寸量測、電子束缺陷檢測和面向 Memory 老化、晶圓探測、終測的自動檢測設備(ATE)等,其核心產品已覆蓋 2xnm 及以上制程,膜厚產品、OCD 設備及電子束缺陷復查設備已取得先進制程訂單,23Q3 公司先進制程產品訂單已實現部分交貨且取得重復訂單。芯芯碁微裝:微裝:
231、直寫光刻機從直寫光刻機從PCB領域向泛半導體領域延伸,在晶圓級封裝的領域向泛半導體領域延伸,在晶圓級封裝的RDL、Bumping、TSV 等工藝中優勢明顯。等工藝中優勢明顯。公司主營直寫光刻機,用于 PCB 和泛半導體領域,公司加快在載板、先進封裝、新型顯示、掩膜版制版、功率分立器件等方面的布局。在先進封裝領域,公司直寫光刻優勢主要體現在智能糾偏上,直寫光刻在晶圓重構封裝中解決偏移問題能力較強。公司設備能夠實現再布線,WLP2000 系列產品采用多光學引擎并行掃描技術,具備自動套刻、背部對準、智能糾偏、WEE/WEP 功能,在 RDL、Bumping 和 TSV 等工藝中優勢明顯。文一科技:文
232、一科技:半導體封測領域產品包括集成電路封裝模具、自動切筋成型系統、分選機、塑封壓機、自動封裝系統、芯片封裝機器人集成系統、半導體精密備件等。針對先進封裝領域,公司正在研發滿足晶圓級封裝用的模具和設備。至正股份:至正股份:23H1 子公司蘇州桔云納入公司財務報表,新增半導體專用設備業務,其主要面向半導體后道先進封裝,主要產品包括清洗設備、烘箱設備、腐蝕設備、涂膠顯影設備、去膠設備、分片設備等。新益昌:新益昌:公司固晶機布局較為完善,焊線設備部分產品通過客戶驗證,形成小批量出貨,先進封裝領域的部分高精密設備正在驗證,預計短期內設備將通過客戶驗證。光力科技:光力科技:公司半導體封裝設備可用于 IC、
233、分立器件、光電器件、傳感器等多種半導體產品的封裝工藝,客戶主要為 OSAT 和 IDM 廠商,其 12 英寸全自動劃切設備 ADT8230 實現高端劃切設備的國產替代。公司同時開發激光切割劃片機、半導體研磨機等新品,計劃明年推出。德龍激光:德龍激光:半導體晶圓激光隱形切割設備可用于硅/砷化鎵/碳化硅的晶圓切割加工;晶圓激光開槽設備主要用于半導體 40nm 及以下線寬的 low-k 晶圓的表面開槽;晶圓級封裝產品綜合加工設備兼容晶圓級封裝產品的精密加工切割、鉆孔、刻蝕、表面處理、開槽。敬請閱讀末頁的重要說明 72 行業深度報告 賽騰股份:賽騰股份:收購日本收購日本 OPTIMA 獲得晶圓缺陷檢測
234、技術。獲得晶圓缺陷檢測技術。產品用于半導體、光伏、鋰電、消費電子、8/12 英寸晶圓等,非標準化設備主要用于消費電子和新能源行業,標準化設備主要用于半導體、光伏及鋰電領域,包括固晶設備、分選設備、晶圓包裝機、晶圓缺陷檢測機、倒角粗糙度量測、晶圓字符檢測機、晶圓激光打標機、晶圓激光開槽機、鋰電切疊一體機、卷繞機、鋰電電芯組裝設備以及光伏組件自動化單機及整線等。公司于 2019 年收購日本 OPTIMA,截至 23H1 持股比例為 74%,其晶圓缺陷檢測設備和技術全球領先。耐科裝備:耐科裝備:公司主營半導體封裝設備和模具,塑料擠出成型模具、擠出成型裝置及下游設備。公司晶圓級封裝設備處于研發過程中,
235、關鍵裝置壓機單元在試驗階段。亞威股份:亞威股份:公司于2021年2月投資蘇州芯測電子有限公司,持有其25%的股權,蘇州芯測布局高端半導體存儲芯片測試設備業務。目前,蘇州芯測已完成對韓國GSI 100%的股權收購,GSI 成立于 2014 年,擁有技術難度較高的存儲測試機業務,并穩定供貨 SK 海力士、安靠等廠商。勁拓股份:勁拓股份:半導體封裝設備主要包含半導體芯片封裝爐、Wafer Bumping 焊接設備、真空甲酸焊接設備、甩膠機、氮氣烤箱、無塵壓力烤箱等,廣泛應用于各類芯片元器件的封裝過程。公司半導體封裝爐設備可適用于 CoWoS 其中一種回流焊接工藝。邁為股份:邁為股份:立足真空、激光、
236、精密設備三大技術平臺,在半導體封測領域布局了刀輪切割、激光改質切割、激光開槽設備等。奧特維:奧特維:半導體業務主要集中在封測環節,目前布局了劃片機、裝片機、鍵合機、AOI 等設備,CMP 設備處于研發的初始階段。長川科技:長川科技:數字數字 SoC 測試機和三溫分選機快速放量,探針臺逐步起量。測試機和三溫分選機快速放量,探針臺逐步起量。公司產品包括測試機、分選機、探針臺、AOI 設備等,基本覆蓋后道測試設備全品類,其測試機具備模擬、功率和數字信號測試機量產能力,正從 SoC 測試領域向存儲等領域延伸;公司分選機包括重力下滑式和平移式分選機等,通過收購馬來西亞 EXIS 獲得轉塔式分選機產品線,
237、當前三溫分選機正在快速放量;探針臺產品以全自動晶圓探針臺為主,12 寸晶圓探針臺實現量產出貨,正在逐步起量。華峰測控:華峰測控:公司產品主要為半導體自動化測試系統,用于模擬、數?;旌?、分立器件和功率模塊等,不斷拓展氮化鎵、碳化硅及 IGBT 等功率分立器件和模塊類測試領域,并推出了面向 SoC 測試領域的新機型 STS8600。金海通:半導體分選機產品金海通:半導體分選機產品覆蓋安靠、覆蓋安靠、長電科技、通富微電等長電科技、通富微電等封測客戶,三溫分封測客戶,三溫分選機貢獻成長動力選機貢獻成長動力。公司聚焦半導體后道測試領域分選機,客戶覆蓋安靠、聯合科技、長電科技、通富微電等封測廠商,博通、瑞
238、薩等 IDM 企業,以及興唐通信、瀾起科技等 IC 設計廠商。公司基于自主軟件架構和算法開發了集成式三溫分選機 EXCEED 9000 產品,預計將成為長期增長動力。材料:材料:鼎龍股份:鼎龍股份:半導體用精拋光墊可用于 Grinding(晶背研磨)等制程,部分產品在客戶端批量使用,預計明年實現產銷量快速增長;多晶硅拋光液、金屬鋁/鎢柵極拋光液導入客戶、取得批量訂單,有望在第四季度開始逐步放量,銅和阻擋層拋光液有望在第四季度取得批量訂單;擁有 YPI、PSPI 產品,用于半導體顯示 敬請閱讀末頁的重要說明 73 行業深度報告 領域;封裝光刻膠已有兩款產品在客戶端測試,另有一款新立項的封裝光刻膠
239、產品正在客戶端送樣。安集科技:安集科技:CMP 銅及銅阻擋層拋光液持續放量,鎢拋光液、基于氧化鈰磨料的拋光液份額持續提升,介電材料拋光液、襯底拋光液客戶進一步拓展;在電鍍液及添加劑方面,公司完成了應用于集成電路制造及先進封裝領域的產品系列平臺的搭建,多款電鍍液及添加劑產品在先進封裝領域進入量產導入階段。雅克科技:雅克科技:公司主營電子材料、LNG 保溫絕緣板材、阻燃劑業務,公司積極推進國內工廠的電子材料產品在國內客戶端的評估,實現部分產品對國內客戶的批量供應;公司同時在前驅體材料方面積極推進技術研發,與美光、海力士、臺積電、長江存儲、合肥長鑫等合作,推進更先進制程產品的研發和驗證??紤]到三星、
240、海力士等 HBM 接單量快速增長,也有望帶動前驅體材料需求持續增長。強力新材:強力新材:公司主營光刻膠專用電子化學品和綠色光固化材料,其 PAG 系列光刻膠光引發劑用于 i 線、KrF 線半導體光刻膠和封裝材料領域。公司研發生產的PSPI 用于封裝領域,目前處于下游客戶驗證階段。天承科技:天承科技:在先進封裝領域,公司上海工廠二期項目已經啟動,擬投入 5000 萬元用于半導體相關的電鍍液等功能性濕電子化學品的生產設備和車間改造,計劃明年 1 月份投產,主要用于先進封裝和 TSV 部分,對晶圓的大馬士革電鍍后續有產品計劃,預計 2024 年收入上量。華海誠科:國內環氧樹脂塑封料龍頭,電子膠黏劑核
241、心供應商。華海誠科:國內環氧樹脂塑封料龍頭,電子膠黏劑核心供應商。公司專注于半導體封裝材料,主要產品為環氧塑封料和電子膠黏劑,公司已于華天科技、通富微電、長電科技、富滿微、揚杰科技、氣派科技、銀河微電等下游知名廠商建立了長期良好的合作關系,相關產品已在上述部分廠商實現對外資廠商產品的替代。公司 EMG-900-ACF(GMC 顆粒狀塑封料)在重慶矽磐微、合肥矽邁、中科芯、通富微電等客戶驗證,預計在客戶 A 年底會有批量;68 系列(LMC 液態塑封料)在通富微電驗證,模塑性驗證合格,在其他客戶處進行可靠性考核。聯瑞新材:產品大量用于先進封裝聯瑞新材:產品大量用于先進封裝 EMC、LMC、底部填
242、充等材料,配套供應、底部填充等材料,配套供應 HBM全球知名全球知名 GMC 供應商所用供應商所用球硅和球硅和 Low球鋁球鋁。公司主營工業粉體材料,公司采用火焰熔融法、高溫氧化法、液相法三種主流工藝,生產微米級及亞微米級球形硅微粉,產品廣泛應用于芯片封裝和基板用環氧塑封材料(EMC)、液態塑封材料(LMC)和底部填充材料(Underfill)、印刷電路基板用覆銅板(CCL)、積層膠膜、熱界面材料(TIM)等領域。產品中 Low微米級球形硅微粉、Low亞微米級球形硅微粉主要應用于存儲芯片封裝等先進封裝領域,Low Df(低介質損耗)球形硅微粉廣泛應用于各等級高頻高速基板。Low球形氧化硅主要用
243、于高導熱存儲芯片封裝等高端芯片封裝領域。公司部分客戶是全球知名的 GMC 供應商,公司配套供應 HBM 所用球硅和 Low球鋁。壹石通:壹石通:規劃建設規劃建設 200 噸高端芯片封裝用噸高端芯片封裝用 Low-射線球形氧化鋁項目,目前進射線球形氧化鋁項目,目前進入產線調試階段。入產線調試階段。公司高純二氧化硅、Low-的射線球形氧化鋁產品主要用于芯片封裝領域,公司高純二氧化硅粉體材料已為日本雅都瑪等日韓企業長期穩定供貨,其 Low-射線球形氧化鋁產品打破海外壟斷。根據公司 2022 年定增項目所做的市場調研,Low-射線球形氧化鋁的存量市場需求大約為 1000 噸/年,公司定增項目年產 20
244、0 噸高端芯片封裝用 Low-射線球形氧化鋁項目已經進入產線調試階段,目前主要客戶集中在日韓。飛凱材料:飛凱材料:公司控股子公司昆山興凱半導體材料公司主要負責環氧塑封料的產銷 敬請閱讀末頁的重要說明 74 行業深度報告 研,公司通過全資子公司安慶飛凱新材料持股 60%,長興化學工業公司通過長興投資有限公司持股 40%。環氧塑封料的原材料市場競爭關鍵在于樹脂的供應,而長興化學作為另一股東,能為公司樹脂需求提供助力。德邦科技:德邦科技:主營電子封裝材料、導熱材料、導電材料、晶圓劃片膜、減薄膜等400 余種產品,在 IC 領域,23H1 公司 UV 膜、固晶膠、熱界面材料收入分別占比三成、三成、四成
245、,公司底部填充膠、AD 膠、固晶膠膜(DAF/CDAF)、芯片級導熱界面材料(TIM1)四款芯片級封裝材料同時在配合多家設計公司、封測公司推進驗證。興森科技:興森科技:珠海 FC-BGA 封裝基板項目擬建設產能 200 萬顆/月的產線,于 2022年 12 月建成并試產,目前處于客戶驗證階段,部分大客戶的技術評級、體系認證均通過;廣州 FC-BGA 封裝基板項目擬分期建設 2000 萬顆/月的產線,一期廠房已于 2022 年 9 月完成封頂,目前處于設備安裝階段,預計 23Q4 完成產線建設。生益科技:生益科技:針對服務器需求產品有全系列布局,包括 Mid-loss,Low-loss、Very
246、-low loss、Ultra-low loss、Extreme Low-loss 及更高級別材料,AI 服務器覆銅板表現較好,正開發高效散熱封裝基板用覆銅板關鍵技術。深南電路:深南電路:廣州封裝基板項目主要面向 FC-BGA、FC-CSP、RF 封裝基板三類,項目分兩期建設,一期已于 2023 年 10 月下旬連線,后續進步產能爬坡階段。FC-BGA 封裝基板中階產品目前已在客戶端順利完成認證,部分中高階產品進入送樣階段,初步建成高階產品樣品試產能力。神工股份:神工股份:公司大直徑單晶硅材料直接向電極制造商銷售,經電極制造商機械加工制程 IC 刻蝕用硅電極,直接用于芯片制造刻蝕環節,公司客戶
247、覆蓋日本、韓國等半導體知名硅零部件廠商。公司“集成電路刻蝕設備用硅材料擴產”定增項目積極擴大大直徑硅料產能,達產后現有刻蝕用硅材料產能將從 500 噸/年增長至 900 噸/年。上海新陽:上海新陽:公司產品主要包括晶圓制造及先進封裝用電鍍液和添加劑系列,包括大馬士革銅互聯、TSV、Bumping 電鍍液及配套添加劑;晶圓制造用清洗液、刻蝕液系列,主要包括銅制程刻蝕后清洗液、鋁制程刻蝕后清洗液、氮化硅/鈦蝕刻液、CMP 后清洗液等;IC 制造用高端光刻膠系列,包括 I 線、K 線、ArF干法和浸沒式、底部抗反射膜(BARC)等材料;CMP 拋光液,用于 STI、金屬鎢、金屬銅、硅氧化層、多晶硅層
248、等;半導體封裝用鍍錫化學材料及配套電鍍前處理、后處理化學材料等。華正新材:華正新材:主要從事覆銅板及粘結片、半導體封裝材料、復合材料和膜材料等產品,其覆銅板用于制作 PCB,半導體封裝材料包括 BT 封裝材料和 CBF 積層絕緣膜,適用于 Chiplet、FC-BGA 等先進封裝工藝,主要用于 Memory、MEMS、RF、ECP 嵌埋技術即 CPU、GPU、FPGA、ASIC 等算力芯片的半導體封裝。方邦股份:方邦股份:公司產品包括電磁屏蔽膜、各類銅箔、撓性覆銅板、電阻薄膜、復合銅箔等,其中帶載體可剝離超薄銅箔是制備芯片封裝基板、HDI 板的必需基材,極薄撓性覆銅板是實現高密度互連技術的關鍵
249、材料之一?;靥煨虏模夯靥煨虏模汗驹谛酒庋b用膠板塊相關產品包括芯片四角邦定膠(edgebond)、芯片底部填充膠(underfill)、SIP 屏蔽銀漿等,其與 H 公司在 5G 通信、消費電子、數字能源、汽車電子等板塊均有合作,供應的產品包括環氧底填、PUR 膠、三防漆、UV 膠等。敬請閱讀末頁的重要說明 75 行業深度報告 國風新材:國風新材:公司 PI 薄膜經過深加工后可用于消費電子等設備的柔性電路板、散熱材料等領域,半導體封裝用 PSPI 光刻膠研發處于實驗室送樣檢測階段。封測:封測:長電科技:長電科技:公司擁有晶圓級封裝、倒裝芯片互連、硅通孔(TSV)等多種技術,在2.5D 封裝領
250、域擁有成熟 MEOL TSV 集成經驗。2022 年,公司認證通過 TSV 異質鍵合 3D SoC 的 fcBGA 技術;2023 年 1 月,公司 XDFOI Chiplet 高密度多維異構集成工藝實現量產,實現國際客戶 4nm 節點封裝產品出貨,既有 TSV less,也有 TSV 方案,適用于 HBM 等芯片。通富微電:通富微電:公司多層堆疊 NAND Flash 及 LPDDR 封裝實現穩定量產,同時在國內首家完成基于 TSV 技術的 3DS DRAM 封裝開發。公司南通通富工廠三期工程穩步推進,并預計該先進封裝生產線建成后,公司將成為國內最先進的 2.5D/3D先進封裝研發及量產基地
251、,實現國內在 HBM(高帶寬內存)高性能封裝技術領域的突破。AMD 在 CES 2023 展會上推出了下一代面向數據中心的 APU 產品 Instinct MI300,采用 Chiplet 設計,由 13 個小芯片組成,晶體管數量高達 1460 億個,超越英特爾的 1000 億晶體管的 Ponte Vecchio。國內通富微電于 2016 年收購AMD 蘇州和檳城兩家工廠,多年來一直和 AMD 形成合作伙伴關系,承擔了 AMD主要的封測業務,公司此前于投資者互動平臺表示,通富有涉及 AMD Instinct MI300 的封測項目??紤]到 AMD 未來將更多導入 Chiplet 的架構設計,通
252、富微電或將持續受益。華天科技:華天科技:公司具備 3D、SiP、MEMS、FC、TSV、Bumping、Fan-out、WLP 等先進封裝技術。2023 年 3 月,公司宣布投資 28.58 億元進行“高密度高可靠性先進封測研發及產業化”項目,達產后預計形成 Bumping 84 萬片、WLCSP 48 萬片、超高密度刪除 UHDFO 2.6 萬片的晶圓級封測能力,建設期為 5 年。深科技:深科技:公司于 2015 年收購金士頓旗下沛頓科技 100%股權,沛頓科技主要從事高端存儲芯片的封測,在 DRAM 封測實力較強,產品包括 DDR3、DDR4、DDR5、LPDDR3、LPDDR4、LPDD
253、R5、eMCP4 等。技術方面,公司具備先進封裝 FlipChip/TSV 技術(DDR4 封裝)能力,高端 3D TSV 等技術持續開發;產能方面,深科技孫公司合肥沛頓存儲科技于 2020 年 10 月成立于合肥市經濟技術開發區空港示范區,是沛頓科技在華東地區的運營基地,為國內主要客戶提供封裝測試、模組組裝等全套服務。太極實業:太極實業:公司半導體業務主要涉及 IC 芯片封裝、封裝測試、模組裝配及測試等,子公司海太公司半導體業務目前主要是為 SK 海力士的 DRAM 產品提供后工序服務,擁有完整的封裝測試生產線與 SK 海力士 12 英寸晶圓生產線緊密配套;子公司太極半導體在傳統封裝工藝(F
254、C)基礎上,開發了高階混合封裝(Hybirid,FC+WB)工藝。甬矽電子:甬矽電子:公司全部產品均為 QFN/DFN、WB-LGA、WB-BGA、Hybrid-BGA、FC-LGA 等中高端先進封裝形式,并在系統級封裝(SiP)、高密度細間距凸點倒裝產品(FC 類產品)、大尺寸/細間距扁平無引腳封裝產品(QFN/DFN)等先進封裝領域具有技術儲備;公司通過開展 Bumping 項目掌握了 RDL 及凸點加工能力,后續逐步拓展晶圓級封裝、扇出式封裝及 2.5D/3D 封裝;公司控股子公司甬矽半導體(寧波)擬投資建設高密度及混合 IC 封測項目,項目總金額預計不超 敬請閱讀末頁的重要說明 76
255、行業深度報告 過 21.57 億元,預計可新增年產 87000 萬顆高密度及混合集成電路封裝測試,具體投向 FC-LGA、FC-CSP、FC-BGA 及 Hybrid-BGA 類產品。3、AI 服務器對存儲容量有數倍拉動,行業邊際復蘇和自主服務器對存儲容量有數倍拉動,行業邊際復蘇和自主可控趨勢帶動可控趨勢帶動國內需求增長國內需求增長 AI 服務器存儲伴隨服務器存儲伴隨 CPU 和和 GPU 升級而迭代,對存儲容量和價值量均有數倍拉升級而迭代,對存儲容量和價值量均有數倍拉動。動。傳統服務器中,CPU 作為算力來源,最終數據儲存在硬盤中,CPU 內存作為 CPU 和硬盤之間的橋梁,能夠暫時存放 C
256、PU 的運算數據并與硬盤等外部存儲器進行數據交換;傳統服務器的 CPU 主要通過提升核心數進行算力提升,但 AI訓練模型算力增長速度已經超過 CPU 可以滿足的算力需求,因此高性能推理服務器或者 AI 服務器中增加了能夠多線程吞吐數據的 GPU,GPU 由 CPU 來發出指令調度。伴隨著 AI 算力要求的提升,CPU 和 GPU 均不斷升級迭代,CPU 顯存、服務器 SSD 的容量和價值量均有數倍增長,另外 AI 服務器對 GPU 的需求也催生了 GPU 顯存的純增量市場。存儲價格持續反彈,美光和存儲價格持續反彈,美光和 SK 海力士增加海力士增加 2024 年存儲資本支出。年存儲資本支出。本
257、輪存儲行業下行周期自 22Q1 開始,存儲量價齊跌帶來原廠收入和盈利能力快速下滑,在22Q4-23Q1,幾大存儲原廠均出現巨額虧損;伴隨著 6-7 個季度持續的減產,當前供給側產能明顯收縮,下游終端和原廠庫存持續改善,海外原廠收入和利潤表現自 23Q2 以來均有所改善。站在當前時點,美光和 SK 海力士均對存儲行業復蘇展望樂觀,并適當增加 2024 年的資本支出;從價格端來看,當前整體價格處于底部,高端 HBM、DDR5 等價格持續上漲,部分中低端型號價格也有所上升,展望 23Q4-2024 年,價格有望持續反彈。圖圖 88:DXI 指數指數 資料來源:Wind,招商證券 表表 16:存儲原廠
258、資本支出規劃:存儲原廠資本支出規劃 存儲原廠存儲原廠 2023 年資本支出年資本支出 2024 年資本支出規劃年資本支出規劃 三星電子 宣布減產存儲芯片,Capex 同比持平 增加 2.5 倍及以上 HBM 產能,可能擴大減產 NAND SK 海力士 2023 年資本支出同比減少 50%以上 增加資本開支,大幅擴產 HBM、TSV 產能,NAND 產能規劃謹慎 美光 2023 年資本開支同比減少 40%2024 年供給增速低于需求增速,將增大資本開支,但晶圓開工率預計仍顯著低于 2022 年水平 資料來源:公司法說會,招商證券 050001000015000200002500030000350
259、004000045000 敬請閱讀末頁的重要說明 77 行業深度報告 美光在華銷售產品審查未通過,國產自主可控進程加速。美光在華銷售產品審查未通過,國產自主可控進程加速。2023 年 5 月 21 日,據網信辦發布,美光公司產品存在較嚴重網絡安全問題隱患,對我國關鍵信息基礎設施供應鏈造成重大安全風險,影響我國國家安全。為此,網絡安全審查辦公室依法作出不予通過網絡安全審查的結論。按照網絡安全法等法律法規,我國內關鍵信息基礎設施的運營者應停止采購美光公司產品。美光回應表示,中國國家互聯網信息辦公室(CAC)審查決定對美光的業務影響目前仍不確定,包括移動設備制造商在內的某些美光客戶已接到中國的關鍵信
260、息基礎設施(CII)運營商或政府代表的通知,涉及到未來使用美光產品的事宜。美光總部在中國約 50%的業務可能會受到影響,相當于美光全球收入的低兩位數百分比,可能會受到影響。盡管美光受影響的一部分市場可以由三星、SK 海力士等填補,但將加速長鑫存儲等原廠國產 DRAM 導入,以及存儲芯片、模組、封測、主控芯片等產業鏈標的國產自主可控進程。建議關注國內存儲芯片標的兆易創新、紫光國微、復旦微電、北京君正、聚辰股建議關注國內存儲芯片標的兆易創新、紫光國微、復旦微電、北京君正、聚辰股份、普冉股份、東芯股份、恒爍股份、上海貝嶺等;存儲模組及主控標的江波龍、份、普冉股份、東芯股份、恒爍股份、上海貝嶺等;存儲
261、模組及主控標的江波龍、佰維存儲、朗科科技、德明利、國科微等佰維存儲、朗科科技、德明利、國科微等。存儲存儲經銷經銷 香農芯創:香農芯創:公司自 2020 年起進入半導體領域,立足半導體分銷業務,在存儲器及主控芯片領域,與 SK 海力士、MTK 聯發科等具備長期合作關系,并且公司目前重點布局企業級 SSD 的研發。雅創電子:雅創電子:擬收購的 WE 主要代理 SK 海力士的存儲器,上述產品將作為未來布局的重點方向,目前尚未形成銷售額。AI 服務器及服務器及 HBM 配套配套(Raid、CXL 芯片等)芯片等):國芯科技:國芯科技:公司基于 C*Core CPU 內核 C8000 的第一代 Raid
262、 芯片產品,具備多個獨立的接口通道、支持連接最多 40 個機械硬盤或 SSD 固態存儲盤,兼容 PCIE標準,目前已經量產流片;另外,目前公司國家重大需求、信息安全以及邊緣計算和網絡通信等領域有多個 SoC 芯片正在進行多芯片合封,最多已經實現 6 顆裸 Die 的合封,目前正在研究規劃合封多 HBM 內存的 2.5D 的芯片封裝技術。瀾瀾起科技:起科技:2022 年 5 月 6 日,公司宣布發布全球首款 CXL 內存擴展控制器芯片(MXC),轉為內存 AIC 擴展卡、背板及 EDSFF 內存模組而設計,可大幅擴展內存容量和帶寬,滿足 HPC、AI 等數據密集型應用需求。未來 CXL 有望搭配
263、HBM 使用,HBM 需求增長也有望帶動 CXL 用量增長。創益通:創益通:公司主營數據存儲互連產品、消費電子互連產品、通訊互連產品和精密結構件,數據存儲互連產品主要包括各種型號的高速連接器、高頻高速數據線等。敬請閱讀末頁的重要說明 78 行業深度報告 表表 17:AI 服務器存儲及先進封裝產業鏈上市標的服務器存儲及先進封裝產業鏈上市標的 產業環節產業環節 公司公司 海外標的 存儲原廠:SK 海力士、三星、美光 設備:Camtek、Onto、AMAT、KLA、DISCO、SUSS、EVG Group、BESI、TOWA、YAMADA、ASMPT 材料:住友電木、昭和電工、美國杜邦、漢高、Cab
264、ot 等 先進封裝 相關設備 中科飛測、北方華創、中微公司、拓荊科技、華海清科、盛美上海、芯源微、精測電子、芯碁微裝、文一科技、至正股份、新益昌、光力科技、德龍激光、賽騰股份、耐科裝備、亞威股份、勁拓股份、邁為股份、奧特維、長川科技、華峰測控、金海通等 先進封裝 相關材料 鼎龍股份、安集科技、雅克科技、強力新材、天承科技、華海誠科、聯瑞新材、壹石通、飛凱材料、德邦科技、興森科技、生益科技、深南電路、神工股份、上海新陽、華正新材、方邦股份、回天新材、國風新材等 先進封裝 長電科技、通富微電、華天科技、深科技、太極實業、甬矽電子等 存儲芯片 兆易創新、紫光國微、復旦微電、北京君正、聚辰股份、普冉股
265、份、東芯股份、恒爍股份、上海貝嶺等 存儲模組 及主控 江波龍、佰維存儲、朗科科技、德明利、國科微等 存儲經銷 香農芯創、雅創電子等 存儲配套 國芯科技、瀾起科技、創益通等 資料來源:公司官網,招商證券整理 敬請閱讀末頁的重要說明 79 行業深度報告 八八、風險提示、風險提示 1)AI 服務器滲透率提升不及預期。服務器滲透率提升不及預期。當前全球 AI 服務器滲透率依舊較低,如果AI 服務器滲透率提升不及預期,那么將影響存儲市場空間的提升以及 HBM 等新型存儲器、TSV 等先進封裝技術的發展。2)存儲行業復蘇不及預期。)存儲行業復蘇不及預期。存儲行業下游手機、服務器、PC 等需求仍未明顯復蘇,
266、如果下游復蘇不及預期,那么行業供需平衡狀態預計更晚到來,價格漲勢或將無法延續。3)國產替代不及預期。)國產替代不及預期。如果存儲行業國產替代進程放緩,那么不利于存儲原廠、芯片、模組、封測廠商等的國產化導入,進而會對國內存儲產業鏈造成不利影響。4)研發進展不及預期。)研發進展不及預期。國內廠商在 DRAM、NAND、HBM 等存儲器的技術方面仍落后于海外原廠,仍處于持續追趕過程中,長期來看,假如國內存儲原廠如合肥長鑫、長江存儲,及國內存儲芯片、模組、封測等產業鏈標的研發能力下降,那么將影響國內存儲產品發布。敬請閱讀末頁的重要說明 80 行業深度報告 參考報告:參考報告:1、半導體行業月度深度跟蹤
267、:需求回暖疊加庫存改善,半導體產業鏈景氣復蘇趨勢明顯2023-11-07 2、三星電子 23Q3 跟蹤報告:存儲芯片觸底復蘇,看好 2024 年手機等多終端需求改善2023-11-02 3、SK 海力士 23Q3 跟蹤報告:存儲行業全面復蘇,指引 AI 服務器和 HBM 高速增長2023-11-01 4、美光 FY23Q4 跟蹤報告:存儲價格已逐步觸底,2024 年供需關系將大幅改善2023-10-09 5、存儲行業跟蹤報告:存儲拐點曙光初現,自主可控持續加速2023-04-04 敬請閱讀末頁的重要說明 81 行業深度報告 分析師分析師承諾承諾 負責本研究報告的每一位證券分析師,在此申明,本報
268、告清晰、準確地反映了分析師本人的研究觀點。本人薪酬的任何部分過去不曾與、現在不與,未來也將不會與本報告中的具體推薦或觀點直接或間接相關。評級評級說明說明 報告中所涉及的投資評級采用相對評級體系,基于報告發布日后 6-12 個月內公司股價(或行業指數)相對同期當地市場基準指數的市場表現預期。其中,A 股市場以滬深 300 指數為基準;香港市場以恒生指數為基準;美國市場以標普 500 指數為基準。具體標準如下:股票股票評級評級 強烈推薦:預期公司股價漲幅超越基準指數 20%以上 增持:預期公司股價漲幅超越基準指數 5-20%之間 中性:預期公司股價變動幅度相對基準指數介于 5%之間 減持:預期公司
269、股價表現弱于基準指數 5%以上 行業評級行業評級 推薦:行業基本面向好,預期行業指數超越基準指數 中性:行業基本面穩定,預期行業指數跟隨基準指數 回避:行業基本面轉弱,預期行業指數弱于基準指數 重要重要聲明聲明 本報告由招商證券股份有限公司(以下簡稱“本公司”)編制。本公司具有中國證監會許可的證券投資咨詢業務資格。本報告基于合法取得的信息,但本公司對這些信息的準確性和完整性不作任何保證。本報告所包含的分析基于各種假設,不同假設可能導致分析結果出現重大不同。報告中的內容和意見僅供參考,并不構成對所述證券買賣的出價,在任何情況下,本報告中的信息或所表述的意見并不構成對任何人的投資建議。除法律或規則規定必須承擔的責任外,本公司及其雇員不對使用本報告及其內容所引發的任何直接或間接損失負任何責任。本公司或關聯機構可能會持有報告中所提到的公司所發行的證券頭寸并進行交易,還可能為這些公司提供或爭取提供投資銀行業務服務??蛻魬斂紤]到本公司可能存在可能影響本報告客觀性的利益沖突。本報告版權歸本公司所有。本公司保留所有權利。未經本公司事先書面許可,任何機構和個人均不得以任何形式翻版、復制、引用或轉載,否則,本公司將保留隨時追究其法律責任的權利。