1、1【中泰電子中泰電子】光刻機行業報告:從光刻機行業報告:從0到到1,星,星辰大海辰大海 證券研究報告證券研究報告 20232023年年0404月月0303日日 中泰電子王芳團隊中泰電子王芳團隊 分析師:王芳分析師:王芳 執業證書編號:執業證書編號:S0740521120002 分析師:游凡分析師:游凡 執業證書編號:執業證書編號:S0740522120002 分析師:楊旭分析師:楊旭 執業證書編號:執業證書編號:S0740521120001 研究助理:張瓊研究助理:張瓊 目目 錄錄 一、光刻是芯片制造最核心環節,大陸自給率亟待提升一、光刻是芯片制造最核心環節,大陸自給率亟待提升 1.1 光刻機
2、是芯片制造的核心設備,市場規模全球第二光刻機是芯片制造的核心設備,市場規模全球第二 1.2 一超兩強壟斷市場,大陸卡脖子現象凸顯一超兩強壟斷市場,大陸卡脖子現象凸顯 二、光刻機:多個先進系統的組合,核心零部件被海外廠商壟斷二、光刻機:多個先進系統的組合,核心零部件被海外廠商壟斷 2.1 從接觸式到從接觸式到EUV,制程持續演進,制程持續演進 2.2 多個先進系統組合,技術壁壘極高多個先進系統組合,技術壁壘極高 三、大陸廠商實現從“三、大陸廠商實現從“0到到1”,本土化帶來廣闊替代空間,本土化帶來廣闊替代空間 四、投資建議及風險提示四、投資建議及風險提示 2 PWjXgVOXlZgVtQnOsQ
3、7N9R7NoMnNmOsRlOmMqMjMnNtP7NmNoRuOqMsONZoMoR來源:ASML,中泰證券研究所 3 光刻是芯片制造最核心環節光刻是芯片制造最核心環節 光刻機是芯片制造中最復雜、最昂貴的設備光刻機是芯片制造中最復雜、最昂貴的設備。芯片制造可以包括多個工藝,如初步氧化、涂光刻膠、曝光、顯影、刻蝕、離子注入。這個過程需要用到的設備種類繁多,包括氧化爐、涂膠顯影機、光刻機、薄膜沉積設備、刻蝕機、離子注入機、拋光設備、清洗設備和檢測設備等。在整個半導體芯片制造過程中,光刻是最復雜工藝,光刻工藝的費用約占芯片制造成本的1/3左右,耗費時間占比約為40-50%,光刻工藝所需的光刻機是
4、最貴的半導體設備。光刻機可分為前道光刻機和后道光刻機。光刻機可分為前道光刻機和后道光刻機。光刻機既可以用在前道工藝,也可以用在后道工藝,前道光刻機用于芯片的制造,曝光工藝極其復雜,后道光刻機主要用于封裝測試,實現高性能的先進封裝,技術難度相對較小。圖:光刻工藝流程圖圖:光刻工藝流程圖 離子注入離子注入 刻蝕刻蝕 顯影顯影 曝光曝光 涂光刻膠涂光刻膠 初步氧化初步氧化 光刻膠去除光刻膠去除 4 光刻機廠商研發費用率高光刻機廠商研發費用率高:22年全球前五大半導體設備廠商的平均研發費用率為11%,其中ASML研發費用率為15%,高于其他設備廠商。光刻機零部件供應商遍布全球光刻機零部件供應商遍布全球
5、,核心零部件來自德國和美國:核心零部件來自德國和美國:代表光刻機最高端技術的EUV光刻機里面有10萬多個零部件,全球超過5000家供應商。整個光刻機中,荷蘭腔體和英國真空占32%,美國光源占27%,德國光學系統占14%,日本的材料占27%。光刻機研發難度大,零部件海外壟斷光刻機研發難度大,零部件海外壟斷 荷蘭腔體和英國真空 32%美國光源 27%日本的材料 27%德國光學系統 14%圖:圖:EUVEUV光刻機零部件占比(單位:光刻機零部件占比(單位:%)來源:WIND,2021數博會,中泰證券研究所 圖:圖:全球前五大半導體設備廠商研發費用率全球前五大半導體設備廠商研發費用率(單位:(單位:%
6、)0%2%4%6%8%10%12%14%16%18%AMATASMLTokyoElectronLamResearchKLA22年研發費用率(%)來源:SEMI,中泰證券研究所 20212021年全球前道光刻設備市場規模為年全球前道光刻設備市場規模為172172億美元,其市場份額在晶圓生產設備中占比為億美元,其市場份額在晶圓生產設備中占比為20%20%,僅次于刻蝕設備。光刻機價格昂,僅次于刻蝕設備。光刻機價格昂貴,貴,ASMLASML當前當前EUVEUV光刻機單價為光刻機單價為1.51.5億億-2 2億美元。億美元。圖:圖:20212021年晶圓生產設備市場份額占比年晶圓生產設備市場份額占比 5
7、 光刻設備單價最高,市場規模全球第二光刻設備單價最高,市場規模全球第二 02-21 15-21半導體市場299,521291,562305,584335,843335,168338,931412,221468,778412,106438,979555,8937%9%YoY%0%-3%5%10%0%1%22%14%-12%7%27%半導體生產設備市場43,52636,92731,78937,49936,52741,00756,68764,53159,75371,028102,1849%19%YoY%9%-15%-14%18%-3%12%38%14%-7%19%44%占半導體市場比例14.50%1
8、2.70%10.40%11.20%10.90%12.10%13.80%13.80%14.50%16.20%18.40%晶圓制造總額(fab需要)36,30930,21026,72930,83130,63434,26048,04154,83351,74061,13387,1399%19%YoY%14%-17%-12%15%-1%12%40%14%-6%18%43%占半導體生產設備市場比例83%82%84%82%84%84%85%85%87%86%85%晶圓生產設備3434528,14925,36429,25828,79632,54545,50852,19148,86358,14083,51010
9、%19%蝕刻4,3613,9803,9965,1736,0317,29710,73411,53610,27412,88118,88614%21%光刻8,9306,6526,2986,8795,7736,2248,36711,09211,51213,37217,17810%20%CVD3,8483,1502,8324,0094,4204,8467,8388,5787,1669,02913,39811%20%測量和檢驗3,8023,7363,5343,5313,3104,1624,9325,4785,3136,3399,1848%19%表面處理2,7622,7141,8392,2962,1472,
10、5473,2353,6773,2513,6205,43611%17%涂膠2,3371,8631,5131,7551,5681,5821,8712,6702,5022,9554,0748%17%PVD1,8321,7321,5351,7001,3671,4322,1312,4912,8643,2114,6998%23%熱處理1,2877757917277148701,2931,4131,3501,5562,7058%25%CMP1,2407787408028961,2361,7961,8521,4861,5722,6227%20%其他沉積2,3761,4611,2371,3121,2221,05
11、61,5841,3881,5361,4572,52110%13%離子注入1,2891,0328138331,0711,0121,3251,5871,2711,6642,1615%12%其他晶圓加工28027523723927928140242933848364511%15%代工廠設施8527986837718759811,5801,5531,5081,4342,0874%16%掩模制造設備1,1121,2646828029637349531,0881,3691,5591,5425%8%封裝設備3,3383,0812,3003,0612,5042,9933,8813,9552,8753,7617
12、,09311%19%YoY%-14%-8%-25%33%-18%20%30%2%-27%31%89%檢測設備3,7723,5502,7073,5513,3283,6904,6975,6345,0166,0047,8156%15%YoY%-9%-6%-24%31%-6%11%27%20%-11%20%30%占半導體生產設備市場比例9%10%9%9%9%9%8%9%8%8%8%Soc&Logic測試1,8352,0621,4441,9621,6662,0082,2692,5492,8043,0934,3206%17%存儲測試5123663424224513757441,1166881,1861,1
13、134%16%其他測試相關設備1,4251,1229221,1661,2111,3071,6841,9691,5241,7252,3815%12%處理器603412324424541580743780539615949-10%探針設備6484934165264725356989377548781,1427%16%CAGR20162017201820192020202120112012201320142015蝕刻 23%光刻 20%CVD 16%測量與檢驗 11%表面處理 6%涂膠 5%PVD 6%熱處理 3%CMP 3%其他沉積 3%離子注入 3%其他晶圓加工 1%圖:全球半導體制造設備市場份
14、額(單位:百萬美元)圖:全球半導體制造設備市場份額(單位:百萬美元)目目 錄錄 一、光刻是芯片制造最核心環節,大陸自給率亟待提升一、光刻是芯片制造最核心環節,大陸自給率亟待提升 1.1 光刻機是芯片制造的核心設備,市場規模全球第二光刻機是芯片制造的核心設備,市場規模全球第二 1.2 一超兩強壟斷市場,大陸卡脖子現象凸顯一超兩強壟斷市場,大陸卡脖子現象凸顯 二、光刻機:多個先進系統的組合,核心零部件被海外廠商壟斷二、光刻機:多個先進系統的組合,核心零部件被海外廠商壟斷 2.1 從接觸式到從接觸式到EUV,制程持續演進,制程持續演進 2.2 多個先進系統組合,技術壁壘極高多個先進系統組合,技術壁壘
15、極高 三、大陸廠商實現從“三、大陸廠商實現從“0到到1”,本土化帶來廣闊替代空間,本土化帶來廣闊替代空間 四、投資建議及風險提示四、投資建議及風險提示 6 來源:cntechpost,中泰證券研究所 19611961年年,第一臺接觸式光刻機由美國第一臺接觸式光刻機由美國GCAGCA推出推出,歷經歷經6060年的發展年的發展,ASMLASML后來者居上后來者居上,成為當前光刻機行業的絕對龍頭成為當前光刻機行業的絕對龍頭。光刻機問世光刻機問世:1955年,貝爾實驗室開始采用光刻技術,1961年,GCA公司制造出第一臺接觸式光刻機。步進式光刻機推出步進式光刻機推出:1978年,步進式光刻機推出,19
16、84年尼康和GCA各占30%份額,同年ASML成立。浸沒式光刻機推出浸沒式光刻機推出:2000年,ASML推出雙工件臺光刻機,2003年ASML推出浸沒式光刻機,至此ASML一舉超越其他廠商,后來者居上。EUVEUV光刻機推出光刻機推出:2013年,ASML推出第一臺EUV量產產品,進一步加強行業壟斷地位。表:光刻技術發展歷程表:光刻技術發展歷程 7 從接觸式到從接觸式到EUVEUV,ASMLASML成為絕對龍頭成為絕對龍頭 1960s1960s-70s70s 1980s1980s-90s90s 2000s2000s 2010s2010s 1955年,貝爾實驗室開始采用光刻技術在硅片上制作更精
17、細復雜的電路。1961年,GCA發明了第一個商業化應用于半導體制造的步進和重復掩膜設備(第一臺光刻機)此后,Kapser instrument和perkin Elmer公司先后推出了對準,投影,光刻技術 1978年,GCA推出第一臺全自動步進式光刻機,分辨率可達1微米。19世紀80年代,尼康發布第一臺商用步進式光刻機NSR-1010G。1984年尼康與GCA各占據30%市場份額,同年ASML剛剛成立。1991年,ASML推出PAS 5500步進式光刻機,成為ASML長青設備之一。2000年,ASML發布TWINSCAN雙工件光刻機平臺,生產效率提升35%以上。2003年,ASML與臺積電合作推
18、出浸沒式光刻機,摩爾定律實現了一次大躍進。2005年,摩爾定律發展再度陷入停滯。ASML研發EUV光刻技術。2008年春季,產生了世界上第一個full-field EUV測試芯片。2010年,ASML成功研發第一臺TWINSCANNXE:3100交付客戶使用。2013年,ASML推出第一臺EUV量產產品。NXE:3300正式發貨。2017、2019、2021ASML分別開始量產出貨NXE:3400B、NXE:3400C和NXE:3400D。分辨率、套刻精度、生產效率不斷提升。來源:薩科微半導體官網,中泰證券研究所 8 光刻技術:從接觸式到接近式光刻技術:從接觸式到接近式 接觸式光刻技術良率低、
19、成本高:接觸式光刻技術良率低、成本高:接觸式光刻技術出現于20世紀60年代,是小規模集成電路時期最主要的光刻技術。接觸式光刻技術中掩膜版與晶圓表面的光刻膠直接接觸,一次曝光整個襯底,掩膜版圖形與晶圓圖形的尺寸關系是1:1,分辨率可達亞微米級。特點:接觸式可以減小光的衍射效應,但在接觸過程中晶圓與掩膜版之間的摩擦容易形成劃痕,產生顆粒沾污,降低了晶圓良率及掩膜版的使用壽命,需要經常更換掩膜版,故接近式光刻技術得以引入。接近式光刻技術分辨率有限:接近式光刻技術分辨率有限:接近式光刻技術廣泛應用于20世紀70年代,接近式光刻技術中的掩膜版與晶圓表明光刻膠并未直接接觸,留有被氮氣填充的間隙。特點:最小
20、分辨尺寸與間隙成正比,間隙越小,分辨率越高。缺點是掩膜版和晶圓之間的間距會導致光產生衍射效應,因此接近式光刻機的空間分辨率極限約為2 m。隨著特征尺寸縮小,出現了投影光刻技術。圖:接觸式光刻示意圖圖:接觸式光刻示意圖 圖:接近式光刻示意圖圖:接近式光刻示意圖 來源:薩科微半導體官網,中泰證券研究所 9 光刻技術:從接近式到投影式光刻技術:從接近式到投影式 投影光刻技術有效提高分辨率:投影光刻技術有效提高分辨率:20世紀70年代中后期出現投影光刻技術,基于遠場傅里葉光學成像原理,在掩膜版和光刻膠之間采用了具有縮小倍率的投影成像物鏡,有效提高了分辨率。早期掩膜版與襯底圖形尺寸比為1:1,隨著集成電
21、路尺寸的不斷縮小,出現了縮小倍率的步進重復光刻技術。步進重復光刻主要應用于步進重復光刻主要應用于0 0.2525 m m以上工藝:以上工藝:光刻時掩膜版固定不動,晶圓步進運動,完成全部曝光工作。隨著集成電路的集成度不斷提高,芯片面積變大,要求一次曝光的面積增大,促使更為先進的步進掃描光刻機問世。目前步進重復光刻主要應用于0.25 m以上工藝及先進封裝領域。步進掃描光刻被大量采用:步進掃描光刻被大量采用:步進掃描光刻機在曝光視場尺寸及曝光均勻性上更有優勢,在0.25 m以下的制造中減少了步進重復光刻機的應用。步進掃描采用動態掃描方式,掩膜版相對晶圓同步完成掃描運動,完成當前曝光后,至下一步掃描場
22、位置,繼續進行重復曝光,直到整個晶圓曝光完畢。從0.18 m節點開始,硅基底CMOS工藝大量采用步進掃描光刻,7nm以下工藝節點使用的EUV采用的也是步進掃描方式。圖:投影光刻示意圖圖:投影光刻示意圖 圖:步進掃描光刻示意圖圖:步進掃描光刻示意圖 圖:步進重復光刻示意圖圖:步進重復光刻示意圖 來源:薩科微半導體官網,ASML,中泰證券研究所 10 光刻技術:干法光刻和浸潤式光刻光刻技術:干法光刻和浸潤式光刻 投影光刻技術根據投影物鏡下方和晶圓間是否有水作為介質可以分為干式光刻和浸潤式光刻投影光刻技術根據投影物鏡下方和晶圓間是否有水作為介質可以分為干式光刻和浸潤式光刻。干式光刻技術無法滿足不斷縮
23、小的線寬干式光刻技術無法滿足不斷縮小的線寬:光從投影物鏡射出,由玻璃介質進入空氣介質,會發生衍射,光角度發生變化,最終成像于晶圓表面。隨著線寬不斷縮小,衍射效應不斷增加,需要增大投影物鏡直徑來接受更多的光,這導致物鏡內聚焦的光角度越來越大,再經過折射效應,射出投影物鏡的光角度接近水平,無法成像,因此出現了浸潤式光刻技術。浸潤式光刻技術使光刻水平進一步提高:浸潤式光刻技術使光刻水平進一步提高:投影物鏡下方和晶圓間充滿水,由于水的折射率和玻璃接近(在193nm波長中,折射率空氣=1,水=1.44,玻璃約為1.5),從投影物鏡射出的光進入水介質后,折射角較小,光可以正常從物鏡中折射出來。ArF光源加
24、浸潤技術實際等效的波長為193nm/1.44=134nm。圖:干式光刻示意圖圖:干式光刻示意圖 圖:光線在玻璃、空氣、水中的折射圖:光線在玻璃、空氣、水中的折射 圖:浸潤式系統示意圖圖:浸潤式系統示意圖 來源:薩科微半導體官網,ASML,中泰證券研究所 光刻機的技術水平很大程度上決定了集成電路的發展水平。光刻機的技術水平很大程度上決定了集成電路的發展水平。隨著EUV光刻機的出現,芯片制程最小達到3nm。目前ASML正在研發High-NA EUV光刻機,制程可達2nm、1.8nm,預計2025年量產。同時,英偉達在23年GTC大會上也表示其通過突破性的光刻計算庫cuLitho,將計算光刻加速40
25、倍以上,使得2nm及更先進芯片的生產成為可能,ASML、臺積電已參與合作,屆時將帶動芯片性能再次提高。表:各個工藝節點和光刻技術的關系表:各個工藝節點和光刻技術的關系 11 光刻機的技術水平決定集成電路的發展水平光刻機的技術水平決定集成電路的發展水平 制程制程 晶圓尺寸晶圓尺寸 金屬材料金屬材料 光刻機類型光刻機類型 0.5um 200mm Al g-line:436nm 0.35um 200mm Al i-line:365nm 0.25um 200mm Al KrF:248nm(stepper)0.18um 200mm Al KrF:248nm(stepper&scanner)0.13um
26、200/300mm Al/Cu ArF:193nm 90nm 300mm Al/Cu ArF:193nm 65/55nm 300mm Cu ArF:193nm 45/40nm 300mm Cu ArFi:193nm(134nm)28nm 300mm Cu ArFi:193nm(134nm)22/20nm 300mm Cu ArFi:193nm(134nm)16/14nm 300mm Cu ArFi:193nm(134nm)10nm 300mm Cu ArFi:193nm(134nm)7nm 300mm Cu EUV:13.5nm/ArFi:193nm(134nm)5nm 300mm Cu EU
27、V:13.5nm 3nm 300mm Cu EUV:13.5nm 圖:圖:ASMLASML對客戶節點演進的預測對客戶節點演進的預測 12 多重曝光亦可實現更小線寬,但工藝難度大多重曝光亦可實現更小線寬,但工藝難度大 光刻技術利用多重曝光工藝實現更小線寬光刻技術利用多重曝光工藝實現更小線寬。三種多重曝光技術:LELE、LFLE、SADP,誤差較小的是SADP。1 1)LELELELE(LITHOLITHO-ETCHETCH-LITHOLITHO-ETCHETCH 光刻光刻-刻蝕刻蝕-光刻光刻-刻蝕刻蝕):原理是把原來一層光刻圖形拆分到兩個或多個掩膜上,利用多次曝光和刻蝕來實現原來一層設計的圖形。
28、2 2)LFLELFLE (LITHOLITHO-FREEZEFREEZE-LITHOLITHO-ETCHETCH 光刻光刻-固化固化-光刻光刻-刻蝕刻蝕):原理是將第二層光刻膠加在第一層已被化學凍結但沒去除的光刻膠上,再次進行光刻,形成兩倍結構。LELE和LFLF技術的特點就是流程簡單,缺點是兩次光刻之間存在對準問題,如果工藝不夠嚴謹,每次曝光的線寬偏差和兩次曝光圖形之間套刻誤差將導致圖形局部周期性的起伏。來源:芯制造,顯示匯,中泰證券研究所 圖:圖:LELELELE原理原理 圖:套刻誤差引起的周期移動圖:套刻誤差引起的周期移動 13 多重曝光亦可實現更小線寬,但工藝難度大多重曝光亦可實現更
29、小線寬,但工藝難度大 3 3)SADPSADP又稱側墻圖案轉移又稱側墻圖案轉移,用沉積用沉積、刻蝕技術提高光刻精度:刻蝕技術提高光刻精度:在晶圓上沉積金屬介質層、硬掩膜材料和芯軸材料(犧牲層)旋涂光刻膠,曝光顯影后留下所需圖形并刻蝕核心芯軸在芯軸外圍沉積一層間隔側墻,側墻的大小即互連線的線間距,要精確控制其均勻度保證互連線間距的均一性清除掉芯軸材料,僅留下側壁,再一次刻蝕將側壁圖形轉移到下層掩膜層側墻清除,經過掩膜層修飾后的圖形,經過再一次刻蝕后傳遞給金屬介質層形成最終圖形,線寬僅為原來的1/2,SADP可以兩次達到4倍精度??偨Y總結:以沉積形成的側墻為掩膜以沉積形成的側墻為掩膜,在金屬介質層
30、上刻蝕形成最終圖形在金屬介質層上刻蝕形成最終圖形。難點:工藝過程對側壁沉積的厚度、刻蝕形貌的控制極其重要。來源:基于自對準圖形技術的金屬互連工藝研究,中泰證券研究所 圖:圖:SADPSADP技術工藝流程技術工藝流程 側墻側墻 14 多重曝光亦可實現更小線寬,但工藝難度大多重曝光亦可實現更小線寬,但工藝難度大 多重曝光可實現多重曝光可實現7 7nmnm制程但技術復雜成本高:制程但技術復雜成本高:多次LE或SADP可以實現7nm制程,但多重曝光技術提高了對刻蝕、沉積等工藝的技術要求并且增加了使用次數,使晶圓光刻成本增加了2-3倍。EUVEUV可實現可實現5 5nmnm以下制程且成本低以下制程且成本
31、低:目前只有通過EUV能達到5nm及以下制程。此外,EUV的使用可以有效減少刻蝕、沉積等工藝步驟,工藝簡單且光刻成本低。圖:對蝕刻和沉積的需求圖:對蝕刻和沉積的需求 來源:ASML,中泰證券研究所 圖:每片晶圓光刻成本圖:每片晶圓光刻成本 來源:芯思想研究院,中泰證券研究所 歷史轉折點:歷史轉折點:ASMLASML憑借浸潤式光刻機壟斷市場憑借浸潤式光刻機壟斷市場。在浸潤式光刻技術出現之前,各廠商專注于157nm波長技術的研發,“浸潤式微影技術”被提出后,ASML開始與臺積電合作開發浸潤式光刻機,并于2007年推出浸潤式光刻機,成功壟斷市場。而同為光刻巨頭的日本尼康、日本佳能主推的157nm光源
32、干式光刻機被市場逐漸拋棄,兩家公司由盛轉衰。ASMLASML一家獨大,一家獨大,NikonNikon和和CanonCanon瓜分剩余市場。瓜分剩余市場。1)全球光刻機市場的主要競爭公司為ASML、Nikon和Canon。ASML在超高端光刻機領域獨占鰲頭,旗下產品覆蓋面最廣。Canon光刻機主要集中在i-line光刻機,Nikon除EUV外均有涉及。2)光刻機市場份額主要被ASML、Canon、Nikon包攬,從這三家的占比情況來看,2022年ASML占據82%,Canon占據10%,Nikon占據8%。15 從接觸式到從接觸式到EUVEUV,ASMLASML成為絕對龍頭成為絕對龍頭 圖:圖:
33、20222022年全球光刻機年全球光刻機TOP3TOP3市場份額占比情況市場份額占比情況 表:表:20222022年全球半導體光刻機年全球半導體光刻機TOP3TOP3廠商出貨情況(單位:臺)廠商出貨情況(單位:臺)82.14%10.20%7.65%ASML佳能 尼康 類型類型 ASML Nikon Canon 超高端 EUV 40 高端 ArFi 81 4 中端/低端 ArF dry 28 4 KrF 151 7 51 i-line 45 15 125 合計 345 30 176 來源:ASML,中泰證券研究所 EUVEUV光刻機為光刻機為ASMLASML貢獻最主要營收貢獻最主要營收。從ASM
34、L各產品銷售額來看,2022年EUV光刻機在ASML前道光刻機產品銷售額占比近50%,其次是ArFi的35%。EUV和ArFi 作為高端機型,單價較貴,為ASML貢獻了主要營收增長動力。KrFKrF出貨量最多出貨量最多。從ASML各產品出貨量來看,2022年KrF出貨量最多,其次是ArFi,再到EUV。16 EUVEUV光刻機為光刻機為ASMLASML貢獻最主要營收貢獻最主要營收 11 18 26 31 42 40 76 86 82 68 81 81 13 16 22 22 22 28 71 78 65 103 131 151 26 26 34 34 33 45 050100150200250
35、300350400201720182019202020212022EUVArFiArF dryKrFi-line圖:圖:ASMLASML各類光刻機出貨量(臺)各類光刻機出貨量(臺)1084.2 1880.1 2799.7 4463.8 6284 7045.3 4028.7 4806.9 4707.7 3914 4959.6 5236.5 0200040006000800010000120001400016000201720182019202020212022EUVArFiArF dryKrFi-line圖:圖:ASMLASML各類光刻機銷售額(百萬歐元)各類光刻機銷售額(百萬歐元)來源:芯智訊
36、,中泰證券研究所 ASMLASML憑借光刻機在全球半導體設備廠商中位列第二憑借光刻機在全球半導體設備廠商中位列第二。根據芯智訊數據,在2021年全球半導體設備廠商銷售額排行中,ASML位列第二,銷售額達到217.75億美元,僅次于美國應用材料。17 ASMLASML憑借光刻機在全球半導體設備廠商中位列第二憑借光刻機在全球半導體設備廠商中位列第二 圖:圖:20212021年全球前十大半導體設備廠商(億美元)年全球前十大半導體設備廠商(億美元)24.53 24.86 36.32 37.03 39.07 81.65 165.24 172.78 217.75 241.72 05010015020025
37、0300Hitachi Higt-TechSEMESScreenTeradyneAdvantestKLALam ResearchTokyo ElectronASMLApplied Materials半導體設備廠商銷售額(億美元)來源:ASML官網,中泰證券研究所 ASMLASML光刻機種類最齊全,是全球唯一可生產光刻機種類最齊全,是全球唯一可生產EUVEUV光刻機的公司,制程最小可達光刻機的公司,制程最小可達3nm3nm。1)從類型來看,ASML覆蓋了干式DUV光刻機、浸沒式DUV光刻機及EUV光刻機,是全球唯一可生產EUV光刻機的公司,具有絕對領先優勢。2)從光源來看,ASML覆蓋了i-li
38、ne、KrF、ArF和極紫外光源,最小光源波長為13.5nm。3)從分辨率來看,ASML覆蓋了220nm、110nm、80nm、38nm、13nm等節點,EUV光刻機是目前全球分辨率最小的光刻機,經過多重曝光等工藝疊加制程可達到5nm/3nm。18 ASMLASML是全球唯一的是全球唯一的EUVEUV供應商供應商 表:表:ASMLASML半導體光刻機產品參數半導體光刻機產品參數 產品系列產品系列 產品名產品名 光源光源 光源波長光源波長 數值孔徑數值孔徑NA 最高生產分辨率最高生產分辨率 Dry systems(干式DUV)TWINSCAN XT:400L i-line 365nm 0.65
39、220nm TWINSCAN XT:860M KrF 248nm 0.8 110nm TWINSCAN XT:860N KrF 248nm 0.8 110nm TWINSCAN NXT:870 KrF 248nm 0.8 110nm TWINSCAN XT:1060K KrF 248nm 0.93 80nm TWINSCAN XT:1460K ArF 193nm 0.93 65nm TWINSCAN NXT:1470 ArF 193nm 0.93 57nm Immersion systems(浸沒式DUV)TWINSCAN NXT:1980Di ArFi 193nm(等效134nm)1.35
40、38nm TWINSCAN NXT:2000i ArFi 193nm(等效134nm)1.35 38nm TWINSCAN NXT:2050i ArFi 193nm(等效134nm)1.35 38nm EUV光刻系統 TWINSCAN NXE:3400C 極紫外EUV 13.5nm 0.33 13nm TWINSCAN NXE:3600D 極紫外EUV 13.5nm 0.33 13nm 圖:圖:ASMLASML光刻機發展歷程光刻機發展歷程 來源:Nikon官網,中泰證券研究所 NikonNikon光刻機集中于光刻機集中于DUVDUV,是除了,是除了ASMLASML以外唯一可以生產浸沒式光刻機的
41、廠商。以外唯一可以生產浸沒式光刻機的廠商。1)從類型來看,Nikon具有干式DUV光刻機、浸沒式DUV光刻機,是除了ASML以外唯一可以生產浸沒式光刻機的廠商。2)從光源來看,Nikon覆蓋了i-line、KrF、ArF光源,最小光源波長為193nm。3)從分辨率來看,Nikon覆蓋了280nm、110nm、65nm、38nm等節點。19 NikonNikon光刻機集中于光刻機集中于DUVDUV 表:表:NikonNikon半導體光刻機產品參數半導體光刻機產品參數 產品系列產品系列 產品名產品名 光源光源 光源波長光源波長 數值孔徑數值孔徑NA 最高生產分辨率最高生產分辨率 ArF浸沒式掃描光
42、刻機 NSR-S635E ArF 193nm 1.35 38nm NSR-S622D ArF 193nm 1.35 38nm ArF掃描光刻機 NSR-S322F ArF 193nm 0.92 65nm KrF掃描光刻機 NSR-S220D KrF 248nm 0.82 110nm i線步進式光刻機 NSR-SF155 i-line 365nm 0.62 280nm 來源:Canon官網,中泰證券研究所 CanonCanon半導體光刻機主要集中于低端類型。半導體光刻機主要集中于低端類型。1)從類型來看,Canon具有低端半導體的i-line和KrF光刻機,未覆蓋EUV、ArFi(浸沒式)、Ar
43、F等機型。2)從光源來看,Canon覆蓋了i-line、KrF光源,最小光源波長為248nm。3)從分辨率來看,Canon覆蓋了1.5微米、0.8微米、350nm、90nm等節點。表:表:CanonCanon半導體光刻機產品參數半導體光刻機產品參數 20 CanonCanon光刻機集中于低端類型光刻機集中于低端類型 產品系列產品系列 產品名產品名 光源光源 光源波長光源波長 數值孔徑數值孔徑NA 最高生產分辨率最高生產分辨率 KrF掃描式/步進式光刻機 FPA-6300ES6a KrF 248nm 0.860.50 90 nm FPA-6300ESW KrF 248nm 0.450.70 13
44、0 nm FPA-3030EX6 KrF 248nm 0.650.50 150 nm i線步進式光刻機 FPA-5550iZ2 i-line 365 nm 0.570.45 350 nm FPA-5550iX i-line 365 nm 0.370.28 500 nm FPA-3030i5a i-line 365 nm 0.35 m FPA-3030iWa i-line 365 nm 0.24-0.16 0.8 m FPA-5520iV i-line 365 nm 0.180.15 1.5m FPA-5520iV HR Option i-line 365 nm 0.240.15 0.8m FP
45、A-5520iV LF Option i-line 365 nm 0.240.15 1.5m FPA-5520iV LF2 Option i-line 365 nm 0.240.12 0.8m FPA-8000iW i-line 365 nm 0.24-0.12 1.0 m 目目 錄錄 一、光刻是芯片制造最核心的環節,大陸自給率亟待提升一、光刻是芯片制造最核心的環節,大陸自給率亟待提升 1.1 光刻機是制造的核心設備,市場規模全球第二光刻機是制造的核心設備,市場規模全球第二 1.2 一超兩強壟斷市場,卡脖子現象凸顯一超兩強壟斷市場,卡脖子現象凸顯 二、光刻機:多個先進系統組合,核心零部件被海外
46、廠商壟斷二、光刻機:多個先進系統組合,核心零部件被海外廠商壟斷 2.1 光刻機發展歷程:從接觸式光刻機到光刻機發展歷程:從接觸式光刻機到EUV,分辨率不斷降低,分辨率不斷降低 2.2 多個先進系統的組合,技術壁壘極高多個先進系統的組合,技術壁壘極高 三、大陸廠商實現從“三、大陸廠商實現從“0到到1”,本土化帶來廣闊替代空間,本土化帶來廣闊替代空間 四、投資建議及風險提示四、投資建議及風險提示 21 22 光刻機是一種投影曝光系統光刻機是一種投影曝光系統:光刻機由光源、照明系統、物鏡、工件臺等部件組裝而成。在芯片制作中,光刻機會投射光束,穿過印有圖案的光掩膜版及光學鏡片,將線路圖曝光在帶有光感涂
47、層的硅晶圓上。通過蝕刻曝光或未受曝光的部分來形成溝槽,再進行沉積、蝕刻等工藝形成線路。光刻機的三大核心系統:光源系統光刻機的三大核心系統:光源系統、光學鏡頭光學鏡頭、雙工作臺系統雙工作臺系統。光刻機:人類科技之巔光刻機:人類科技之巔 來源:Photolithography technology in electronic fabrication,中泰證券研究所 表:光刻機核心系統介紹表:光刻機核心系統介紹 操作控制單元操作控制單元 掃描晶圓臺掃描晶圓臺 晶圓傳晶圓傳輸系統輸系統 光源光源 投影物鏡系統投影物鏡系統 照明系統照明系統 掩膜臺掩膜臺 減震系統減震系統 激光激光 核心系統核心系統 作
48、用作用 照明系統 提供高均勻性的照明光場并精確控制曝光劑量 投影物鏡系統 將掩膜圖形以一定的縮小比例成像到硅片上,直接影響光刻機的分辨率、套刻精度、良率 工作臺/掩膜臺系統 工作臺負責承載傳輸硅片,掩膜臺用于承載掩膜版 自動對準系統 控制套刻誤差,保證兩次光刻精準對齊 調焦調平測量系統 測量硅片表面相對于投影物鏡的高度和轉角,保證硅片當前場在曝光過程中始終處于投影物鏡的焦深范圍內 掩膜傳輸系統 負責運輸掩膜版,控制掩膜版整個運動流程 硅片傳輸系統 承載硅片整個運動過程,實現硅片以高精度和高效率從片盒傳送至工件臺的功能 框架/減振/環境控制系統 將工作臺與外部環境隔離,保持水平,減少外界振動干擾
49、,并維持穩定的溫度、壓力 整機控制系統 光刻機的“大腦”和“神經”,將各分系統有機地連接起來并使其進行有序工作 圖:光刻機總體結構圖:光刻機總體結構 23 光刻機:人類科技之巔光刻機:人類科技之巔 來源:Nikon,中泰證券研究所 圖:光刻機結構圖:光刻機結構 圖:光刻機核心部件結構圖:光刻機核心部件結構 晶圓載物臺晶圓載物臺 投影物鏡投影物鏡 光掩膜光掩膜 晶圓裝載機晶圓裝載機 來源:zeiss,中泰證券研究所 光刻分辨率是光刻曝光系統最重要的技術指標光刻分辨率是光刻曝光系統最重要的技術指標,由光源波長由光源波長、數值孔徑數值孔徑、光刻工藝因子決定光刻工藝因子決定。根據瑞利準則,分辨率公式為
50、R=k1*/NA,代表光源波長,NA代表物鏡的數值孔徑,k1代表與光刻工藝因子。數值孔徑指透鏡與被檢物體之間介質的折射率(n)和孔徑角(2a)半數的正弦之乘積。公式為:NA=n*sin 。n 為投影物鏡系統像方介質的折射率,為投影物鏡像方半孔徑角??讖浇怯址Q“鏡口角”,是透鏡光軸上的物體點與物鏡前透鏡的有效直徑所形成的角度??讖浇窃酱?,進入透鏡的光通量就越大,它與透鏡的有效直徑成正比,與焦點的距離成反比。瑞利準則指衍射極限系統中的分辨率極限瑞利準則指衍射極限系統中的分辨率極限。理想的成像系統,一個點所成的像是一個完美的點,但實際光學系統中的透鏡具有一定的孔徑大小,由此導致所成的像不是一個點,而
51、是一個艾里斑。對于兩個距離較近的點,所成的光斑也距離比較近。能夠區分兩個光斑的最小距離,就是分辨率。當一個艾里斑的中心與另一個艾里斑的第一極小值重合時,達到極限點,該極限被稱為瑞利準則。24 光刻機分辨率由光源波長、數值孔徑、光刻工藝因子決定光刻機分辨率由光源波長、數值孔徑、光刻工藝因子決定 圖:分辨率極限示意圖圖:分辨率極限示意圖 圖:孔徑角示意圖圖:孔徑角示意圖 來源:高數值孔徑投影光刻物鏡的光學設計,IC芯片制造中的高端光刻機:發展趨勢和技術挑戰,中泰證券研究所 三方面提高光刻機分辨率:增大數值孔徑、縮短波長、減小光刻工藝因子三方面提高光刻機分辨率:增大數值孔徑、縮短波長、減小光刻工藝因
52、子。分辨率指投影光學系統在晶圓上可實現的最小線寬。光刻機分辨率由光源波長、數值孔徑、光刻工藝因子決定。因此可以從以下三方面提高分辨率:1)增大投影光刻物鏡的數值孔徑;2)縮短曝光波長;3)減小光刻工藝因子。1)增大投影光刻物鏡的數值孔徑:一方面可以改進投影式透鏡系統來增大入射角,另一方面可以采用折射率高的介質-浸潤式。非球面的使用能夠在不增加獨立像差數的前提下,增加自變量的個數,有利于改善像質,同時在同等約束條件下,減少了光學元件的數量。非球面的應用使物鏡NA可以增加到0.9,接近物理極限(干式光刻);引入浸沒式技術后,物鏡NA可以增加到 1.1以上(浸沒式光刻);加入反射鏡組成折反式結構理論
53、上物鏡NA可到 1.35(極限值)。趨勢為(干式)球面鏡非球面鏡(浸沒式)非球面鏡折返式。2)縮短曝光波長:由于晶體管越做越小,元件線路越來越密集,光刻機需要達到更高的分辨率,因此必須要尋找波長越來越短的光源。表:數值孔徑變化趨勢表:數值孔徑變化趨勢 25 光刻機分辨率由光源波長、數值孔徑、光刻工藝因子決定光刻機分辨率由光源波長、數值孔徑、光刻工藝因子決定 表:提高數值孔徑的方法表:提高數值孔徑的方法 來源:集成電路芯片制造中的高端光刻機發展趨勢和技術挑戰,中泰證券研究所 3)減小光刻工藝因子:計算光刻OPC在掩膜上增加輔助結構來消除圖像失真,實現分辨率的提高;離軸照明OAI 通過采用特殊光源
54、讓正入射方式光變成斜入射方式,目的是在同等數值孔徑內容納更多的高階光,從而曝光更小尺寸結構,提高分辨率;相移掩膜PSM 當兩個光源進行成像時會在重合部分產生干涉效應,使光強增大,導致兩個光源不能有效地區分開,如果通過改變掩膜結構在其中一個光源處采用180度相移,這兩處光源產生的光會產生相位相消,光強相消,兩個光源可以區分開,提高分辨率。工藝因子已突破理論極限工藝因子已突破理論極限:理論上對于單次曝光 k1 的最小極限約為 0.25,通過組合使用OPC、多重圖形等分辨率增強技術,光刻工藝因子已突破其理論極限0.25。圖:光刻圖:光刻OPCOPC 26 光刻機分辨率由光源波長、數值孔徑、光刻工藝因
55、子決定光刻機分辨率由光源波長、數值孔徑、光刻工藝因子決定 圖:離軸照明圖:離軸照明OAIOAI 圖:相移掩膜圖:相移掩膜PSMPSM 目目 錄錄 一、光刻是芯片制造最核心的環節,大陸自給率亟待提升一、光刻是芯片制造最核心的環節,大陸自給率亟待提升 1.1 光刻機是制造的核心設備,市場規模全球第二光刻機是制造的核心設備,市場規模全球第二 1.2 一超兩強壟斷市場,卡脖子現象凸顯一超兩強壟斷市場,卡脖子現象凸顯 二、光刻機:多個先進系統組合,核心零部件被海外廠商壟斷二、光刻機:多個先進系統組合,核心零部件被海外廠商壟斷 2.1 光刻機發展歷程:從接觸式光刻機到光刻機發展歷程:從接觸式光刻機到EUV
56、,分辨率不斷降低,分辨率不斷降低 2.2 多個先進系統的組合,技術壁壘極高多個先進系統的組合,技術壁壘極高 三、大陸廠商實現從“三、大陸廠商實現從“0到到1”,本土化帶來廣闊替代空間,本土化帶來廣闊替代空間 四、投資建議及風險提示四、投資建議及風險提示 27 來源:頭豹研究院,ASML,中泰證券研究所 光源是光刻機核心系統之一光源是光刻機核心系統之一,光刻機的工藝能力首先取決于其光源的波長光刻機的工藝能力首先取決于其光源的波長。光源分為汞燈產生的紫外光光源分為汞燈產生的紫外光、深紫外光深紫外光、極紫外光極紫外光,目前光源波長已發展到目前光源波長已發展到1313.5 5nmnm:為了追求更小的芯
57、片制程,需要光源波長不斷變短,最早光刻機的光源采用高壓汞燈產生的紫外光源,高壓汞燈可產生436nm(g-line)、365nm(i-line)波長紫外光隨后,業界選用了準分子激光器產生的深紫外光源,深紫外光激光(DUV laser)可達KrF(248nm)、ArF(193nm)、F2(157nm)制程突破7nm以下時,需要極紫外光激光(EUV laser),可達13.5nm極短波長的光源,該光源無法從激光器中產生,須由高能激光轟擊金屬錫激發的等離子體而產生。圖:光源波長發展歷程圖:光源波長發展歷程 28 光源類型光源類型 波長波長 制程節點制程節點 對應光刻機對應光刻機 代數代數 EUV光源(
58、Extreme Ultraviolet Lithography)13.5nm 7-3nm 極紫外式光刻機 第五代 DUV光源(Deep Ultraviolet Lithography)ArF+immersion 193nm(等效134nm)45-7nm/130-65nm 浸入步進式/步進投影式光刻機 第四代 F2 157nm ArF 193nm KrF 248nm 180.13nm 掃描投影光刻機 第三代 汞燈光源 i-line 365nm 800-250nm 接觸式/接近式光刻機 第二代 h-line 405nm 第一代 g-line 436nm 2.2.12.2.1光源系統:能量的來源,光
59、刻光源系統:能量的來源,光刻工藝的首要工藝的首要決定項決定項 圖:光譜圖圖:光譜圖 可見光譜 可見光 29 光刻光源系統光刻光源系統不斷發展不斷發展,從從高壓汞燈光刻光源到深紫外光光源再到極紫外光高壓汞燈光刻光源到深紫外光光源再到極紫外光光源光源。1 1)高壓汞燈:高壓汞燈:一種氣體放電電光源,汞蒸氣被能量激發,汞原子最外層電子受到激發從而躍遷,落回后放出光子。放電管內充有啟動用的氬氣和放電用的汞。2 2)深紫外光深紫外光光光源:源:一般采用準分子激光器作為光源。準分子激光光源工作介質一般為稀有氣體及鹵素氣體,并充入惰性氣體作為緩沖劑,工作氣體受到放電激勵,在激發態形成短暫存在的“準分子”,準
60、分子受激輻射躍遷,形成紫外激光輸出。準分子激光器常在輸出能量、波長、線寬、穩定性等方面遠超越前期的汞燈光源。圖:高壓汞燈光刻光源系統結構圖圖:高壓汞燈光刻光源系統結構圖 來源:紫外 LED 光刻光源系統的研究與設計,Cymer準分子激光器的工作原理及應用,中泰證券研究所 圖:紫外激光器照明系統圖:紫外激光器照明系統結構圖結構圖 圖:圖:CymerCymer準分子激光器的工作原理準分子激光器的工作原理 2.2.12.2.1光源系統:能量的來源,光刻光源系統:能量的來源,光刻工藝的首要工藝的首要決定項決定項 30 3 3)極紫外光極紫外光光光源源:極紫外光光源由光的產生、光的收集、光譜的純化與均勻
61、化三大單元組成。工作元器件包括大功率CO2激光器、多層涂層鏡、負載、光收集器、掩膜版等。極紫外光光源原理:高功率激光擊打金屬錫極紫外光光源原理:高功率激光擊打金屬錫,產生等離子體產生等離子體,輻射出極紫外光輻射出極紫外光。將高功率的二氧化碳激光打在直徑為30微米的錫液滴上,通過高功率激光蒸發錫滴,把融化的錫從高處以每秒5萬次的頻率滴下,每一滴錫20微米的大小,瞄準每一滴錫滴,以CO2激光器產生的高能激光擊中并產生等離子體,從而發出13.5nm波長的EUV光。實際上激光會發出兩個脈沖預脈沖和主脈沖。預脈沖首先擊中錫珠,將其變成正確的形狀,然后主脈沖將壓扁的錫珠轉化為等離子體,發射出EUV光。圖:
62、圖:EUVEUV光源系統結構圖光源系統結構圖 來源:ASML,中泰證券研究所 圖:圖:EUVEUV光產生的過程光產生的過程 圖:圖:EUVEUV光源雙脈沖方案光源雙脈沖方案 2.2.12.2.1光源系統:能量的來源,光刻光源系統:能量的來源,光刻工藝的首要工藝的首要決定項決定項 31 EUVEUV 光刻機技術難點主要是光源功率高:光刻機技術難點主要是光源功率高:為滿足極紫外光刻需求,光源應具有以下性能:(1)光源功率達250W,且功率波動??;(2)較窄的激光線寬,具有頻率噪聲和很小的相對強度噪聲,減少光學損耗;(3)較高的系統效率。光源轉化率最終要達到250w以上的功率,因此激光器的平均功率要
63、達到20kW。為了讓激光束以極大的功率穩定傳輸,系統非常復雜性。EUV 激光系統由大約 45 萬個零件組成,重約 17 噸。從種子光發生器到錫珠有 500 多米的光路,對所有零部件的要求非??量?。GigaphotonGigaphoton(EUVEUV光源供應商之一光源供應商之一)激光器功率達激光器功率達2727kWkW:Gigaphoton 成立以來一直為 ASML、Nikon和Canon提供激光光源。共設計三款EUV光源,分別為Proto#1、Proto#12、Pilot#1,其中Pilot#1為商業化應用的產品,激光器功率為27kw,輸出功率達到250W。目前EUV光源只有兩家公司能夠生產
64、:一家是美國Cymer,另外一家是日本Gigaphoton。表:表:GigaphotonGigaphoton 公司公司 EUV EUV 光源產品參數光源產品參數 來源:ASML,激光等離子體13.5nm極紫外光刻光源進展,中泰證券研究所 Proto#1 Proto#2 Pilot#1 目標性能 euv功率 25W 100W 250W 技術參數 脈沖速率 100kHz 100kHz 100kHz 輸出角度 水平 向上62 向上62 CO2 激光器功率 5kW 20kW 27kW 反射膜收集鏡壽命 實驗平臺 10天 超過3個月 2.2.12.2.1光源系統:能量的來源,光刻光源系統:能量的來源,光
65、刻工藝的首要工藝的首要決定項決定項 32 曝光系統:曝光系統:曝光系統包含照明系統照明系統(光源加工)和投影物鏡投影物鏡(高分辨成像),是光刻機中最昂貴最復雜的部件之一。物鏡的性能決定了光刻機的線寬、套刻精度,是光刻機的核心部件,其技術水平很大程度上代表了光刻機的技術水平。2.2.2 2.2.2 曝光系統:照明系統曝光系統:照明系統+投影物鏡投影物鏡 圖:光刻機照明與投影物鏡系統的工作流程圖圖:光刻機照明與投影物鏡系統的工作流程圖 來源:ASML,中泰證券研究所 33 照明系統為投影物鏡成像提供特定光線角譜和強度分布的照明光場照明系統為投影物鏡成像提供特定光線角譜和強度分布的照明光場。照明系統
66、位于光源與投影物鏡之間,是復雜的非成像光學系統。照明系統的主要功能是為投影物鏡成像提供特定光線角譜和強度分布的照明光場。照明系統包括光束處理、光瞳整形、能量探測、光場勻化、中繼成像和偏振照明等單元。來源:茂萊光學官網,中泰證券研究所 投影物鏡系統投影物鏡系統 圖:光學系統原理圖:光學系統原理 2.2.22.2.2 照明系統:光源高質量加工的關鍵照明系統:光源高質量加工的關鍵 34 照明系統組成部件:照明系統組成部件:1 1)光束處理單元:光束處理單元:與光源相連,主要實現光束擴束、光束傳輸、光束穩定和透過率控制等功能,其中光束穩定由光束監測和光束轉向兩部分組成。2 2)光瞳整形單元:光瞳整形單
67、元:光刻機需要針對不同的掩膜結構采用不同的照明模式以增強光刻分辨力,提高成像對比度。光瞳整形單元通過光學元件調制激光束的強度或相位分布,實現多種照明模式。3 3)光場勻化單元:光場勻化單元:用于生成特定強度分布的照明光場。引入透射式復眼微透鏡陣列,每個微透鏡將擴束準直后的光源分割成多個子光源,每個子光源經過科勒照明鏡組后在掩膜面疊加,從而實現高均勻性的照明光場。4 4)中繼鏡:中繼鏡:在掩膜面上形成嚴格的光束強度均勻的照明區域并將中間的平面精確成像在掩膜版平面。來源:華中科技大學光刻機結構及工作原理詳解,中泰證券研究所 2.2.22.2.2 照明系統:光源高質量加工的關鍵照明系統:光源高質量加
68、工的關鍵 遮光模組遮光模組 中繼鏡中繼鏡 濾光器濾光器 掃描狹縫掃描狹縫 物鏡模組物鏡模組 積分棒積分棒 能量傳感器能量傳感器 光束轉向裝置光束轉向裝置 光源光源 光瞳整形透鏡光瞳整形透鏡 變焦變焦/軸棱鏡軸棱鏡 擴束器擴束器 轉向點轉向點 放電放電室 線窄性光學器件線窄性光學器件 耦合器件耦合器件 圖:照明系統結構圖:照明系統結構 來源:ASML,中泰證券研究所整理 照明系統技術難點:為了使光能在晶圓上完美成像照明系統技術難點:為了使光能在晶圓上完美成像,需要進行高質量加工需要進行高質量加工。1)提升光均勻度:光刻要以來回掃描的方式成像,這束條形光的任何位置能量都需一致。需要通過鏡子進行多次
69、反射,提升光的均勻度。2)控制掃描條形光的開合:晶圓上曝光單元的所有位置需要接受等量的光,因此掃描的條形光必須是能開合的。3)調節光形狀,需要用到光瞳整形技術:不同的照明方式,比如圓形、環形、二級、四級光源下,光刻機分辨率不同。例如:光穿過掩膜版上的圖案時會產生衍射效應,線寬越小,衍射角度越大,1階衍射光超過投影物鏡外就無法成像。如果將點光的形狀改成環狀光或其他形狀,1階衍射光就可以被收進物鏡且圖像對比度清晰。35 2.2.22.2.2 照明系統:光源高質量加工的關鍵照明系統:光源高質量加工的關鍵 圖:衍射光無法成像圖:衍射光無法成像 圖:環形光成像圖:環形光成像 36 光瞳整形單元是照明系統
70、中技術難度較大的部件光瞳整形單元是照明系統中技術難度較大的部件,主要技術有:基于衍射光學元件主要技術有:基于衍射光學元件(DOEDOE)的光瞳整形技術和基于微反的光瞳整形技術和基于微反射鏡陣列射鏡陣列(MMAMMA)的自由光瞳整形技術的自由光瞳整形技術。衍射光學元件(DOE)的光瞳整形:光瞳整形單元主要包括衍射光學元件、變焦距傅里葉變換鏡組、錐形鏡組和光瞳補償器。衍射光學元件用于實現照明光瞳的角向調制,傅里葉變換鏡組、錐形鏡組用于照明光瞳的徑向調制。缺點:1個衍射光學元件只能實現1種照明模式。微反射鏡陣列(MMA)的自由光瞳整形:主要由能量均衡組件、光束分割組件、微反射鏡陣列和傅里葉變換鏡組組
71、成。核心器件是微反射鏡陣列,由數千個二維轉角連續可調的微反射鏡組成,通過調整微反射鏡陣列的角位置分布可實現任意照明模式,ASML先進機型中較多使用自由光瞳整形技術。圖:基于衍射光學元件的光瞳整形技術圖:基于衍射光學元件的光瞳整形技術 來源:光刻機照明系統光瞳特性參數的評估算法,深紫外光刻機照明系統關鍵檢測技術研究,中泰證券研究所 圖:基于微反射鏡陣列的光瞳整形技術圖:基于微反射鏡陣列的光瞳整形技術 2.2.22.2.2 照明系統:光源高質量加工的關鍵照明系統:光源高質量加工的關鍵 來源:ASML,193nm投影光刻物鏡光機系統關鍵技術研究進展,中泰證券研究所 投影物鏡是精準成像的關鍵:投影物鏡
72、是精準成像的關鍵:投影物鏡要將照明模組發射出的1階衍射光收進物鏡內,再把掩膜版上的電路圖案縮小,聚焦成像在晶圓上,并且還要補償光學誤差。投影物鏡主要由多枚鏡片組成。隨著分辨率要求不斷提高,光刻機投影物鏡結構越來越復雜,對光學材料、光學加工、光學鍍膜等要求達到目前工業水平的極限,是光刻機中技術壁壘最高的零部件之一。投影物鏡的結構型分為折射式和折反式:投影物鏡的結構型分為折射式和折反式:1)折射式:光學元件旋轉對稱并沿著同一個光軸對準,視場位于光軸中央,結構簡單易于裝調;2)折反式(NA1.1):反射鏡有著正光焦度和負值場曲,不依賴傳統“腰肚”結構,使用較少數量和較小口徑的光學元件滿足對場曲的校正
73、在一定物鏡尺寸限制內實現更大的NA。物鏡特點是直徑大物鏡特點是直徑大、鏡片多鏡片多、鏡片可動:鏡片可動:1)物鏡直徑大:ASML DUV光刻機中的先進機種的投影物鏡直徑大于40厘米,增加投影物鏡的直徑可以提高數值孔徑,進而提高光刻機分辨率。2)多片透鏡組合:ASML DUV光刻機投影物鏡的高度超過1米,鏡片數量超過15片。和相機一樣,單個透鏡的光學特性會導致圖像失真,需要組合透鏡來修正圖像形變。3)可動鏡片:用運動著的鏡片來消除鏡頭組裝及光刻生產等過程中所產生的各種像差??蓜隅R片覆蓋了垂直修正、傾斜修正和多向修正。37 2.2.3 2.2.3 投影物鏡系統投影物鏡系統:精準成像,對精準成像,對
74、線寬線寬起重要作用起重要作用 圖:典型折射式投影物鏡示意圖圖:典型折射式投影物鏡示意圖 圖:折反式投影物鏡示意圖圖:折反式投影物鏡示意圖 來源:基于成像光強的光刻機像差原位檢測理論與方法研究,中泰證券研究所整理 投影物鏡技術難點:像差調節要求高、工藝精密。投影物鏡技術難點:像差調節要求高、工藝精密。1)像差調節要求高:波像差是實際波面與理想波面之間發生的偏離:波像差是實際波面與理想波面之間發生的偏離:光在介質中傳播的時候,從物點發出的同心光束相當于球面波,球面波經過光學系統的時候,其曲率發生改變。如果是理想的光學系統,它會形成另外一個球面波。但在實際的光學系統,會受到投影物鏡自身材料、特性、厚
75、度、粗糙度、環境等因素的影響,經過投影物鏡的出射,波面會發生變形。實際波面與理想波面之間發生的偏離就是波像差。波像差直接影響光刻機成像質量、光刻分辨率,因此光刻機的投影物鏡系統需要對像差像差進行校正。38 圖:光刻機成像過程圖:光刻機成像過程 圖:像差示意圖圖:像差示意圖 2.2.3 2.2.3 投影物鏡系統投影物鏡系統:精準成像,對精準成像,對線寬線寬起重要作用起重要作用 來源:高數值孔徑投影光刻物鏡的光學設計,中泰證券研究所 1)像差調節要求高:為了更好的調節像差為了更好的調節像差,物鏡發展趨勢為:從物鏡發展趨勢為:從“雙腰雙腰”到到“單腰單腰”、引入非球面鏡片與反射式鏡片引入非球面鏡片與
76、反射式鏡片?!半p腰雙腰”到到“單腰單腰”:為了實現場曲的矯正,投影物鏡采用的都是“腰肚”式結構。最初系統的結構依次為正組,負組,正組,負組,正組,形成“腰肚”,隨著非球面數量的增加,雙腰結構結構逐漸從“1.5 腰結構”變為“單腰結構”,光學元件數減少。引入非球面鏡片:引入非球面鏡片:NA大于0.75時,需引入非球面鏡片。原因:一方面,如果采用全球面結構形式,光學元件的孔徑尺寸及體積隨著 NA 的增加急劇增加;另一方面,物鏡投影物鏡 NA 增加,分辨率增強,成像質量要求也進一步提高,采用全球面光學系統,設計復雜度隨之增加。引入反射式鏡片:引入反射式鏡片:NA 大于1.1時,需采用折反式投影光刻物
77、鏡。加入凹面反射元件。凹面有正的光焦度,對場曲的貢獻是負值,凹面鏡能較好的矯正場曲。39 DUV 圖:尼康公司光刻物鏡結構變化(圖:尼康公司光刻物鏡結構變化(19811981-20042004)2.2.3 2.2.3 投影物鏡系統投影物鏡系統:精準成像,對精準成像,對線寬線寬起重要作用起重要作用 來源:ASML,ZESSI,中泰證券研究所整理 1)像差調節要求高:ASMLASML DUVDUV高端投影物鏡的像差高端投影物鏡的像差 2 2nmnm。高端單反鏡頭像差最多達到200+nm,而ASML DUV高端投影物鏡的像差 2nm,因此光刻機鏡片的平整度要求非常高,同時物鏡內還需要可動鏡片,垂直、
78、傾斜和多向修正鏡頭組裝及生產過程中產生的像差,還要盡量消除光損失產生的熱量。2)工藝精密:光刻機所要求的鏡面光潔度非常高,需要采用精度最高的打磨機和最細的鏡頭磨料,此外還需要頂級的技術工人。在光學鏡頭的生產工序中,僅CCOS的拋光就有小磨頭拋光、應力盤拋光、磁流變拋光、離子束拋光等超精密拋光高難度工序。蔡司生產的最新一代EUV光刻機反射鏡最大直徑1.2米,面形精度峰谷值0.12納米,表面粗糙度20皮米(0.02納米),達到了原子級別的平坦。40 圖:投影物鏡與高端單反鏡頭像素差圖:投影物鏡與高端單反鏡頭像素差 圖:蔡司物鏡參數圖:蔡司物鏡參數 2.2.3 2.2.3 投影物鏡系統投影物鏡系統:
79、精準成像,對精準成像,對線寬線寬起重要作用起重要作用 來源:ASML,ArF 浸沒光刻雙工件臺運動模型研究,中泰證券研究所 光刻機雙工作臺由兩個工件臺組成光刻機雙工作臺由兩個工件臺組成,兩個工件臺同時獨立工作兩個工件臺同時獨立工作,負責完成步進運動負責完成步進運動、曝光掃描曝光掃描、對準掃描對準掃描、上下硅片等功能上下硅片等功能。雙工作臺工作流程:雙工作臺工作流程:工作臺分為1號和2號,1)2號工件臺處于物鏡下方,對晶圓進行調平調焦、曝光、刻片等操作,與此同時1號臺進行待刻晶圓的上片下片;2)當2號臺刻片完成,工件臺系統進行換臺,1號工件臺換到物鏡下方進行刻片,2號臺進行上片下片,如此循環往復
80、實現光刻機的高效生產。特點:雙工作臺較原先的單工作臺效率提高了35%,精度提高10%,有效提高了光刻機的產能。圖:雙工作臺圖:雙工作臺 41 2.2.42.2.4 雙工作臺系統:精確對準雙工作臺系統:精確對準+光刻機產能的關鍵光刻機產能的關鍵 圖:雙工作臺系統結構及換臺過程圖:雙工作臺系統結構及換臺過程 測量工件臺測量工件臺 曝光工件臺曝光工件臺 來源:ASML,ArF 浸沒光刻雙工件臺運動模型研究,中泰證券研究所 雙工作臺技術難點:需要速度快雙工作臺技術難點:需要速度快、對準精度高對準精度高、運動穩定運動穩定。1 1)速度快:速度快:目前最先進的DUV光刻機,晶圓的光刻生產速度為300片/h
81、,1個影像單元的曝光成像約0.1秒,實現這個成像速度,晶圓平臺需以高達7g的加速度高速移動。7g的加速度意味著從0加速到100km/h只要約0.4秒,F1賽車需要2.5秒。2 2)精確對準:精確對準:面臨的難點有巨大偏移芯片制造需一層層向上疊加,每次重疊的誤差稱為套刻精度,要求是1-2nm。晶圓從傳送模組到晶圓平臺上,會產生機械誤差,一般是數千納米的偏移。高低差投影物鏡太大,對焦點上下可接受的影像范圍小于100nm。而晶圓表面高低不平,累加晶圓平臺的高低差,晶圓表面不同位置的光阻高度可相差500-1000nm。因此每次曝光前,須針對每片晶圓做精密量測,截取到晶圓每一個區塊納米等級的微小誤差,在
82、曝光階段實時校正。3 3)運動穩定運動穩定:穩定運動利用balance mass吸收平衡晶圓平臺所施加于機座的反作用力,使整座機臺完全靜止。穩定定位晶圓要在完成量測后,要在極短的曝光時間內完美定位,ASML光刻機可達到精度為0.06納米的傳感器確認精準定位。穩定運作晶圓平臺為減少磨損采用懸浮的移動方式,達成極高速的運動和持久穩定的運作。42 圖:圖:TWINSCANTWINSCAN雙工件臺結構示意圖雙工件臺結構示意圖 圖:晶圓平臺圖:晶圓平臺 2.2.42.2.4 雙工作臺系統:精確對準雙工作臺系統:精確對準+光刻機產能的關鍵光刻機產能的關鍵 來源:超精密光柵尺位移測量系統,芯制造,中泰證券研
83、究所 為了確保工件臺穩定定位為了確保工件臺穩定定位、精確對準精確對準。需要用到光柵尺需要用到光柵尺、TISTIS傳感器等傳感器等。光柵尺用于工作臺的定位光柵尺用于工作臺的定位。位移測量傳感器有激光干涉儀和光柵尺,由于激光干涉儀對環境敏感性較高,目前高端機型較多使用光柵尺。原理:激光光源輸出頻差穩定的線偏振方向相互垂直的雙頻激光,一束作為參考差頻信號由光電探測電路接收,另一束傳輸至光柵尺,光柵尺基于光柵多普勒效應和光學干涉原理實現位移測量。TISTIS系統用于掩膜工作臺與晶圓工作臺之間的對準系統用于掩膜工作臺與晶圓工作臺之間的對準。TIS系統包括設置在掩膜工作臺上的TIS標識(透光的密集線條);
84、晶圓工作臺上的TIS傳感器。TIS標識通過光學成像透鏡系統,投射在晶圓工作臺。晶圓工作臺上的TIS傳感器測出TIS標識像強度的空間分布,從而計算出掩膜工作臺上TIS標識相對于晶圓工作臺的位置。TIS系統還可以進一步確定投影透鏡系統的像差和成像系統的畸變。43 圖:圖:TISTIS對準系統示意圖對準系統示意圖 圖:光柵尺測量系統示意圖圖:光柵尺測量系統示意圖 2.2.42.2.4 雙工作臺系統:精確對準雙工作臺系統:精確對準+光刻機產能的關鍵光刻機產能的關鍵 目目 錄錄 一、光刻是芯片制造最核心的環節,大陸自給率亟待提升一、光刻是芯片制造最核心的環節,大陸自給率亟待提升 1.1 光刻機是芯片制造
85、的核心設備,市場規模全球第二光刻機是芯片制造的核心設備,市場規模全球第二 1.2 一超兩強壟斷市場,卡脖子現象凸顯一超兩強壟斷市場,卡脖子現象凸顯 二、光刻機:多個先進系統的組合,核心零部件被海外廠商壟斷二、光刻機:多個先進系統的組合,核心零部件被海外廠商壟斷 2.1 光刻機發展歷程:從接觸式光刻機到光刻機發展歷程:從接觸式光刻機到EUV,分辨率不斷降低,分辨率不斷降低 2.2 多個先進系統的組合,技術壁壘極高多個先進系統的組合,技術壁壘極高 三、大陸廠商實現從“三、大陸廠商實現從“0到到1”,本土化帶來廣闊替代空間,本土化帶來廣闊替代空間 四、投資建議及風險提示四、投資建議及風險提示 44
86、大陸光刻設備需求持續增高大陸光刻設備需求持續增高 45 來源:ASML,中泰證券研究所 20172017-20222022年年ASMLASML在大陸地區營收的年均復合增長率為在大陸地區營收的年均復合增長率為26%26%。ASML2017年在大陸地區的營收為9億歐元,2022年為29億歐元,2017-2022年年均復合增長率為26%,高于ASML總營收的年均復合增長率19%。23年大陸晶圓代工廠中芯國際、華虹集團等陸續恢復擴產,另外有數十條新興fab廠處于建設期,未來大陸地區對光刻機的需求將持續增高。圖:圖:20172017-20222022年年ASMLASML分地區營收情況(百萬歐元)分地區營
87、收情況(百萬歐元)919.5 1842.8 1377.7 2324.4 2740.8 2916 8043.2 9101.2 10442.3 11654.1 15870.2 18257.4 0500010000150002000025000201720182019202020212022大陸 非大陸 大陸晶圓代工廠陸續恢復擴產大陸晶圓代工廠陸續恢復擴產 46 來源:公司公告,芯思想研究院,中泰證券研究所 20222022年前十大專屬晶圓代工公司中,中國大陸有三家。年前十大專屬晶圓代工公司中,中國大陸有三家。分別為中芯國際、華虹集團、晶合集成,排名第四、第五和第九。國內晶圓廠陸續恢復擴產,有望帶動
88、半導體設備的需求。國內晶圓廠陸續恢復擴產,有望帶動半導體設備的需求。中芯國際中芯國際:2023年資本開支預計與22年持平,約63.5億美元。華虹集團華虹集團:2023年資本開支預計5億美元以上,將適時啟動新廠建設。2017 2018 2019 2020 2021 2022 2023E 中芯國際 23 18 20 57 45 63.5 63.5 中芯國際YoY-21%11%180%-21%41%0%華虹集團 1 2 9 11 9 10 5 華虹YoY 66%301%18%-14%6%-50%表:中芯國際、華虹集團陸續恢復擴產表:中芯國際、華虹集團陸續恢復擴產(億美元億美元)63.14%7.77%
89、6.66%6.01%3.58%2.12%1.52%1.40%1.29%1.14%5.44%臺積電 聯電 格芯 中芯國際 華虹集團 力積電 世界先進 拖塔 晶合集成 東部高科 其他 圖:圖:20222022年全球晶圓代工廠市占率年全球晶圓代工廠市占率 大陸晶圓廠持續新增大陸晶圓廠持續新增 47 來源:全球半導體觀察整理,新材料在線等,中泰證券研究所 20232023年國內有近年國內有近1010家新興家新興FabFab產線處于建設中:產線處于建設中:12寸新Fab占主導地位。主要包括紫光集團在成都的DRAM項目,大灣區的粵芯、華潤微、鵬芯微、鵬新旭、增芯科技,以及青島芯恩、合肥賽微電子。8寸主要為
90、青島芯恩8寸廠、中芯集成(前“中芯紹興”)在擴建,此外中芯集成在擴建6寸化合物產線。表:表:20232023年在建的國內新興年在建的國內新興FabFab廠廠 狀態狀態 廠商廠商 地點地點 尺寸(英寸)尺寸(英寸)規劃產能規劃產能 (萬片(萬片/月)月)在建 紫光集團 成都 12 30 在建 粵芯三期 廣州 12 4 在建 芯恩 青島 12 4 在建 芯恩 青島 8 8 在建 燕東微 北京 12 4 在建 華潤微 深圳 12 4 在建 鵬芯微 深圳 12 1 在建 鵬新旭 深圳 12/在建 增芯科技 廣州 12 2 在建 賽微電子 合肥 12 2 在建 中芯集成二期 紹興 8 12 在建 中芯集
91、成二期 紹興 6 10 來源:上海微電子官網,中泰證券研究所 上海微電子是大陸光刻機進展最快的廠商。上海微電子是大陸光刻機進展最快的廠商。上海微電子裝備(集團)股份有限公司主要致力于半導體裝備、泛半導體裝備、高端智能裝備的開發、設計、制造、銷售及技術服務。公司設備廣泛應用于集成電路前道、先進封裝、FPD面板、MEMS、LED、Power Devices等制造領域。上海微電子的光刻機可滿足上海微電子的光刻機可滿足ICIC前道制造前道制造90nm90nm、110nm110nm、280nm280nm關鍵層。關鍵層。目前上海微電子正在攻堅的28nm的DUV光刻機,未來中國光刻機有望從90nm突破至28
92、nm。表:上海微電子產品布局和進度表:上海微電子產品布局和進度 48 大陸廠商實現從“大陸廠商實現從“0 0到到1”1”的突破的突破 應用領域應用領域 系列系列 型號型號 分辨率分辨率 曝光光源曝光光源 硅片尺寸硅片尺寸 IC前道制造前道制造 600系列光刻機 SSA600/20 90nm ArF excimer laser 200mm/300mm SSC600/10 110nnm KrF excimer laser 200mm/300mm SSB600/10 280nm i-line mercury lamp 200mm/300mm IC后道先進封裝后道先進封裝 500系列光刻機 SSB50
93、0/40 2m ghi-line/gh line/i-line mercury lamp 200mm/300mm SSB500/50 1m ghi-line/gh line/i-line mercury lamp 200mm/300mm LED、MEMS、Power Devices制造制造 300系列光刻機 SSB300 SSB320 SSB380 TFT曝光曝光 200系列光刻機 SSB225/10 SSB225/20 SSB245/10 SSB245/20 SSB260/10T SSB260/20T 來源:中國科技信息網,WIND等,中泰證券研究所整理 49 大陸廠商實現從“大陸廠商實現從
94、“0 0到到1”1”的突破的突破 表:大陸光刻機零部件廠商進度表:大陸光刻機零部件廠商進度 光刻機組件及配光刻機組件及配套設施套設施 公司名稱公司名稱 公司代碼公司代碼 目前進展目前進展 物鏡系統 國望光學/研發光刻機曝光光學系統 賽微電子 300456.SZ 給ASML提供透鏡系統MEMS部件和晶圓制造服務 光源 科益虹源/研發準分子激光器 福晶科技 002222.SZ 研發KBBF晶體(用于激光設備的上游關鍵零部件),KBBF晶體是目前可直接倍頻產生EUV激光的非線性光學晶體 光學元件 茂萊光學 688502.SH 光刻機光學透視鏡提供商,目前生產的半導體光學透鏡被應用在光刻機光學系統中
95、騰景科技 688195.SH 公司多波段合分束器已完成產品開發,進入半導體設備廠供應鏈 炬光科技 688167.SH 為上海微電子等企業提供了半導體激光退火系統以及核心元器件 晶方科技 603005.SH 子公司Anteryon為全球領先的光學設計和晶圓級光學鏡頭制造商,是ASML光學平臺和晶圓對位傳感器的供應商 蘇大維格 300331.SZ 向上海微電子提供了光刻機用的定位光柵產品 浸沒式系統 啟爾機電/浸沒系統供應商 雙工作臺 華卓精科 A20224.SH 雙工件臺供應商 空氣凈化設備 美??萍?688376.SH 為上海微提供了光刻設備所需的潔凈過濾產品 結構零部件 富創精密 68840
96、9.SH 半導體零部件制造的工藝技術達到主流國際客戶標準,是ASML的戰略供應商 新萊應材 300260.SZ 真空產品以及氣體產品均可以應用到光刻機的設備中 茂萊光學:精密光學產業深耕者茂萊光學:精密光學產業深耕者 50 來源:茂萊光學招股書,中泰證券研究所 茂萊光學深耕精密光學產業,產品不斷升級,下游應用豐富。茂萊光學深耕精密光學產業,產品不斷升級,下游應用豐富。茂萊光學1999年成立,公司主要產品覆蓋深紫外 DUV、可見光到遠紅外全譜段,主要包括精密光學器件、光學鏡頭和光學系統三大類,下游覆蓋生命科學、半導體、航空航天、AR/VR、生物識別等領域。公司在半導體領域的高精度光學顯微成像鏡頭
97、應用于半導體檢測,其余產品通常應用于光刻機。表:公司產品結構表:公司產品結構 透鏡平片顯微物鏡系列機器視覺鏡頭醫療檢測光學系統模組生物識別光學模組半導體DUV光學透鏡窄帶多光譜濾光片顯微物鏡系列3D檢測鏡頭基因測序光學引擎生物識別模組熒光濾光片工業掃描物鏡PCR基因擴增光學模組AR/VR光學測試模組飛機抬頭顯示系統光學器件AR/VR光學測試模組相位延遲窗口成像鏡頭監測鏡頭眼科掃頻光學模組航天星敏/監測相機鏡頭紫外鏡頭半導體檢測光學模組AR/VR光學檢測設備高精度干涉組合鏡光線折返異性棱鏡無人駕駛激光雷達鏡頭X射線鏡頭半導體檢測光學模組AR/VR光學檢測設備公司主要產品精密光學器件精密光學鏡頭精
98、密光學系統棱鏡茂萊光學:精密光學產業深耕者茂萊光學:精密光學產業深耕者 51 來源:茂萊光學招股書,中泰證券研究所 表:公司產品及下游應用表:公司產品及下游應用 產品類型產品類型 細分類別細分類別 22H122H1營收營收占比占比 生命科學生命科學 半導體半導體 航空航空航天航天 ARVARVR R檢檢測測 無人無人駕駛駕駛 生物生物識別識別 口腔掃口腔掃描描 基因測基因測序序 其他其他 檢測檢測 光刻光刻機機 光學器件光學器件 平片平片 27%透鏡透鏡 16%棱鏡棱鏡 7%光學鏡頭光學鏡頭 機器視覺鏡頭機器視覺鏡頭 10%顯微物鏡顯微物鏡 9%成像鏡頭成像鏡頭 1%監測鏡頭監測鏡頭 1%光學
99、系統光學系統 醫療檢測光學系統醫療檢測光學系統模組模組 8%半導體檢測光學模半導體檢測光學模組組 6%AR/VRAR/VR光學測試模光學測試模組組 3%生物識別光學模組生物識別光學模組 4%AR/VRAR/VR光學檢測設光學檢測設備備 6%合計合計 98%21.3%6.8%8.1%25.8%2.3%4.2%10.2%2.6%8.3%茂萊光學:光刻機營收占比約茂萊光學:光刻機營收占比約10%10%52 來源:茂萊光學招股書,中泰證券研究所 光刻機相關業務營收占比約光刻機相關業務營收占比約10%10%。半導體領域分為檢測和光刻機,2019-2022H1半導體檢測在半導體領域的營收占比分別為82%/
100、90%/91%/92%,是半導體主要收入來源,光刻機占比較小,穩定在10%附近。圖:公司主營業務收入分產品情況圖:公司主營業務收入分產品情況 0%10%20%30%40%50%60%70%80%90%100%201720182019202020212022H1光學器件 光學鏡頭 光學系統 服務 圖:半導體領域下游營收占比圖:半導體領域下游營收占比 0%10%20%30%40%50%60%70%80%90%100%2019202020212022H1半導體檢測 光刻機 來源:華卓精科招股書,中泰證券研究所 華卓精科深耕納米精度運動及測控系統等半導體設備產業華卓精科深耕納米精度運動及測控系統等半導
101、體設備產業。華卓精科2012年5月創立于北京,深耕納米精度運動及測控系統等半導體設備產業,公司業務涵蓋納米精度運動及測控系統、超精密測控裝備整機及大部分超精密測控裝備部件產品等領域,并均處于國內前沿。公司是國內首家自主研發并實現光刻機雙工件臺商業化生產的企業公司是國內首家自主研發并實現光刻機雙工件臺商業化生產的企業。光刻機雙工件臺是芯片制造IC前道光刻機的核心部件之一,可實現對準和光刻同步進行,極大地提高了光刻機的精度和生產效率。公司生產的光刻機雙工件臺采用了宏-微疊層驅動的技術方案,由磁懸浮驅動。雙工作臺產品分為DWS系列和DWSi系列,其中DWS系列雙工件臺主要適用于干式光刻機,已投產。D
102、WSi系列雙工件臺適用于浸沒式光刻機,正在研發中。公司是上海微電子雙工件臺產品及技術開發的供應商公司是上海微電子雙工件臺產品及技術開發的供應商,20202020年光刻機相關業務占公司營收的年光刻機相關業務占公司營收的1111%。53 圖:公司光刻機工件臺相關產品圖:公司光刻機工件臺相關產品 圖:國內首臺納米級超精密氣浮運動臺圖:國內首臺納米級超精密氣浮運動臺 華卓精科:大陸首家磁懸浮雙工件臺供應商華卓精科:大陸首家磁懸浮雙工件臺供應商 產品系列產品系列 產品圖示產品圖示 產品特性產品特性 技術參數技術參數 研發研發/生產階段生產階段 DWS系列 采用磁懸浮平面電機驅動,多軸激光干涉位移測量。用
103、于I-line、KrF 和 ArF 干式光刻機,產率150 片/小時 運動平均偏差:4.5nm 運動標準偏差:nm 最大速度:1.1m/s 最大加速度:2.4g 已發貨 DWSi系列 采用磁懸浮平面電機驅動,平面光柵干涉位移測量。用于 ArFi光刻機,產率150 片/小時 運動平均偏差:2.5nm 運動標準偏差:5nm 最大速度:1.5m/s 最大加速度:3.2g 研發中 來源:福晶科技公司公告,中泰證券研究所 公司深耕激光產業上游元器件領域公司深耕激光產業上游元器件領域,主營產品包括晶體元件主營產品包括晶體元件、精密光學元件和激光器件精密光學元件和激光器件。公司成立于2001年,主營產品為晶
104、體元器件、精密光學元件及激光器件等產品,主要用于固體激光器、光纖激光器的制造,是激光器系統的核心元器件,部分精密光學元件應用于光通訊、AR、激光雷達、半導體設備和科研等領域。公司研發的非線性光學晶體公司研發的非線性光學晶體,是光刻機重要的上游原材料是光刻機重要的上游原材料。非線性光學晶體能夠轉換激光的種類,廣泛應用于固體激光器的制造。目前公司有多個項目聚焦于晶體質量與性能的提升,未來產品市場有望進一步擴張。54 福晶科技:非線性光學晶體領軍廠商福晶科技:非線性光學晶體領軍廠商 類別類別 產品產品 圖示圖示 用途用途 晶體 非線性光學晶體、激光晶體、雙折射晶體、磁光晶體、聲光及電光晶體、閃爍晶體
105、等 主要用途主要用途:作為固體激光器的工作物質、非線性頻率轉換、磁光材料、電光材料等 主要細分應用市場:主要細分應用市場:固體激光器、光纖激光器 精密光學元件 非球面透鏡、球面透鏡、柱面透鏡、反射鏡、窗口片、棱鏡、波片、偏振鏡,分光鏡、光柵等 主要用途主要用途:應用于激光器諧振腔、準直聚焦、光路轉換、光束整形、偏振轉換、分光合束等 主要細分應用市場:主要細分應用市場:固體激光器、光纖激光器、光通訊、AR/VR、激光雷達、半導體設備 激光器件 磁光器件、聲光器件、電光器件、驅動器、光開關、光學鏡頭(掃描場鏡、擴束鏡)、光纖傳輸器件等 主要用途:主要用途:光纖于固體激光器的聲光調制器、電光調制器、
106、Q開關、隔離器等 主要細分應用領域市場:主要細分應用領域市場:固體激光器、光纖激光器、光通訊 表:公司產品及主要用途表:公司產品及主要用途 來源:騰景科技招股書,海納光學官網,中泰證券研究所 公司主營產品為精密光學元件與光纖器件公司主營產品為精密光學元件與光纖器件。公司于2013年12月成立,主要業務為各類精密光學元件、光纖器件研發、生產和銷售。產品主要應用于光通信、光纖激光等領域,其他少量產品應用于量子信息科研、生物醫療、消費類光學等領域。公司開發的合分束器項目已處于產品驗證階段公司開發的合分束器項目已處于產品驗證階段,主要用于光刻機的光學系統主要用于光刻機的光學系統。預計未來投產后將滿足客
107、戶對合分束器的需求,彌補該領域空白,實現國產設備替代。分束器是一種衍射光學元件分束器是一種衍射光學元件。分束器將單個激光束分成幾個光束,每個光束具有原始光束的特性,激光通過分束器之后的光束直徑和相位都不變,而傳播方向和能量會發生改變。55 騰景科技:深耕高端光學元件騰景科技:深耕高端光學元件 圖:分束器原理圖圖:分束器原理圖 圖:光纖激光器內部光學系統圖(紅色框為公司提供的產品)圖:光纖激光器內部光學系統圖(紅色框為公司提供的產品)來源:騰景科技招股書,中泰證券研究所 56 騰景科技:深耕高端光學元件騰景科技:深耕高端光學元件 產品產品 圖示圖示 介紹介紹 平面光學器件 濾光片 應用于光通信、
108、生物醫療、消費類光學領域,是光收發模塊的關鍵元件,用于實現特定波長的光通過,阻止其他波長的光通過。偏振分束器 應用于光通信、光纖激光、量子信息科研領域,是光通信器件、光纖激光器、量子信息科研項目的關鍵元件,用于按照總體強度百分比、波長或偏振狀態分割光 消偏振分束器 消偏振分束器是光通信器件、量子信息科研項目的干涉關鍵元件,用于按照總體強度百分比分割光線而不受入射光偏振態影響 反射鏡 應用于光纖激光領域,是光纖激光器泵源的關鍵元件,用于將單管功率小、發散角度較大、光束質量較差的激光轉化合并輸出為發散角較小、光束質量較好、功率大的泵浦光 窗口片 應用于光通信、量子信息科研等領域,是光路中保護電子元
109、件、傳感器、半導體元件的基礎光學元件,用于防止電子傳感器、檢測器或其他敏感光電子元器件被外界環境因素(如濕氣或其它微量污染物)損壞 棱鏡 應用于光通信領域,是光開關、光環行器、波分光梳等光通信器件的關鍵元件,用于將光束折轉、反射,實現光信號切斷、雙向通信等光路設計功能 波片 應用于光通信領域,是波長選擇開關模塊、量子信息科研領域的關鍵元件,用于改變光的相位,滿足不同入射角度和溫度的設計要 球面光學器件 透鏡 應用于光通信、光纖激光領域,是波長選擇開關模塊、摻鉺光纖放大器模塊、光纖激光器等的關鍵元件,用于光的準直、耦合、聚焦、擴束或其它整形需求 柱面鏡 應用于光通信、光纖激光領域,是波長選擇開關
110、模塊的關鍵元件,用于光的一維準直、耦合、聚焦、擴束或其它整形需求 模壓玻璃非球面透鏡 應用于光通信、光纖激光領域,是發射激光二極管光源封裝、光纖激光器泵源等的關鍵元件,用于光的準直、耦合、聚焦、擴束需要 光纖產品 鍍膜光纖器件 包括鍍膜光纖線和光纖頭,鍍膜光纖線作為光纖激光器泵源的尾纖,用于高功率光纖激光的光纖耦合,具備高功率激光耐受能力;光纖頭是在鍍膜光纖線的一端裝配上陶瓷插芯或毛細管形成的組合件,可用于激光的耦合傳輸 準直器 應用于光通信、光纖激光領域,是光收發模塊、光纖激光器的關鍵器件,用于將光纖內的傳輸光轉變成準直光(平行光),或將外界平行光耦合至單模光纖內 聲光器件 應用于光纖激光領
111、域,是調Q脈沖光纖激光器的關鍵器件,用于高速調節激光諧振腔的損耗,使激光器可以脈沖方式輸出激光 表:騰景科技主要產品及應用領域表:騰景科技主要產品及應用領域 來源:蘇大維格公司公告,中泰證券研究所 公司深耕高端微納光學材料公司深耕高端微納光學材料。蘇州蘇大維格科技集團股份有限公司2001年成立,2012年在深交所上市,公司深耕高端微納光學材料及反光材料制品多年,已發展形成了公共安全和新型印材、消費電子新材料、反光材料、高端智能裝備四大事業群,下游覆蓋公共安全、液晶平板、交通安全、高端智能裝備等領域。公司于公司于20212021年末向上海微電子提供了光刻機用的定位光柵產品年末向上海微電子提供了光
112、刻機用的定位光柵產品,該器件是光刻機產品的重要部件該器件是光刻機產品的重要部件。57 圖:蘇大維格主要經營業務圖:蘇大維格主要經營業務 表:蘇大維格主要產品及應用領域表:蘇大維格主要產品及應用領域 蘇大維格:光學元器件供應商蘇大維格:光學元器件供應商 產品群產品群 產品類別產品類別 產品類型產品類型 用途用途 高端智能裝備 微納光學高 端設備 光刻設備 用于微納光學制造的原版制 造工藝 微納光學產品智能裝備 用于微納光學產品生產的智 能化裝備 公共安全和新型印材 公共安全防偽材料 公共安全防偽膜(行駛證、駕駛證防偽材料)光學防偽 新型光學印材 鐳射膜、鐳射紙 煙標、酒標、化妝品、日化用品等包裝
113、,美觀防偽 反光材料 反光膜、反光標識 車牌膜、棱鏡膜、玻璃微珠反光膜 用于各類交通標志牌和作業區設施,警示標志、宣傳牌等 消費電子新材料 新型顯示光學材料 導光板/膜、擴散板 通訊、IT產品的局部照明、平板顯示背光模組 中大尺寸觸控產品 柔性透明導電膜 中大尺寸電容觸控屏 特種裝飾材料 特種裝飾膜 手機背板防爆裝飾膜 來源:炬光科技公司公告,中泰證券研究所 公司主營業務為激光產業上游元器件公司主營業務為激光產業上游元器件。公司成立于2007年9月,主要從事光子產業鏈上游的高功率半導體激光元器件和原材料、激光光學元器件的研發、生產和銷售,主要產品為半導體激光業務典型產品、激光光學業務典型產品、
114、汽車應用業務典型產品、光學系統業務典型產品。目前正在積極拓展光子產業鏈中游的光子應用模塊、模組、子系統業務,下游重點布局消費電子、汽車應用、泛半導體制程、醫療健康等領域。公司為上海微電子提供了半導體激光退火系統以及核心元器件公司為上海微電子提供了半導體激光退火系統以及核心元器件,20212021年年H H1 1光刻機相關業務占公司營收的光刻機相關業務占公司營收的3 3.3 3%。58 圖:炬光科技主營業務在產業鏈中所處位置圖:炬光科技主營業務在產業鏈中所處位置 炬光科技:激光元器件產業領軍者炬光科技:激光元器件產業領軍者 來源:炬光科技公司公告,中泰證券研究所 59 炬光科技:激光元器件產業領
115、軍者炬光科技:激光元器件產業領軍者 表:炬光科技激光光學類產品及應用領域表:炬光科技激光光學類產品及應用領域 產品線產品線 典型產品名稱典型產品名稱 產品圖片產品圖片 下游應用設備下游應用設備 終端應用場景終端應用場景 單(非)球面柱面透鏡 快軸準直鏡/慢軸準直鏡 激光切割 光束轉換器 光束轉換器 塑料焊接 光束準直器 一體化透鏡 激光投影 光纖耦合器 耦合器 塑料焊接 光場勻化器 光場勻化器 半導體光刻 光束擴散器 微透鏡陣列 3D成像 廣角光束擴散器 機器視覺檢測 微光學晶圓 微光學晶圓 微光學透鏡生產 來源:美??萍颊泄蓵?,中泰證券研究所 公司主營業務為空氣凈化產品公司主營業務為空氣凈化
116、產品、大氣環境治理產品大氣環境治理產品。公司產品主要應用于潔凈室空氣凈化,下游應用細分主要包括半導體、生物制藥、食品等,主要產品包括風機過濾單元、高效過濾器、化學過濾器。公司是中芯國際公司是中芯國際、上海微的供應商上海微的供應商。公司2002年起進入半導體潔凈室領域,為中芯國際供應FFU、高效/超高效過濾器、化學過濾器等產品。同時,公司為上海微電子構建國際最高潔凈等級標準(ISO Class 1級)潔凈環境提供EFU及ULPA等產品。60 美??萍济腊?萍?大陸潔凈設備龍頭廠商大陸潔凈設備龍頭廠商 設備名稱設備名稱 圖示圖示 用途用途 風機過濾單元 廣泛應用于半導體、生物制藥、食品加工等行業的
117、潔凈廠房及潔凈室中,是潔凈室空氣凈化的關鍵設備。高效過濾器 主要包括高效過濾器(HEPA)、超高效過濾器(ULPA)。主要用于半導體芯片、液晶平板顯示器、生物制藥、醫院手術室、負壓病房、食品飲料等生產廠房、模塊化潔凈室及要求嚴格的微環境、精密機臺等,是潔凈室空氣凈化的核心設備。初中效過濾器 初中效過濾器按形態主要分為板式過濾器和袋式過濾器。主要用于潔凈室新風及空調系統的空氣預過濾,去除進入室內空氣中較大顆粒的雜質、粉塵等污染物,對空氣凈化系統中高級別的過濾器起到保護作用。靜電過濾器 靜電過濾器主要應用于商業樓宇或大型公共建筑的暖通系統空氣凈化,可以去除空氣中PM2.5等顆粒物并具有殺菌的效果。
118、化學過濾器 該類過濾器主要應用于潔凈廠房的特定工序中(如芯片廠的酸洗、蝕刻等),去除生產工藝中產生的氣態分子污染物;也應用于機房與數據中心的防腐蝕控制,保護數據中心與機房控制設備的穩定運行;生物安全、無菌凈化設備 主要應用于生物制藥產業生產線、P3/P4實驗室、潔凈室等場景的送風及排風凈化、環境除塵、除菌、濾網非接觸式替換。商用、醫用、家用空氣凈化機 主要用于室內空氣凈化,可高效去除PM2.5及細菌微生物、高效分解甲醛、VOCs等有機、有害氣體。工業除塵設備/除油霧設備 主要應用于工業生產,用于去除生產過程中產生的粉塵、油霧,提高生產效率和安全,保護工作環境及人員,降低機器生產損耗 除油煙凈化
119、器 主要應用于商用餐廳、餐飲廚房油煙排放凈化場景,用于凈化烹飪產生的油煙、顆粒物、臭味等,使對外排放的氣體達到環保標準。表:公司主要產品及應用領域表:公司主要產品及應用領域 來源:美??萍脊倬W,中泰證券研究所 61 美??萍济腊?萍?大陸潔凈設備龍頭廠商大陸潔凈設備龍頭廠商 圖:半導體行業潔凈空氣解決方案圖:半導體行業潔凈空氣解決方案 1 1、初效過濾器、初效過濾器 2 2、中效過濾器、中效過濾器 4 4、高效過濾器、高效過濾器 5 5、風機過濾單元、風機過濾單元 6 6、化學過濾器、化學過濾器 7 7、高效過濾器、高效過濾器 8 8、設備端風機過濾、設備端風機過濾 9 9、凈化器、凈化器 1
120、010、高效送風口、高效送風口 1111、可棄式高效、可棄式高效 送風口送風口 3 3、化學過濾器、化學過濾器 目目 錄錄 一、光刻是芯片制造最核心的環節,大陸自給率亟待提升一、光刻是芯片制造最核心的環節,大陸自給率亟待提升 1.1 光刻機是芯片制造的核心設備,市場規模全球第二光刻機是芯片制造的核心設備,市場規模全球第二 1.2 一超兩強壟斷市場,卡脖子現象凸顯一超兩強壟斷市場,卡脖子現象凸顯 二、光刻機:多個先進系統的組合,核心零部件被海外廠商壟斷二、光刻機:多個先進系統的組合,核心零部件被海外廠商壟斷 2.1 光刻機發展歷程:從接觸式到投影式,分辨率不斷降低光刻機發展歷程:從接觸式到投影式
121、,分辨率不斷降低 2.2 多個先進系統的組合,技術壁壘極高多個先進系統的組合,技術壁壘極高 三、大陸廠商實現從“三、大陸廠商實現從“0到到1”,本土化帶來廣闊替代空間,本土化帶來廣闊替代空間 四、投資建議及風險提示四、投資建議及風險提示 62 63 來源:中泰證券研究所 光刻機是代工最關鍵和核心設備光刻機是代工最關鍵和核心設備,也是大陸最薄弱環節也是大陸最薄弱環節。光刻機21年全球市場172億美金,其市場份額在晶圓生產設備中占比為20%,是半導體設備第二大品類。競爭格局上,ASML一家獨大,Nikon+Canon 占據剩余份額,大陸廠商目前進展較快的有上海微電子。光刻機制裁落地光刻機制裁落地,
122、國產化勢在必行國產化勢在必行。美國對大陸制裁層層升級,23年3月8日光刻機制裁落地,荷蘭政府發布新出口管制,ASML需要申請出口許可,才能裝運最先進的浸沒式DUV系統,光刻機國產化亟待加速。建議關注:建議關注:光學器件:光學器件:福晶科技、騰景科技、茂萊光學、炬光科技、蘇大維格、晶方科技、奧普光電;潔凈潔凈設備:設備:美??萍?;結構零部件結構零部件:富創精密,新萊應材;其他其他:張江高科。投資建議投資建議 國產替代技術突破受阻,下游需求不及預期。中美貿易摩擦加劇、研報使用的信息更新不及時的風險、行業數據或因存在主觀篩選導致與行業實際情況存在偏差風險。64 風險提示風險提示 重要聲明重要聲明 中
123、泰證券股份有限公司(以下簡稱“本公司”)具有中國證券監督管理委員會許可的證券投資咨詢業務資格。本報告僅供本公司的客戶使用。本公司不會因接收人收到本報告而視其為客戶。本報告基于本公司及其研究人員認為可信的公開資料或實地調研資料,反映了作者的研究觀點,力求獨立、客觀和公正,結論不受任何第三方的授意或影響。本公司力求但不保證這些信息的準確性和完整性,且本報告中的資料、意見、預測均反映報告初次公開發布時的判斷,可能會隨時調整。本公司對本報告所含信息可在不發出通知的情形下做出修改,投資者應當自行關注相應的更新或修改。本報告所載的資料、工具、意見、信息及推測只提供給客戶作參考之用,不構成任何投資、法律、會
124、計或稅務的最終操作建議,本公司不就報告中的內容對最終操作建議做出任何擔保。本報告中所指的投資及服務可能不適合個別客戶,不構成客戶私人咨詢建議。市場有風險,投資需謹慎。在任何情況下,本公司不對任何人因使用本報告中的任何內容所引致的任何損失負任何責任。投資者應注意,在法律允許的情況下,本公司及其本公司的關聯機構可能會持有報告中涉及的公司所發行的證券并進行交易,并可能為這些公司正在提供或爭取提供投資銀行、財務顧問和金融產品等各種金融服務。本公司及其本公司的關聯機構或個人可能在本報告公開發布之前已經使用或了解其中的信息。本報告版權歸“中泰證券股份有限公司”所有。事先未經本公司書面授權,任何機構和個人,不得對本報告進行任何形式的翻版、發布、復制、轉載、刊登、篡改,且不得對本報告進行有悖原意的刪節或修改。65