微導納米-公司投資價值分析報告:ALD技術平臺型企業半導體CVD加持強化成長性-230707(65頁).pdf

編號:132163 PDF  DOCX 65頁 3.52MB 下載積分:VIP專享
下載報告請您先登錄!

微導納米-公司投資價值分析報告:ALD技術平臺型企業半導體CVD加持強化成長性-230707(65頁).pdf

1、 敬請參閱最后一頁特別聲明-1-證券研究報告 2023 年 7 月 7 日 公司研究公司研究 ALDALD 技術平臺型企業技術平臺型企業,半導體,半導體 CVDCVD 加持強化成長性加持強化成長性 微導納米(微導納米(688147.SH688147.SH)投資價值分析報告)投資價值分析報告 增持(首次)增持(首次)公司公司是是 ALDALD 薄膜沉積薄膜沉積領先領先設備廠商設備廠商。依托 ALD 技術核心團隊,專注先進微納米薄膜沉積設備研發與產業化應用,構建以 ALD 技術為核心,外拓 CVD 等多種鍍膜設備的產品體系,廣泛應用于邏輯、存儲、高效光伏電池、新型顯示等領域,在半導體邏輯芯片、存儲

2、芯片的 HKMG 工藝、光伏正面氧化鋁鈍化層上具備較強的領先優勢。國產國產 ThermalThermal ALDALD 設備佼佼者,差異化競爭策略減少直接競爭對手設備佼佼者,差異化競爭策略減少直接競爭對手。公司是國內首家成功將量產型 TALD 設備應用于 28nm 邏輯芯片 high-k 柵介質層的設備廠,同時加快其他工藝段 TALD 與 PEALD 設備的研發驗證,持續鞏固競爭優勢。公司已有多臺 ALD 設備在不同工藝段驗證,后續批量重復訂單可期。CVD 系列設備以硬掩模工藝為切入點,部分產品目前處于客戶試樣驗證階段。截至 2023年 4 月 25 日,公司今年新簽半導體設備訂單 2.42

3、億元,與 2022 年全年相當。ALDALD 為先進制程關鍵設備,為先進制程關鍵設備,未來幾年復合增速超其他類型薄膜設備。未來幾年復合增速超其他類型薄膜設備。ALD 技術因獨特的自限性反應而具有超薄均勻鍍膜、臺階覆蓋率高、保形性優異的獨特優勢,在 28nm 以下邏輯芯片三維鍍膜、高深寬比存儲芯片薄膜沉積中具有無可比擬地位。美國對華出口管制升溫,聯合日荷對華封鎖半導體先進制程設備,釜底抽薪倒逼我國重視供應鏈安全,下游晶圓廠國產設備驗證意愿增強,打開驗證窗口,推動半導體設備國產化水平提高,芯片微縮化發展中芯片微縮化發展中 ALDALD 設備是先進制設備是先進制程程核心設備核心設備,利好在關鍵工藝領

4、先的微導納米,利好在關鍵工藝領先的微導納米。據 SEMI 2021 年報告,CVD、PVD、ALD2020-2025 年市場規模年均復合增長率分別為 8.5%、8.9%和 26.3%。TOPConTOPCon 擴產元年,公司訂單大放量,儲備新電池設備技術以享受產業加速發擴產元年,公司訂單大放量,儲備新電池設備技術以享受產業加速發展紅利。展紅利。公司 ALD 設備為正面 Al2O3鈍化層主流制備技術,打破 PECVD 壟斷,在同類型產品中市占率全球第一,用于隧穿層與摻雜多晶硅層的 PEALD 二合一設備,SiNx 層的 PECVD 設備市場不斷打開,與先導智能協同為客戶供應整線。23 年以來 T

5、OPCon 擴產加速,截至 4 月 25 日公司今年新簽光伏訂單 20 億元,超 22 年末在手訂單。xBC 正面 Al2O3層實現產業化應用,HJT 透明導電層處于開發階段,鈣鈦礦封裝層已處于驗證階段,有望在下一代光伏電池新技術量產有望在下一代光伏電池新技術量產前前夕夕打入供應鏈。打入供應鏈。盈利預測、估值與評級:盈利預測、估值與評級:半導體 ALD 設備壁壘高,差異化布局 CVD 設備拓寬成長空間,國產化背景下加速驗證,未來訂單放量可期;光伏新技術迭代加速,訂單獲取能力強,基本盤穩固,可賦能半導體研發與產業化。我們預計公司 23-25E的歸母凈利潤為 1.24/2.63/4.23 億元,對

6、應 EPS 為 0.27/0.58/0.93 元,當前股價對應 PE 為 196/92/57x。公司半導體 ALD、CVD 處于加速驗證與量產導入階段,可享受一定的估值溢價,首次覆蓋,給予“增持”評級。首次覆蓋,給予“增持”評級。風險提示:風險提示:新產品驗證進度不及預期,行業周期持續下行,國際貿易摩擦加劇新產品驗證進度不及預期,行業周期持續下行,國際貿易摩擦加劇。公司盈利預測與估值簡表公司盈利預測與估值簡表 指標指標 20212021 20222022 2023E2023E 2024E2024E 2025E2025E 營業收入(百萬元)428 685 1,508 2,517 3,589 營業

7、收入增長率 36.91%59.96%120.29%66.94%42.58%凈利潤(百萬元)46 54 124 263 423 凈利潤增長率-19.12%17.43%128.74%112.28%61.01%EPS(元)0.11 0.12 0.27 0.58 0.93 ROE(歸屬母公司)(攤?。?.22%2.76%5.94%11.36%15.89%P/E 475 449 196 92 57 P/B 24.8 12.4 11.7 10.5 9.1 資料來源:Wind,光大證券研究所預測,股價時間為 2023-07-03;2021/2022 年末總股本分別為 409、454.46 百萬股 當前價:當

8、前價:53.5153.51 元元 作者作者 分析師:楊紹輝分析師:楊紹輝 執業證書編號:S0930522060001 021-52523860 聯系人:林映吟聯系人:林映吟 021-52523418 市場數據市場數據 總股本(億股)4.54 總市值(億元):243.18 一年最低/最高(元):24.65/59.83 近 3 月換手率:43.19%股價相對走勢股價相對走勢 -10%10%30%50%70%90%22/1223/0323/06微導納米滬深300 收益表現收益表現%1M 3M 1Y 相對-2.06 52.00 108.35 絕對-0.80 47.17 94.94 資料來源:Wind

9、相關研報相關研報 半導體制造技術進步,原子層沉積(ALD)技術是關鍵半導體設備行業跟蹤報告(2023-02-06)要點要點 敬請參閱最后一頁特別聲明-2-證券研究報告 微導納米(微導納米(688147.SH688147.SH)投資聚焦投資聚焦 關鍵假設關鍵假設 (1)半導體設備:半導體設備:公司是國內半導體 ALD 設備領軍企業,布局 CVD 拓展市場空間,目前多個機臺處于與客戶驗證階段,部分取得批量重復訂單。目前中美科技競爭,海外高端半導體設備供應鏈受破壞,國內晶圓廠導入國產設備驗證意愿相較此前增強,半導體設備國產化率不斷提高,微導納米作為國內第一家憑借TALD 率先打入 28nm 柵介質層

10、 HfO2工藝的半導體設備廠,公司有望在下游晶圓廠先進制程擴產中在 ALD 應用的工藝環節中獲得優勢訂單。我們預計公司2023/2024/2025 年半導體專用設備實現營業收入 2/5/10 億元,對應同比增速為 326%/150%/100%,毛利率水平分別為 48%/49%/50%。(2)光伏設備:光伏設備:能源轉型背景下,光伏新增裝機需求增加,對降本增效的追求推動行業新技術迭代時間縮短,利好設備廠商。微導納米在高效光伏電池片工藝覆蓋度與新技術品類覆蓋度逐漸增加,公司產能不斷增加,交付能力增強。在TOPCon 擴產熱潮中公司積極布局下一代高效電池技術專用設備,如 HJT、鈣鈦礦等領域,以解決

11、光伏領域未來訂單可能出現青黃不接現象。我們預計2023/2024/2025 年公司光伏設備營業收入增速為 130%/60%/30%,對應營業收入為 11.52/18.43/23.97 億元,毛利率分別為 36.5%/37%/36.5%。我們區別于市場的觀點我們區別于市場的觀點 (1 1)重視半導體設備屬性:重視半導體設備屬性:市場多從已產業化應用設備、訂單結構、收入結構角度將公司賦予更多的光伏設備屬性,我們則從公司創始人團隊背景,曾師從全球 ALD 技術發明人黎博士背景,以及公司在技術人才引進結構,研發費用投入,核心研發人員分布,核心在研項目等多角度強調公司的創立與成長使命為推動中國半導體先進

12、制程設備國產化,重申并強調重視其 ALD 技術平臺型企業的半導體設備供應能力。(2 2)強調強調公司半導體產公司半導體產品體系豐富能力:品體系豐富能力:市場認為 ALD 設備在半導體薄膜沉積設備中市場份額較小,市場規模與成長空間有限,且國內拓荊科技、中微公司、北方華創、盛美上海等優秀的前道半導體制程設備廠商亦在布局 TALD 或PEALD,競爭較為激烈。我們詳細分析 ALD 設備在邏輯、存儲等領域的工藝應用,明晰在不同工藝環節,面向不同前驅體材料時 ALD 設備是具備較大差異性的,意味差異化策略疊加優先攻克難度較高工藝的 ALD 設備商具備客戶綁定、驗證、量產導入優勢。微導納米率先攻克難度較高

13、的 28nm 邏輯電路柵介電層氧化鉿工藝,可為公司在向全工藝段覆蓋的進程中奠定優勢地位。同時公司研發團隊背景覆蓋薄膜沉積、刻蝕等多個制程設備領域,對工藝理解深厚,具備產品矩陣拓展能力。公司目前已研發出 CVD 系列產品,部分處于客戶試驗驗證階段。股價上漲的催化因素股價上漲的催化因素 (1)晶圓廠資本開支上行,先進制程國產線建設進展順利;(2)CVD 系列產品出貨驗證,驗證效果優異;(3)TALD 在存儲高深寬比等工藝段驗證成功,PEALD 驗證成功,簽訂重復訂單;(4)鈣鈦礦多個 GW 級別產線招標啟動。估值與估值與評級評級 我們預測微導納米 2023-2025E 歸母凈利潤分別為 1.24/

14、2.63/4.23 億元,對應EPS 為 0.27/0.58/0.93 元。公司半導體 ALD、CVD 處于加速驗證與量產導入階段,可享受一定的估值溢價,首次覆蓋,給予首次覆蓋,給予“增持增持”評級。評級。TV8ZhZjWnVbYFU9YhUbRdN6MtRmMoMsRfQoOqPiNrRvMbRsQtQvPtQoQxNtQnP 敬請參閱最后一頁特別聲明-3-證券研究報告 微導納米(微導納米(688147.SH688147.SH)目目 錄錄 1、ALD 薄膜沉積新星,技術平臺化打開成長空間薄膜沉積新星,技術平臺化打開成長空間 .7 7 1.1、以 ALD 光伏應用起家,志在半導體等高端領域國產

15、替代.7 1.2、深耕薄膜沉積,ALD 應用領域不斷取得突破.9 1.3、在手訂單充足保障業績高增長.13 1.4、募投項目有序推進,新擴產能保障訂單交付.15 2、ALD 技術應用空間廣闊,半導體、光伏孕育新機遇技術應用空間廣闊,半導體、光伏孕育新機遇 .1616 2.1、ALD 技術可精準鍍膜,技術延展性強應用領域廣泛.16 2.1.1、ALD 技術具有自限制特性,可精準控制薄膜厚度.16 2.1.2、前驅體材料多元,ALD 技術應用廣泛.19 2.2、半導體:先進制程演繹使 ALD 應用工藝增加,疊加國產化加速驗證.21 2.2.1、邏輯:HKMG 工藝.21 2.2.2、存儲DRAM.

16、26 2.2.3、存儲3D NAND.30 2.2.4、存儲新型存儲之鐵電存儲.32 2.2.5、ALD 為先進制程關鍵設備,未來成長空間廣闊.35 2.2.6、對華半導體制裁倒逼國產化加速.37 2.3、光伏:TOPCON 元年,電池片技術迭代窗口縮短.40 2.3.1、能源獨立與革命支撐海內外光伏裝機需求高增.40 2.3.2、TOPCON 電池擴產元年,ALD 工藝滲透率提升.43 2.3.3、光伏電池技術迭代窗口期縮短,成長行業屬性強化.46 3、研發團隊實力雄厚,以研發團隊實力雄厚,以 ALD 核心技術為基點多元布局核心技術為基點多元布局 .4949 3.1、核心研發團隊實力雄厚,研

17、發投入與轉化效益高.49 3.2、光伏訂單放量,基本盤穩固為半導體研發賦能.54 3.3、ALD 技術具平臺延展優勢,布局 CVD 打開成長空間.55 4、盈利預測與投資建議盈利預測與投資建議 .5757 4.1、關鍵假設及盈利預測.57 4.2、相對估值.59 4.3、絕對估值.60 4.4、估值結論與投資評級.62 5、風險分析風險分析 .6262 敬請參閱最后一頁特別聲明-4-證券研究報告 微導納米(微導納米(688147.SH688147.SH)圖目錄圖目錄 圖 1:微導納米發展歷程.7 圖 2:微導納米股權結構(截至 20230331).8 圖 3:原子層沉積(ALD)的多個產業化應

18、用.9 圖 4:2018-2022 年公司營收年均復合增長率 101%.14 圖 5:2017-2023Q1 公司歸母凈利潤.14 圖 6:公司毛利率與凈利率.14 圖 7:公司各項期間費用率(%).14 圖 8:2023 年 Q1 末公司合同負債 9.48 億元,存貨 14.48 億元.14 圖 9:2023 年 4 月末,公司在手訂單近 45 億元.14 圖 10:截至 20220930 光伏工藝設備在手訂單結構.15 圖 11:截至 20220930 半導體工藝在手訂單結構.15 圖 12:薄膜沉積工藝.16 圖 13:ALD 技術發展歷史.17 圖 14:原子層沉積技術原理示意圖.18

19、 圖 15:TALD 與 PEALD 工藝的單循環原理圖.18 圖 16:MOSFET 結構及 SiO2與 High-K 柵介電層比較.21 圖 17:芯片線寬 28nm 以下采用 3D 晶體管(FinFET).22 圖 18:3D 晶體管應用增加,對 ALD 需求增加.22 圖 19:ALD 在 FinFet 中的應用.22 圖 20:淺綠色部分有阻擋層.23 圖 21:邏輯芯片各制程節點 ALD 技術應用環節數量(個).23 圖 22:邏輯器件中 ALD 與 ALE 在各技術節點中的使用步驟數.23 圖 23:22Q4 臺積電 5nm 制程收入占比提高至 32%.24 圖 24:2022

20、年臺積電 5nm 制程收入占比提高至 26%.24 圖 25:深溝槽式電容.26 圖 26:堆疊式電容.26 圖 27:DRAM 發展路線圖.27 圖 28:DRAM 制程微縮帶來電容(CS)減少問題.27 圖 29:圓柱形電容器.28 圖 30:柱狀式電容器.28 圖 31:HKMG 在邏輯與 DRAM 中應用.28 圖 32:HKMG 在 DRAM 中應用的效用.28 圖 33:光刻技術迭代過程.29 圖 34:SADP.29 圖 35:LELE.29 圖 36:LFLE.29 圖 37:ASML 的收入主要來自 EUV 與 ArFi 光刻機.30 圖 38:2022 年 ASML 共銷售

21、 40 臺 EUV 光刻機.30 圖 39:ALD 在 3D NAND 中的應用.31 敬請參閱最后一頁特別聲明-5-證券研究報告 微導納米(微導納米(688147.SH688147.SH)圖 40:NAND Cell 結構圖.31 圖 41:NAND Cell 沉積工藝流程.31 圖 42:鐵電存儲器工作原理.32 圖 43:PZT 與 HfO2鐵電層的特性差異比較.33 圖 44:2019-2025 年存儲器市場收入預測(按技術分類).34 圖 45:鐵電存儲產業化現狀.35 圖 46:2017-2025E 全球半導體薄膜沉積設備市場規模(億美元).35 圖 47:2022 年薄膜沉積設備

22、投資額占晶圓制造設備總投資額的 22%.35 圖 48:2021 年全球各類半導體薄膜沉積設備市場規模占比情況.36 圖 49:2021-2024E 半導體設備銷售額分類(十億美元).36 圖 50:存儲芯片及其他各類型 ALD 技術應用環節數量.36 圖 51:半導體設備國產化率.39 圖 52:半導體設備在大生產線驗證流程.39 圖 53:2022 年全球按能源劃分的可再生發電能力.41 圖 54:2022E-2026E 全球光伏新增裝機量預測.41 圖 55:算力時代與電力時代對比.41 圖 56:機器學習系統能耗與全球發電情況對比.41 圖 57:2021 年全球各主要國家光伏發電量滲

23、透率.42 圖 58:2022-2030E 年國內光伏新增裝機量.42 圖 59:截至 2023/6/28,多晶硅致密料均價 64 元/kg.43 圖 60:截至 2023/6/28,光伏組件價格持續下降(元/W).43 圖 61:PERC 電池結構示意圖.43 圖 62:TOPCon 電池結構示意圖.43 圖 63:PERC 與 TOPCon 電池工藝流程對比.44 圖 64:原位摻雜與非原位摻雜市占率.46 圖 65:不同鍍膜技術路線市占率.46 圖 66:光伏電池技術迭代路線.46 圖 67:BSF、PERC、TOPCON 演繹.47 圖 68:雙面全鈣鈦礦疊層電池示意(左)和掃描電鏡(

24、右).49 圖 69:可比公司研發費用占營業收入比重(%).51 圖 70:可比公司研發人員數量占比(%).51 圖 71:微導納米專用設備收入總額及結構(億元).55 圖 72:微導納米訂單金額及結構(億元).55 表目錄表目錄 表 1:微導納米董事會重要成員一覽.8 表 2:微導納米重要高管和核心技術人員一覽.9 表 3:公司半導體領域設備以 TALD 為主.10 表 4:公司光伏行業主要產品.12 敬請參閱最后一頁特別聲明-6-證券研究報告 微導納米(微導納米(688147.SH688147.SH)表 5:公司產品價格與銷量.12 表 6:2018-2022H1 公司前五大客戶.13 表

25、 7:公司募投項目情況.15 表 8:PVD、CVD 與 ALD 對比.16 表 9:TALD 與 PEALD 對比.19 表 10:ALD 主要應用領域.19 表 11:全球 7 大 IC 晶圓廠制程演進過程(單位:nm).24 表 12:中國大陸晶圓廠規劃建設情況(不完全統計,截至 2021 年底).25 表 13:DDR1-DDR5 主要指標比較.26 表 14:主要 NAND Flash 廠商制程變化及預測.32 表 15:鐵電存儲器與主流非易失性存儲器及 SRAM 區別.33 表 16:全球各類芯片銷售總額與預測.37 表 17:全球主要國家/組織半導體產業扶持政策.37 表 18:

26、美日荷對中國半導體出口管制政策.37 表 19:半導體工藝設備全球領先供應商與中國本土供應商情況.38 表 20:全球各主要國家和地區光伏裝機目標.40 表 21:不同電池技術參數與成本等比較(截至 202304).44 表 22:隧穿氧化層制備與 Poly 摻雜的不同技術路線對比.45 表 23:HJT TCO 制備路線.48 表 24:鈣鐵礦電池 or 組件不同技術路線最新轉換效率.49 表 25:公司核心技術人員(截至 2022 年底).50 表 26:公司七大核心技術.51 表 27:公司正在實施的主要研發項目.52 表 28:公司產學研合作情況.53 表 29:國內布局 ALD 設備

27、的廠商眾多,但多處于起步階段.54 表 30:微導納米半導體工藝覆蓋與產業化情況.55 表 31:各家 PECVD、LPCVD 薄膜工藝對比.56 表 32:拓荊科技產品布局.56 表 33:微導納米主營業務拆分及預測(單位:百萬元).58 表 34:公司盈利預測與估值簡表.59 表 35:微導納米可比公司估值表.60 表 36:絕對估值核心假設表.61 表 37:現金流折現及估值表.61 表 38:敏感性分析表(元).61 表 39:各類絕對估值法結果匯總表(元).62 敬請參閱最后一頁特別聲明-7-證券研究報告 微導納米(微導納米(688147.SH688147.SH)1 1、ALDALD

28、 薄膜沉積新星,技術平臺薄膜沉積新星,技術平臺化化打開成打開成長空間長空間 1.11.1、以以 ALDALD 光伏應用起家,志在半導體等高端領域國光伏應用起家,志在半導體等高端領域國產替代產替代 微導納米是先進微納米級薄膜沉積專家。微導納米是先進微納米級薄膜沉積專家。公司成立于 2015 年 12 月,由先導智能董事長王燕清等四人出資成立,2022 年 12 月在科創板上市。自成立以來,公司定位為以 ALD 技術為核心的平臺型公司,不斷吸收海內外優秀人才,加大研發投入,構筑出在原子層沉積反應器設計技術、高產能原子層沉積反應器設計技術、高產能真空鍍膜技術、真空鍍膜真空鍍膜技術、真空鍍膜設備工藝反

29、應氣體控制技術、納米疊層薄膜沉積技術、高質量薄膜制造技術、工設備工藝反應氣體控制技術、納米疊層薄膜沉積技術、高質量薄膜制造技術、工藝設備能量控制技術、基于原子層沉積的高效電池技術藝設備能量控制技術、基于原子層沉積的高效電池技術等前沿科技領域的強勢壁壘,并深化在高效光伏電池、集成電路、先進存儲等領域的應用與技術儲備。公司公司 ALDALD 設備率先在光伏領域應用,打破設備率先在光伏領域應用,打破 PECVDPECVD 在背面鈍化層鍍膜的壟斷。在背面鈍化層鍍膜的壟斷。公司成立后適逢國內光伏電池技術取得重大突破與迭代,2016 年以來,PERC 電池取代 BSF 電池速度加快,2022 年為新一代高

30、效電池 TOPCon 的量產元年,電池片技術的迭代帶來了新工藝新設備的嘗試與應用機遇。在全球范圍內,公司首創將 ALD 技術規?;瘧玫?PERC 電池的 AL2O3鈍化層制備,2017 年其 ALD設備在 AL2O3鈍化層制備的銷售額占比不足 2%,2018 年則接近 20%。目前,公司的 ALD 設備已覆蓋光伏領域多家知名電池片廠商,如通威太陽能、隆基綠能、晶澳科技、阿特斯、天合光能,并適用于 TOPCon、XBC、鈣鈦礦等高效電池。進軍邏輯芯片、先進存儲、進軍邏輯芯片、先進存儲、3 3D D-ICIC 等領域,拓展柔性電子鍍膜。等領域,拓展柔性電子鍍膜。公司致力于為中國半導體關鍵裝備國產

31、化出力,2019 年,公司正式對外開放 A 輪融資,引入毅達資本、中芯聚源、高瓴創投等知名投資方,為公司半導體裝備研究注入大量資金支持。2022 年,公司是國內首家成功將量產型 High-k 原子層沉積設備應用于28nm 節點邏輯前道生產線的國產設備公司,其工藝參數達到了國際同類水平,并獲得重復訂單,標志著公司正式進軍邏輯芯片、先進存儲、3D-IC 等領域。此外,公司正在孵化柔性電子領域 ALD 技術,開發多款 CVD 產品,有條不紊推動2018 年以來所實施的產品線多元化戰略。圖圖 1 1:微導納米發展歷程:微導納米發展歷程 資料來源:公司官網,公司招股說明書,光大證券研究所整理 敬請參閱最

32、后一頁特別聲明-8-證券研究報告 微導納米(微導納米(688147.SH688147.SH)公司公司實行董事會領導下的總經理負責制。實行董事會領導下的總經理負責制。公司實際控制人為王燕清家族(王燕清、其妻倪亞蘭、其子王磊),IPO 后其家族通過萬海盈投資、聚海盈管理、德厚盈投資間接控制公司 60.61%股份,股權集中度較高,而董事會領導下的總經理負責制,有助于所有權與經營權分離,一定程度上可規避實控人非正常干預或控制公司經營活動的風險。圖圖 2 2:微導納米股權結構(:微導納米股權結構(截至截至 2023033120230331)王燕清家族為實控人聚海盈投資胡彬潘景偉問鼎投資中芯聚源紹興基金瑞

33、華投資德厚盈投資上海君聯晟其他股東萬海盈投資LI WEI MINLI XIANG倪倪亞亞蘭蘭王王磊磊王王燕燕清清江蘇微導納米科技股份有限公司江蘇微導納米科技股份有限公司2080%74.28%79%21%51.18%9.42%8.32%4.44%2.77%1.98%1.43%1.19%1.19%1.11%0.93%16.0%資料來源:Wind,光大證券研究所整理 公司董事會與重要高管擁有半導體行業豐富的研究與從業經驗。公司董事會與重要高管擁有半導體行業豐富的研究與從業經驗。黎微明(LI WEIMIN)博士為公司第二大股東,截至 23Q1 末其持股比例為 9.42%,曾就職于全球領先的半導體原子層

34、沉積設備公司 ASM 國際、Picosun1,目前其出任微導納米副董事長,首席技術官,其在芬蘭工作期間曾為英特爾公司 45nm 芯片研發高介電薄膜??偨浝碇苋剩╖HOU REN)曾就職于國際知名半導體刻蝕設備公司 Lam Research、國內領先的刻蝕與薄膜沉積公司中微公司、拓荊科技。決決策層與管理層在半導體領域深厚的經驗一定程度上可為微導納米在薄膜沉積領策層與管理層在半導體領域深厚的經驗一定程度上可為微導納米在薄膜沉積領域的研發、產業化應用提供有益支持。域的研發、產業化應用提供有益支持。表表 1 1:微導納米董事會重要成員一覽:微導納米董事會重要成員一覽 姓名姓名 職務職務 國籍國籍 學歷

35、學歷 背景背景 王磊 董事長 中國 本科 畢業于新澤西州立大學計算機和數學專業。2017 年 6 月至 2017 年 9 月就職于喜開理(中國)有限公司;2017 年 9月至 2018 年 2 月就職于恒云太;2018 年 2 月至今任先導智能董事;2018 年 10 月至 2019 年 12 月,擔任微導有限董事長;2019 年 12 月至今,擔任公司董事長。倪亞蘭 董事 中國 初中 2002 年至 2011 年 11 月,擔任無錫先導自動化設備有限公司總經理辦公室助理;2011 年 5 月至 2016 年 1 月,擔任無錫嘉鼎投資有限公司(上海卓遨前身)總經理;2016 年 1 月至 20

36、20 年 12 月,擔任上海卓遨執行事務合伙人;2012年 2 月至今,擔任欣導投資總經理;2015 年 12 月至 2019 年 12 月,擔任微導有限董事;2017 年 12 月至 2019 年12 月,擔任微導有限總經理;2019 年 12 月至今,擔任公司董事。LI WEIMIN 副董事長、首席技術官 芬蘭 博士 畢業于芬蘭赫爾辛基大學無機化學專業。2000 年 6 月至 2007 年 4 月就職于芬蘭芬蘭 ASMASM MicrochemistryMicrochemistry Ltd.Ltd.,任高級工藝工程師;2007 年 4 月至 2010 年 2 月就職于芬蘭芬蘭 Silecs

37、Silecs,任應用經理;2010 年 2 月至 2015 年 10 月就職于芬蘭芬蘭PicosunPicosun,任應用總監;2015 年 12 月至 2016 年 1 月就職于先導智能,實際未擔任職務;2015 年 12 月至 2019 年12 月,任微導有限董事;2016 年 2 月至 2019 年 12 月,任微導有限首席技術官;2019 年 12 月至今,任公司首席技術官并歷任公司董事、副董事長。12022 年 6 月被美國應用材料公司收購。敬請參閱最后一頁特別聲明-9-證券研究報告 微導納米(微導納米(688147.SH688147.SH)LI XIANG 董事、副總經理 新加坡

38、博士 畢業于新加坡南洋理工大學電氣與電子工程專業。2010 年 1 月至 2012 年 6 月,就職于新加坡科學技術研究院微電新加坡科學技術研究院微電子研究所子研究所,任研發科學家;2012 年 7 月至 2015 年 2 月,就職于 PicosunPicosun AsiaAsia Pte.Ltd.Pte.Ltd.,任董事總經理;2015 年 3月至 2015 年 10 月,就職于新加坡格羅方德半導體新加坡格羅方德半導體股份有限公司,任主任工程師;2015 年 12 月至 2016 年 1 月,就職于先導智能,實際未履行職務;2015 年 12 月至 2019 年 12 月,任微導有限董事;2

39、016 年 2 月至 2019 年 12 月,任微導有限應用總監、ALD 事業部副總經理、研發部副總經理、聯席首席技術官;2019 年 12 月至今,任公司董事、副總經理。資料來源:公司招股說明書,公司 2022 年年報,wind,光大證券研究所整理 表表 2 2:微導納米重要高管和核心技術人員一覽:微導納米重要高管和核心技術人員一覽 姓名姓名 職務職務 國籍國籍 學歷學歷 背景背景 ZHOU REN 總經理 美國 碩士 畢業于美國丹佛大學計算機科學專業。1989 年 7 月至 1994 年 4 月,擔任美國 AG Associates 軟件資深工程師;1994年 5 月至 1996 年 4

40、月,擔任美國 Novellus System 軟件主任工程師;1996 年 5 月至 1997 年 8 月,擔任美國 CVC Inc系統控制部經理;1997 年 9 月至 2006 年 5 月,擔任美國 LamLam 工程資深總監工程資深總監并歷任資深軟件經理,軟件總監;2006年 6 月至 2010 年 8 月,擔任中微半導體設備執行總監中微半導體設備執行總監并歷任資深總監;2010 年 9 月至 2012 年 3 月,擔任美國 KLAKLA TencorTencor 工程資深總監工程資深總監;2012 年 4 月至 2014 年 8 月,光達光電設備科技(嘉興)有限公司工程副總經理;201

41、4 年 9月至 2020 年 7 月,歷任拓荊科技工程副總經理、顧問拓荊科技工程副總經理、顧問;2020 年 8 月至 2021 年 6 月,歷任公司半導體事業部副總經理、首席運營長;2021 年 7 月至今,擔任公司總經理。胡彬 副總經理 中國 本科 畢業于東南大學機械設計制造及其自動化專業。2005 年 7 月至 2006 年 6 月就職于蘇州富士膠片映像機器有限公司,任技術部工裝工程師;2006 年 6 月至 2007 年 6 月,就職于華進科技(江蘇)有限公司,任制程工程師;2007 年 6月至 2009 年 2 月,就職于鐵姆肯(無錫)軸承有限公司,任熱處理部工裝工程師;2009 年

42、 2 月至 2009 年 11 月,就職于南京圣本科技有限公司,任研發部主管;2009 年 12 月至 2011 年 11 月,歷任無錫先導自動化設備有限公司機械工程師、機械研發部副經理;2011 年 12 月至 2018 年 2 月,任先導智能副總經理;2018 年 7 月至 2019 年 12月,就職于微導,任常務副總經理;2019 年 12 月至 2021 年 6 月,任公司總經理;2021 年 7 月至今,任公司副總經理、光伏事業部總經理。許所昌 研發主管、研發經理、工藝副總監 中國 博士 畢業于中國科學院大連化學物理研究所物理化學專業。2016 年 6 月至 2018 年 9 月,就

43、職于中芯國際集成電路制造中芯國際集成電路制造(上海)有限公司(上海)有限公司,任研發工程師;2018 年 10 月至 2019 年 12 月,任微導有限研發主管;2019 年 12 月至今,歷任公司研發主管、研發經理、工藝副總監。吳興華 光伏事業部副總經理 中國 臺灣 碩士 畢業于中山大學物理專業 2007 年 12 月至 2012 年 2 月,就職于中國臺灣工業技術研究院,任工程師;2012 年 3 月至 2016 年 7 月,就職于昱晶能源科技股份有限公司,任副經理;2016 年 9 月至 2019 年 12 月,就職于泰州中來光電科技有限公司,任研發經理、生產廠長;2019 年 12 月

44、至今,任公司光伏事業部副總經理。資料來源:公司招股說明書,公司 2022 年年報,wind,光大證券研究所整理 1.21.2、深耕薄膜沉積,深耕薄膜沉積,ALDALD 應用應用領域領域不斷取得突破不斷取得突破 目前,公司以 ALD 技術為核心,已開發出適用于半導體、光伏、柔性電子三大領域的薄膜沉積設備,未來將加大縱深拓展光學、生物醫藥、燃料電池等領域。圖圖 3 3:原子層沉積(:原子層沉積(ALDALD)的多個產業化應用)的多個產業化應用 資料來源:2022 年 12 月微導納米 IPO 推介會材料,光大證券研究所 敬請參閱最后一頁特別聲明-10-證券研究報告 微導納米(微導納米(688147

45、.SH688147.SH)半導體領域半導體領域 半導體領域公司目前以半導體領域公司目前以 TALDTALD(Thermal ALDThermal ALD,熱原子層沉積,熱原子層沉積)設備為主,通過)設備為主,通過沉積不同類型的薄膜而適用于不同細分領域。沉積不同類型的薄膜而適用于不同細分領域。公司 iTomic 系列原子層沉積鍍膜系統因制備的 High k 材料 HfO2可以較好滿足 28nm 邏輯器件的柵氧層工藝,目前已在量產線實現產業化應用。公司是國內首家成功將量產型 High k 原子層沉積設備應用于 28nm 節點集成電路制造前道生產線的國產設備公司,設備總體表現與工藝關鍵性能參數達到國

46、際同類水平,并已獲得重復訂單。目前公司目前公司ALDALD 設備涵蓋了邏輯、存儲、化合物半導體、新型顯示等諸多細分應用領域設備涵蓋了邏輯、存儲、化合物半導體、新型顯示等諸多細分應用領域。未來,公司將以未來,公司將以 ALDALD 技術為核心,在技術為核心,在 ALDALD 半導體應用領域樹立口碑,再不斷向半導體應用領域樹立口碑,再不斷向PEALDPEALD、CVDCVD 等市場應用規模更大的設備拓展產品矩陣。等市場應用規模更大的設備拓展產品矩陣。表表 3 3:公司半導體領域設備以:公司半導體領域設備以 TALDTALD 為主為主 產品系列產品系列 圖示圖示 產產品說明品說明 設備類型設備類型

47、鍍膜工藝鍍膜工藝 應用領域應用領域 產業化階段產業化階段 iTomic 系列原子層沉積鍍膜系統 主要用于單片型 12 寸及 8 寸晶圓生產中氧化物、氮化物及金屬鍍膜工藝 TALD HfOHfO2 2工藝工藝 邏輯芯片邏輯芯片高高 k k 柵介質層柵介質層 產業化應用產業化應用 HfO2工藝 存儲芯片高 k 柵電容介質層(單元和多元摻雜介質層)產業化驗證 ZrO2工藝 La2O3工藝 TiO2工藝 邏輯芯片高 k 柵覆蓋層 產業化驗證 iTomic Lite 系列輕型原子層沉積鍍膜系統?采用原創設計開發的自動化平臺與模塊化 ALD 反應腔相結合,可以按需配置 PEALD 或 Thermal AL

48、D 等工藝需求。iTomic Lite 系列設備具有強大的兼容性,其硬件配置在保持量產機型強大功能的前提下,可滿足各類晶圓尺寸(6、8 英寸)量產工藝需求,同時也可滿足客戶高端研發和新工藝試量產需求。iTomic Lite 系列可廣 泛應用于 MEMS、光電器件等泛半導體器件領域。TALD TiN 工藝 半導體量子器件超導材料導電層 產業化驗證 Al2O3和 AlN 工藝 第三代化合物半導體鈍化層和過渡層 產業化驗證 PEALD Al2O3和 AlN 工藝 第三代化合物半導體鈍化層和過渡層 產業化驗證 iTomic MW 系列批量式原子層沉積鍍膜系統 采用創新的批量型(mini-batch)腔

49、體設計,可一次處理 25 片 12 英寸晶圓,適用于成膜鍍率低,厚度要求高,以及產能要求高的關鍵工藝及應用。產品利用特有的流場設計,具有成膜速度快,占地面積小,產能高、使用成本低等優勢,為存儲芯片以及 Micro-OLED 顯示器、MEMS 等提供定制化量產的解決方案。TALD Al2O3和 TiO2工藝 硅基微型顯示芯片阻水阻氧保護層 產業化驗證 iTomic PE 系列等離子體增強原子層沉積鍍膜系統 可根據不同溫度要求制備氧化硅、氮化硅、氮氧化硅等薄膜制備工藝及應用,通過精準快速控制成膜速度、超低反應溫度、材料配比等技術,完美實現材料厚度PEALD 多種氧化物、氮化物、摻雜、多重曝光等 產

50、業化驗證 敬請參閱最后一頁特別聲明-11-證券研究報告 微導納米(微導納米(688147.SH688147.SH)均勻性、膜應力,熱過程,以及階梯覆蓋率等極具挑戰的工藝需求,技術達到國際先進水平。iTomic PE 系列設 備可為邏輯芯片、存儲芯片、先進封裝 等提供客制化掩膜層、介質層、圖案化等關鍵工藝解決方案 iTronix 系列 CVD 系統 iTronix 系列 CVD 系統是公司根據下游客戶需求,獨立開發或合作開發的多款 CVD 產品系列,應用于 CVD 技術不同鍍膜領域,適用于制備氧化硅、氮化 硅、氮氧化硅、非晶碳、非晶硅、摻雜非晶硅、鍺硅等不同種類薄膜,可應用于邏輯、存儲、先進封裝

51、、顯示器件以 及化合物半導體等領域芯片制造 氧化硅、氮化 硅、氮氧化硅、非晶碳、非晶硅、摻雜 非晶硅、鍺硅 產業化驗證 Trancendor 晶圓真空傳輸系統 用于半導體先進制程的晶圓真空傳輸系統,該平臺系統可有效避免晶圓表面微塵,可實現高產能下的穩定性。該系統可根據客戶工藝需要,靈活掛載一至多個工藝腔體(每個工藝腔體可配備一至多個工作站)在真空環境下進行快速高效晶圓傳輸。真空傳輸系統-半導體設備晶圓傳輸平臺系統 產業化應用 資料來源:公司招股說明書,公司 2022 年年報,光大證券研究所整理 光伏光伏領域領域 公司在光伏領域的產品包括公司在光伏領域的產品包括 ALDALD、PECVDPECV

52、D、PEALDPEALD 二合一設備和擴散爐系統二合一設備和擴散爐系統,可與先導智能可與先導智能自主研發的自主研發的清洗制絨、堿拋刻蝕、多晶硅清洗、絲印整線、測試分清洗制絨、堿拋刻蝕、多晶硅清洗、絲印整線、測試分選、及整線自動化上下料設備選、及整線自動化上下料設備形成形成 TOPConTOPCon 整線供應。整線供應??涓?KF 系列批量型 TALD 設備的 Al2O3工藝可用于制備 PERC 電池背面鈍化層、TOPCon 電池正面鈍化層,探索開發應用于 HJT、鈣鈦礦??涓?KF-P 系列 PECVD 設備的 SiNX 工藝可用于制備 PERC 與 TOPCon 電池減反層,并探索開發應用于

53、 XBC。祝融 ZR 系列 PEALD 二合一(PEALD+PECVD)集成 PEALD 與 PECVD 技術,同一臺設備可完成電池 Al2O3膜和 SiNx 膜,以及 TOPCon 電池超薄 SiOx 隧穿層和摻雜多晶硅薄膜的制備,公司目前也在開發 a-Si/Si:B/Si:P 等非晶硅摻雜的應用。羲和 XH 系統可用于 PERC、TOPCon 中磷擴、硼擴、氧化和退火工藝。后羿(HY)系列 ALD/PEALD/PECVD 薄膜沉積系統可用鈣鈦礦/異質結疊層電池的非晶/微晶硅基參雜薄膜、阻水阻氣保護層沉積等。公司自 2016 年應客戶需求首創將 ALD 設備引進光伏領域,產品歷經幾代迭代,實

54、現產業化、規?;c標準化,在同類型產品中市占率穩居全球第一梯隊。公司公司也將不斷研發,強化技術應用開拓,增加也將不斷研發,強化技術應用開拓,增加 ALDALD 設備、設備、PEALDPEALD 二合一機臺在光伏二合一機臺在光伏 敬請參閱最后一頁特別聲明-12-證券研究報告 微導納米(微導納米(688147.SH688147.SH)電池片各類薄膜沉積中的應用,以及適用于各類不斷迭代的高效太陽能電池片,電池片各類薄膜沉積中的應用,以及適用于各類不斷迭代的高效太陽能電池片,如如 H HJ JT T,鈣,鈣鈦礦等。鈦礦等。表表 4 4:公司光伏行業主要產品:公司光伏行業主要產品 產品系列產品系列 圖示

55、圖示 產品說明產品說明 設備類型設備類型 鍍膜工藝鍍膜工藝 應用領域應用領域 產業化階段產業化階段 夸父(KF)系列原子層沉積(ALD)系統 運用 ALD 技術,對晶硅太陽能電池表面 Al2O3鈍化膜進行批量制備 TALD Al2O3工藝 PERC 電池背面鈍化層、TOPCon 電池正面鈍化層;探索開發 HJT、鈣鈦礦 產業化應用 夸父(KF)管式PECVD 系統 運用 PECVD 技術,對晶硅太陽能電池表面 SiNx 薄膜進行批量制備 PECVD SiNX 工藝 PERC 電池減反層 產業化應用 PECVD SiNX 工藝 TOPCon電池背面減反層;探索開發 XBC 產業化應用 祝融(ZR

56、)管式PEALD 系統 集成 PEALD 與 PECVD 技術,同一臺設備可完成電池 Al2O3膜和 SiNx 膜,以及 TOPCon 電池超薄SiOx隧穿層和摻雜多晶硅薄膜的制備 PEALD 和 PECVD Al2O3和 SiNX二合一工藝 PERC 電池背面鈍化層、減反層 產業化應用 PEALD 和 PECVD Al2O3和 SiNX二 合一工藝 TOPCon電池正面鈍化層、減反層 產業化應用 PEALD 和 PECVD 隧穿層和摻雜多晶硅層二合一工藝 TOPCon電池隧穿層、摻雜多晶硅層;探索開發a-Si/Si:B/Si:P 產業化應用 羲和(XH)低壓擴散爐系統 采用超高溫熱場控制技術

57、,可實現磷擴、硼擴、氧化和退火工藝,為TOPCon 電池生產線設備 爐管設備 非晶硅晶化及摻雜、擴散 TOPCon 電池擴散、退火 產業化應用 后羿(HY)系列 ALD/PEALD/PECVD 薄膜沉積系統 專用于鈣鈦礦/異質結疊層電池等新興太陽能電 池的非晶/微晶、摻雜層、阻水阻氧、致密保護 層等薄膜材料。以工業產線模塊化為核心設計思路,為客戶提供高薄膜質量、高產能、高可 靠性、低制備成本、低投資成本的先進設備解決方案。ALD/PEALD/PECVD 非晶/微晶硅基參雜薄膜、阻水阻氣保護層等 鈣鈦礦/異質結疊層電池 開發實現 資料來源:公司招股說明書,光大證券研究所整理 表表 5 5:公司產

58、品價格與銷量:公司產品價格與銷量 應用領域應用領域 類型類型 項目項目 20222022H H1 1 20212021 年年 20202020 年年 20192019 年年 光伏領域設備 ALD 設備 銷量(臺)11 22 59 38 2018 年銷售主要類型:KF4000、KF5000;2019 年銷售主要類型:KF6000、KF6500;2020 年:考慮批量成本與定價策略,均價下降;2021 年:增加高產能設備 KF10000S 的銷售 銷售均價(萬元/臺)593.16 618.95 507.06 531.44 PECVD 設備 銷量(臺)8 19-2018 年 6 月立項研發,2020

59、 年 1-5 月,陸續完成首批 PECVD的生產與發貨,2021 年 5 月或驗收 銷售均價(萬元/臺)373.89 374.9-PEALD 二合一平臺設備 銷量(臺)12 17-公司 PEALD 二合一平臺設備系新開發設備,第一代 ZR40002設備于 2019 年形成生產樣機陸續發往客戶現場試用,在 2020年通過技術改進推出了新型號的 ZR50002 設備,首批設備已于 2021 年取得客戶驗收。2018-2021 年合計發貨 71 臺,其中 29 臺已完成驗收,29 臺在客戶現場驗收推進中,2 臺已贈送客戶,其余 11 臺為試用設備。2023 年 1 月 18 日公告,公司擬向彭山通威

60、銷售 ALD 鈍化設備及 PE-Poly 設備,合同金額 4.5 億。銷售均價(萬元/臺)398.23 399.27-半導體領域設 ALD 設備 銷量(套)-1-2018 年啟動半導體 ALD 產業化進程,2019 年初完成樣機搭建,敬請參閱最后一頁特別聲明-13-證券研究報告 微導納米(微導納米(688147.SH688147.SH)備 銷售均價(萬元/套)-2,520.00-2020 年初開發新機型并著手建立產業化中心,2021 年,公司共銷售了 1 臺半導體 ALD 設備,銷售單價為 2520 萬元(應用于高介電常數(High-k)材料 HfO2薄膜沉積)。真空傳輸系統 銷量(臺)1-銷

61、售均價(萬元/臺)475-資料來源:公司招股說明書,公司公告,光大證券研究所整理注:公司產品均價根據當期確認收入的主機臺數量測算 表表 6 6:2 2018018-20222022H H1 1 公司前五大客戶公司前五大客戶 2 2018018 2 2019019 2 2020020 2 2021021 20222022H H1 1 客戶 銷售額 銷售占比 客戶 銷售額 銷售占比 客戶 銷售額 銷售占比 客戶 銷售額 銷售占比 客戶 銷售額 銷售占比 第一大客戶 泰州中來光電 0.28 68%華融金融租賃 0.28 13%通威太陽能(成都)0.77 25%通威太陽能(成都)0.81 19%江蘇龍

62、恒新能源 0.78 50.04%第二大客戶 江蘇順風新能源 0.06 14%江蘇順風新能源 0.27 13%通威太陽能(安徽)0.68 22%江蘇龍恒新能源 0.78 18%阿特斯 0.41 26.54%第三大客戶 蘇州騰暉光伏 0.06 14%陽光中科(福建)0.22 10%華融金融租賃 0.51 16%平煤隆基新能源 0.61 14%順風太陽能 0.12 7.79%第四大客戶 通威太陽能(成都)0.01 3%通威太陽能(成都)0.15 7%晶澳 0.42 13%陽光中科(福建)0.39 9%浙江愛旭 0.062 3.98%第五大客戶 徐州谷陽新能源 0.01 2%徐州谷陽新能源 0.14

63、6%天合光能 0.28 9%通威太陽能(安徽)0.38 9%商洛比亞迪 0.061 3.93%合計合計 0 0.42.42 1 10000%1 1.07.07 4 49 9%2 2.67.67 8 85 5%2 2.97.97 7 70%0%1 1.448.448 9 92 2%資料來源:公司招股說明書,公司公告,光大證券研究所整理 注:單位 億元;2019 年和 2020 年公司通過華融金融租賃股份有限公司向通威太陽能銷售設備金額分別為 2,837.61 萬元和 5,107.69 萬元;標紅色底的為銷售標的為PEALD二合一平臺設備、PECVD設備。1.31.3、在手訂單充足保障業績高增長在

64、手訂單充足保障業績高增長 受益受益于于光伏電池片技術迭代,公司新設備導入順利,光伏電池片技術迭代,公司新設備導入順利,營收營收實現高增長。實現高增長。公司營業收入自 2018 年 0.42 億元增長至 2022 年 6.85 億元,年均復合增速為 101%,2022年公司實現營業收入 6.85億元,同比增長60%。公司一代量產機型KF4000于 2017 年初開始工藝驗證,于 2017 年中開始試量產,2018 年中,KF6000 成功在通威完成量產驗證,同年該設備量產爬坡,開始逐步推廣到其他頭部電池企業中。受益于國內光伏電池片技術處于受益于國內光伏電池片技術處于 P PERCERC 取代取代

65、 BSFBSF,TOPConTOPCon 取代取代 PERCPERC兩大迭代期,公司設備下游客戶拓展較為順利,率先在光伏領域實現收入高速增兩大迭代期,公司設備下游客戶拓展較為順利,率先在光伏領域實現收入高速增長。長。歸母凈利潤歸母凈利潤增速低于營業收入增速增速低于營業收入增速。2022 年公司實現歸母凈利潤 0.54 億元,同比增幅僅 17%,銷售凈利率為 7.91%,同比下滑 2.87pct,2023 年一季度歸母凈利潤虧損,凈利率為-1.44%。2023 年一季度的虧損主要系 2022 年上半年我國新冠疫情多點散發,公司客戶及全國光伏重鎮長三角地區受疫情影響較大,公司設備發貨、客戶現場安裝

66、調試等工作受阻,收入確認節奏放緩,同時公司擴充管理、銷售及售后支持人員數量,期間費用較高,導致歸母凈利潤虧損。此外,新產品驗收周期較長,收入確認與銷售費用周期存在較為嚴重的不一致。2022 年凈利率下滑主要系公司新產品率先在成熟光伏電池片領域推廣,為適應成熟市場現有定價水平,利潤率偏低。例如公司為配套 ALD 設備推出的管式 PECVD、管式 PEALD 設備首先在 PERC 領域推廣,由于市場已有成熟的競爭方案,參考市場水平定價,可獲得的利潤率相對較低。此外,公司抓緊半導體設備國產化窗口期,加大半導體研發投入,研發費用增長較快。截至 2022 年末,正在進行的研發項目為 13 個,2022

67、年,公司研發費用為 1.38 億元,同比增長 42.62%。敬請參閱最后一頁特別聲明-14-證券研究報告 微導納米(微導納米(688147.SH688147.SH)圖圖 4 4:20201818-2022022 2 年公司營收年公司營收年均年均復合增長率復合增長率 101101%圖圖 5 5:20172017-2023Q12023Q1 公司公司歸母凈利潤歸母凈利潤 資料來源:公司招股說明書,wind,光大證券研究所整理 注:公司未披露 2017 年營業收入 資料來源:公司招股說明書,wind,光大證券研究所整理 圖圖 6 6:公司毛利率與凈利率:公司毛利率與凈利率 圖圖 7 7:公司各項期間費

68、用率:公司各項期間費用率(%)資料來源:公司招股說明書,wind,光大證券研究所整理 資料來源:公司招股說明書,wind,光大證券研究所整理 合同負債與存貨增速快,在手訂單充足保障業績高增長。合同負債與存貨增速快,在手訂單充足保障業績高增長。2022 年末,公司合同負債和存貨分別達到 6.25 億元和 9.75 億元,分別同比增長 400%、142%,其中,發出商品 5.46 億元。2023Q1 末,公司合同負債與存貨已分別增長至 9.48億元、14.48 億元。2022 年末,公司專用設備在手訂單 22.93 億元,較 2021 年末所有在手訂單增加 14.15 億元,同比增幅超過 160%

69、。圖圖 8 8:2022023 3 年年 Q1Q1 末公司合同負債末公司合同負債 9.489.48 億元,存貨億元,存貨 14.4814.48 億元億元 圖圖 9 9:2022023 3 年年 4 4 月末,公司在手訂單月末,公司在手訂單近近 4545 億元億元 0.182.132.241.561.256.259.480.522.332.743.434.039.7514.48-100%0%100%200%300%400%500%0246810121416201720182019202020212022 2023Q1合同負債/億元存貨合同負債yoy/億元存貨yoy 資料來源:公司招股說明書,光大

70、證券研究所整理 資料來源:公司招股說明書,公司 2022 年年報,光大證券研究所整理 注:2022 年數據為專用設備數據,因其他業務訂單小,可作為簡單估算,20230425 數據為光大證券根據23Q1 公司營業收入與 2023 年 1 月初至 20230425 期間所新增訂單測算 敬請參閱最后一頁特別聲明-15-證券研究報告 微導納米(微導納米(688147.SH688147.SH)光伏領域在手訂單:光伏領域在手訂單:據公司 IPO 推介會介紹,截至 2022 年 9 月末,近一半來自TOPCon,近 1/3 來自 XBC,近 1/6 來自 PERC,并已有 ALD 設備出貨至德國鈣鈦礦產線。

71、2022 年末,公司光伏設備在手訂單已達 19.67 億元,2023 年一月初至 2023 年 4 月 25 日新增 20.16 億元。半導體在手訂單:半導體在手訂單:據公司 IPO 推介會介紹,公司 2021 年 9 月在半導體產線上完成驗證,截至 2022 年 9 月末獲得訂單 1.5 億元,近一半來自新型存儲,先進邏輯、新型顯示和化合物半導體大約各占 17%左右。2022 年末,公司半導體設備在手訂單已達 2.57 億元,2023 年一月初至 2023 年 4 月 25 日新增 2.42 億元。圖圖 1010:截至截至 2022093020220930 光伏工藝設備在手訂單結構光伏工藝設

72、備在手訂單結構 圖圖 1111:截至截至 2022093020220930 半導體工藝在手訂單結構半導體工藝在手訂單結構 資料來源:微導納米 IPO 推介會路演資料,光大證券研究所 資料來源:微導納米 IPO 推介會路演資料,光大證券研究所 1.41.4、募投項目有序推進,募投項目有序推進,新擴新擴產能保障訂單交付產能保障訂單交付 募投加大投入,提高產能,滿足下游需求。募投加大投入,提高產能,滿足下游需求。根據公司公告,公司 IPO 實際募集資金接近 10 億元,將投入基于原子層沉積技術的光伏及柔性電子設備擴產升級項目、基于原子層沉積技術的半導體配套設備擴產升級項目、集成電路高端裝備產業化應用

73、中心項目及補充流動資金。此舉有助于公司擴大產能,提高盈利能力,提升薄膜沉積設備市場占有率,促進半導體裝備國產化。募投項目達產后預計為公司帶來年均 19.88 億元的收入,年均貢獻 2.72 億元凈利潤。表表 7 7:公司募投項目情況:公司募投項目情況 項目名稱項目名稱 項目項目 總投資額總投資額 募集資金募集資金 投資額投資額 建設期建設期 投產后產能投產后產能 達產達產 年營業收入年營業收入 達產達產 年凈利潤年凈利潤 基于原子層沉積技術的光伏及柔性電子設備擴產升級項目 2.64 2.50 2 年 年產 120 臺適用于光伏、柔性電子的 ALD設備 7.88 0.83 基于原子層沉積技術的半

74、導體配套設備擴產升級項目 6.33 5.00 3 年 新增年產 40 套 ALD 設備 12.00 1.89 集成電路高端裝備產業化應用中心項目 1.18 1.00 2 年 推動基于 ALD 技術的集成電路高端制造裝備產業化應用-補充流動資金 1.50 1.50 -合計合計 11.6511.65 10.0010.00 -19.8819.88 2.722.72 資料來源:公司招股說明書,公司公告,光大證券研究所整理 注:單位為億元 敬請參閱最后一頁特別聲明-16-證券研究報告 微導納米(微導納米(688147.SH688147.SH)2 2、ALDALD 技術應用空間廣闊,半導體、光伏技術應用空

75、間廣闊,半導體、光伏孕育孕育新機遇新機遇 2.12.1、ALDALD 技術可精準鍍膜,技術延展性強應用領域廣泛技術可精準鍍膜,技術延展性強應用領域廣泛 2.1.12.1.1、ALDALD 技術具有自限制特性,可精準控制薄膜厚技術具有自限制特性,可精準控制薄膜厚度度 目前,薄膜沉積有三大工藝路徑。目前,薄膜沉積有三大工藝路徑。按工藝原理的不同可分為物理氣相沉積(PVD)、化學氣相沉積(CVD)和原子層沉積(ALD);按設備形態的不同可分為批量式(管式)和空間型(板式)兩種技術路線。從成膜效果上看,相比 ALD 技術,PVD 技術生長機理簡單,沉積速率高,但一般只適用于平面的膜層制備;CVD技術的

76、重復性和臺階覆蓋性比 PVD 略好,但是工藝過程中影響因素較多,成膜的均勻性較差,并且難以精確控制薄膜厚度。圖圖 1212:薄膜沉積工藝:薄膜沉積工藝 先進薄膜沉積設備ALDPVDCVD低壓型(LPCVD)常壓型(APCVD)金屬有機化合物型(MOCVD)等離子體增強型(PECVD)等離子體濺射沉積熱蒸發沉積熱原子層沉積(TALD)等離子體增強原子層沉積(PEALD)空間原子層沉積(SALD)電化學原子層沉積(ECALD)大氣壓原子層沉積(AP-ALD)流床式原子層沉積 資料來源:公司招股說明書,光大證券研究所整理 表表 8 8:PVDPVD、CVDCVD 與與 ALDALD 對比對比 項目項

77、目 PVDPVD CVDCVD ALDALD 優勢與劣勢(1)沉積速率較快;(2)薄膜厚度較厚,對于納米級的膜厚精度控制差;(3)鍍膜具有單一方向性;(4)厚度均勻性差;(5)階梯覆蓋率差。(1)沉積速率一般(微米/分鐘);(2)中等的薄膜厚度(依賴于反應循環次數);(3)鍍膜具有單一方向性;(4)階梯覆蓋率一般。(1)沉積速率較慢(納米/分鐘);(2)原子層級的薄膜厚度;(3)大面積薄膜厚度均勻好;(4)階梯覆蓋率最好;(5)薄膜致密無針孔。主要應用領域(1)HJT 光伏電池透明電極;(2)柔性電子金屬化、觸碰面板透明電極;(3)半導體金屬化。(1)PERC 電池背面鈍化層、PERC 電池減

78、反層;(2)TOPCon 電池接觸鈍化層、減反層;(3)HJT 電池接觸鈍化層;(4)柔性電子介質層、柔性電子封裝層;(5)半導體介質層(低介電常數)、半導體封裝層(1)PERC 電池背面鈍化層;(2)TOPCon 電池隧穿層、接觸鈍化層、減反層;(3)柔性電子介質層、柔性電子封裝層;(4)半導體高 k 介質層、金屬柵極、金屬互聯阻擋層、多重曝光技術 技術差異 在真空條件下采用物理方法將材料源(固體或液體)表面氣化成氣態原子或分子,或部分電離成離子,并通過低壓氣體(或等離子體)過程,在基體表面沉積具有某種特殊功能的薄膜的技術。PVD 鍍膜技術主要分為三類:真空蒸發鍍膜、真空濺射鍍膜和真空離子鍍

79、膜。通過化學反應的方式,利用加熱、等離子或光輻射等各種能源,在反應器內使氣態或蒸汽狀態的化學物質在氣相或氣固界面上經化學反應形成固態沉積物的技術,是一種通過氣體混合的化學反應在基體表面沉積薄膜的工藝,可應用于絕緣薄膜、硬掩模層以及金屬膜層的沉積。一種特殊的真空薄膜沉積方法,通過 ALD 鍍膜設備可以將物質以單原子層的形式一層一層沉積在基底表面,每鍍膜一次/層為一個原子層,根據原子特性,鍍膜 10 次/層約為 1nm。技術對比(1)反應過程:PVD 為物理過程;CVD、ALD 為化學過程,兩者差異:在 CVD 工藝過程中,化學蒸氣不斷地通入真空室內,而在 ALD 工藝過程中,不同的反應物(前驅體

80、)是以氣體脈沖的形式交替送入反應室中的,使得在基底表面以單個原子層為單位一層一層地實現鍍膜;(2)成膜效果:相比 ALD 技術,PVD 為常見的原始沉積技術,生長機理簡單,沉積速率高,但薄膜覆蓋率比較差,但一般只適用于平面的膜層制備;CVD 技術的重復性和臺階覆蓋性比 PVD 略好,但是工藝過程中影響因素較多,成膜的均勻性較差,并且難以精確控制薄膜厚度。敬請參閱最后一頁特別聲明-17-證券研究報告 微導納米(微導納米(688147.SH688147.SH)資料來源:公司招股說明書,光大證券研究所整理,Technology Backgrounder:Atomic LayerDeposition,

81、IC Knowledge LLC,24 April 06.原子層沉積原子層沉積(Atomic Layer Deposition)(Atomic Layer Deposition)是一種改良化學氣相沉是一種改良化學氣相沉積技術積技術,該,該技術技術發展主要有三個階段發展主要有三個階段:(1)早期早期萌芽階段萌芽階段:1975 年芬蘭科學家 Suntola T 和 Antson MJ 正式申請 ALD專利。早期該技術主要應用于制備 II-VI 族多晶化合物和非晶氧化物薄膜。20 世紀 80 年代中后期,采用 ALD 技術生長 II-VI 族和 III-V 族單晶化合物以及制備有序異質超晶格受到了廣

82、泛關注,但由于表面化學反應復雜,在這一領域并沒有取得實質性的突破。(2)穩步發展階段穩步發展階段:1983 年,第一個 ALD 商業化應用赫爾辛基機場電致發光顯示屏出現。1989 年,使用 TMA 和 H2O 前驅體沉積出 Al2O3薄膜,此工藝成為后來研究 ALD 生長原理和表面化學反應最理想的體系。(3)高速發展高速發展階段階段:20 世紀 90 年代中后期,隨著集成器件進一步微型化,結構進一步復雜化,深寬比越來越大,從最開始簡單的 1:1 結構到 3:1 結構,再到超過 100:1 結構,相比其他傳統薄膜制備技術,ALD 技術的優勢逐漸體現,此后 ALD 技術迅速成長。2001 年 5

83、月,美國真空協會在加州蒙特雷舉辦第一屆ALD 國際會議。2001 年,國際半導體行業協會將 ALD 與 MOCVD、PECVD 并列作為與微電子工藝兼容的候選技術。2007 年,Intel 公司在半導體工業 45nm 技術節點上推出酷睿微處理器,里面引入 ALD 沉積的高 k 柵介質和金屬柵,此后ALD 逐步拓展到微電子、太陽能電池等應用領域。2020 年 ALD 技術可通過大部分元素、千余種工藝進行操作。圖圖 1313:ALDALD 技術發展歷史技術發展歷史 資料來源:李愛東,原子層沉積技術原理及其應用,微導納米官網,Semicon China,光大證券研究所整理 ALD 技術是一種在可控速

84、率下通過將氣相前驅體脈沖交替地通入反應室并在沉積基底上發生自限性表面飽和化學反應形成薄膜,其具備優秀的保形性、均勻性 敬請參閱最后一頁特別聲明-18-證券研究報告 微導納米(微導納米(688147.SH688147.SH)與臺階覆蓋率,在當前國際上普遍應用于制備 Al2O3 薄膜,并被推廣到微電子元器件、電致發光器件、光波導器件、抗腐蝕涂層等多領域。有別于傳統的化學氣相沉積 CVD,ALD 的獨特之處在于將氣相前驅體脈沖交替地通入反應室,即由前驅體在基材表面發生飽和化學吸附飽和化學吸附及自限性化學反應自限性化學反應,將原子一層層堆疊起來。其工藝優勢來自其工藝優勢來自 ALDALD 的兩個自限制

85、半反應,以的兩個自限制半反應,以 A Al l2 2O O3 3 薄膜制備為例子薄膜制備為例子2 2:熱 ALD 的兩個半反應:等離子體 ALD 的兩個半反應:自限性自限性指的是當前驅體已經與所有可用的點位發生反應后,反應即停止,Al2O3 薄膜制備制備中,過量的前驅體 TMA(三甲基鋁)并不會導致沉積厚度增厚,無需控制前驅體通入量的均勻性,可以通過控制循環次數實現精準的膜厚控制,從而實現保形性、均勻性與臺階覆蓋率。單個原子層沉積循環:單個原子層沉積循環:TMA 蒸氣脈沖進入反應室,與吸附在襯底或膜表面羥基團發生化學吸附反應。清洗氣體(通常為惰性氣體,高純氮氣或氬氣)將多余的 TMA 蒸氣和反

86、應副產物甲烷帶出反應室。水蒸氣脈沖進入反應室和 TMA 前驅體吸附的表面繼續進行表面化學反應,生成羥基與甲烷。清洗氣體把多余的水蒸氣和反應副產物甲烷帶出反應室。循環上述步驟,形成所需厚度的 Al2O3薄膜。圖圖 1414:原子層沉積技術原理示意圖:原子層沉積技術原理示意圖 圖圖 1515:TALDTALD 與與 PEALDPEALD 工藝的單循環原理圖工藝的單循環原理圖 資料來源:公司招股說明書,光大證券研究所整理 資料來源:張祥,劉邦武,夏洋,等.Al2O3 鈍化及其在晶硅太陽電池中的應用J.物理學報,2012,61(18):444-452.2張祥,劉邦武,夏洋,等.Al2O3 鈍化及其在晶

87、硅太陽電池中的應用J.物理學報,2012,61(18):444-452.敬請參閱最后一頁特別聲明-19-證券研究報告 微導納米(微導納米(688147.SH688147.SH)根據有無使用根據有無使用等離子等離子氣體來促進前驅體反應性,可將氣體來促進前驅體反應性,可將ALDALD分為分為TALTALD D(thermalthermal modemode)與)與PEALDPEALD(plasma modeplasma mode)。)。TALD由前驅體分子間自發性化學反應來完成薄膜沉積,其可沉積的常見薄膜為Al2O3、ZnO、TiO2、ZrO2、HfO2與TiN。等離子體增強ALD常以O2、N2、

88、Ar、NH4或其混合氣體作為前驅體來產生所需要的氫、氧、氮自由基,其不僅可沉積二元金屬氧化物或金屬氮化物,還可沉積單一元素的薄膜。由于PEALD工藝中有高能量電離氣體輔助反應,其工作溫度比較不受限制,還可輔助活化能障高的表面進行反應,或同步進行元素摻雜,應用領域較廣。其薄膜沉積其薄膜沉積質量的重要影響因子為:基板的選擇;基板溫度;反應腔體質量的重要影響因子為:基板的選擇;基板溫度;反應腔體溫度;前驅體的選擇;前驅體的通入量;前驅體通入的間隔時間。溫度;前驅體的選擇;前驅體的通入量;前驅體通入的間隔時間。TALD 與 PEALD 目前屬于互補關系,部分關鍵工藝目前尚未采用熱反應原理制備薄膜,可以

89、采用 PEALD 技術。從 TALD 到 PEALD 的工藝轉換較為復雜,需要在 TALD 流場和溫場基礎上再加上一個電磁場并實現其均勻分布。表表 9 9:T TALDALD 與與 PEALDPEALD 對比對比 TALDTALD PEALDPEALD 沉積薄膜材料特性 Al2O3、ZnO、TiO2、ZrO2、HfO2與 TiN Al2O3、ZnO、TiO2、ZrO2、HfO2與 TiN 等二元金屬氧化物或金屬氮化物,還可沉積單一元素的薄膜 優勢 保形性、均勻性較高 工作溫度比較不受限制,還可輔助活化能障高的表面進行反應,或同步進行元素摻雜,工藝條件選擇廣;沉積速率高 劣勢 前驅體材料受限,沉

90、積速率慢 高深寬比結構的表面臺階覆蓋率受限制。氫氣電離氣體在高深寬比結構中穩定性不足,與結構側面碰撞幾率增加,沉積均勻性不足 資料來源:Raffaella Lo Nigro,Journal of Crystal Growth 539(2020)125624,公司招股說明書,光大證券研究所整理 2.1.22.1.2、前驅體材料多元,前驅體材料多元,ALDALD 技術應用廣泛技術應用廣泛 基于不同前驅體材料,基于不同前驅體材料,ALDALD 技術可以廣泛適用于各種類型的襯底,在半導體、技術可以廣泛適用于各種類型的襯底,在半導體、光電子、光學、能源、納米技術、微機電系統、催化、顯示器、生物、分離膜、

91、光電子、光學、能源、納米技術、微機電系統、催化、顯示器、生物、分離膜、耐腐蝕及密封涂層等領域都有應用前景。耐腐蝕及密封涂層等領域都有應用前景。半導體領域半導體領域的應用主要涉及邏輯器件和存儲器,隨半導體器件結構不斷縮小且更為 3D 立體化,ALD 技術優勢愈加明顯,有望提高在半導體薄膜沉積環節的市占率。光學領域光學領域,ALD 技術在傳統光學薄膜器件領域沉積速率慢,影響了規?;纳虡I應用。但由于 ALD 具有的三維共形沉積和大面積均勻性特點,使其在新型光 學器件,如光子晶體,光學微腔,納米光柵等方面具有獨特的優勢,機遇與挑戰并存。能源領域能源領域,ALD 技術相比 CVD、PVD 有獨特優勢,

92、主要體現在精確控制厚度至亞納米和對三維結構完美包覆的特點,在解決新能源領域應用發展難題、提升電化學器件性能方面大有可為。但成本問題是阻礙 ALD 技術在能源領域產業化的最大障礙。為加快 ALD 工藝進程,從而降低成本,一般采取流化床反應室/旋轉式反應室、批量處理、空間原子層沉積、常壓原子層沉積技術等方法。表表 1010:ALDALD 主要應用領域主要應用領域 主要應用領域主要應用領域 應用介紹應用介紹 微電子領域 邏輯器件中的高 k 柵介電質 Si 基邏輯器件:在三維高 k、金屬柵基 FinFET 結構的制作過程中,原子層沉積技術起到了關鍵作用,高 k 柵介質和納米環柵的沉積都有賴于 ALD

93、在三維復雜表面生產中所表現出的優異共形性、大面積的均勻性和工藝控制的穩定性。Ge 基 MOSFET 器件:ALD 沉積有助于制備高質量 Ge 基 MOS 器件。但方法仍有不足,界面工程和硅集成工藝仍需要持續優化,才能獲得高性能 Ge 基 MOSFET 器件。III-V 族 MOSFET 器件:ALD 方法有界面自清潔效果,適合做界面修飾;此外,還可以通過改變 ALD 沉積納米疊層的工藝參數調節柵介質和半導體之間的能帶補償。碳納米管和二維半導體 FET 器件:ALD 工藝提供了與碳納米管結合良好的具有高電容的超薄柵介質,取得碳納米 敬請參閱最后一頁特別聲明-20-證券研究報告 微導納米(微導納米

94、(688147.SH688147.SH)管晶體管優良性能的一個關鍵。存儲器件 DRAM(動態隨機存儲器):電容器高深寬比增加,介電層和電極層的沉積只有具備優異填隙性和共形性的 ALD 技術才可以勝任。應用主要在以下幾個關鍵層:上電極(TiN)、High-k 介電常數(HfO2,ZrO2,Al2O3)、下電極(TiN)、沉積 Si O2犧牲層。DPT(雙重圖形技術):目前利用 ALD 成為 DPT 無需昂貴成本而改進光刻能力必不可少的手段,DPT 可用于 DRAM的制備和 NAND 閃存的制備。RRAM(阻變存儲器):通過采用等離子體增強 ALD(PEALD)制備的 TiN 電極、ALD 生長的

95、疊層結構或引入 ALD沉積的金屬納米晶,器件組變參數的一致性和重復性明顯改善。FRAM(鐵電隨機存儲器):目前共形沉積技術和蝕刻技術的不足阻礙了其在在未來微縮工藝中的三維集成,研究發現 ALD 制備的 Hf 基氧化物薄膜有望應用于下一代 FRAM。磁記錄存儲材料:隨硬盤的存儲密度高速增長,記錄信息的鐵磁顆粒尺寸不斷縮小,制作工藝更為復雜,ALD 技術可有效解決在形成鐵磁有序點陣過程中高溫退火導致的問題,其他 金屬互連/鈍化層或籽晶層或擴散阻擋層(Cu/WN、W、Ru)光學領域 傳統光學器件 ALD 已成功應用與一系列高質量光學薄膜、復合光學薄膜或納米疊層薄膜的沉積,但缺點在于沉積速率太慢,低效

96、率、高成本阻礙了其在工業規?;a中的應用。新型光學器件 光子晶體:ALD 技術特有的表面自限制反應機制和高填隙率改善了光子晶體的光學性質和可控性,增加了光子晶體在未來光學器件中的應用潛力。表面等離激元:表面等離激元在高靈敏生物檢測、傳感和新型光源等領域有廣泛的應用前景。ALD 沉積的覆蓋層起到了金屬納孔保護層的角色,此外,ALD 在制作各種各樣的納米間隙上有優勢。光學微腔:高性能光學微器件對微腔傳感器材料的表面平整性,共形性和均勻性有較高要求,ALD 在光學微腔的制備、修飾和光學性質調控方面有特殊優勢,是研究中非?;钴S的領域。其他 微米、納米微棱鏡陣列 超高分辨率的菲涅爾環板 能源領域 鋰電

97、子電池 納米材料作為鋰離子電池的電極材料具有更大優勢,主要在于提高了電池循環壽命、具有高充電放電速度、促進一些塊狀材料所不能發生的新反應,而原子層沉積由于其獨特的共形沉積和簡單精確的厚膜控制特點,在納米結構的納米薄膜包裹方面有巨大的優勢。ALD 技術可應用在負極材料、正極材料、電極材料的表面修飾和三維全固態鋰電池的 ALD 制備中 太陽能電池 納米結構光電極 電極表面修飾鈍化 通過量子點或金屬納米顆粒對電極表面進行敏化 對染料敏化太陽能電池和薄膜太陽能電池進行能帶調控。其他 超級電容器 燃料電池 光電化學分解水 催化 氧化物催化劑 例如 MnOx,Nb2O5,NiO,CoOx,ZnO,TiO2

98、 金屬催化劑 例如 Pt,Ir,Pd,Pt-Ir,Ru-Pt,Pt-Pd 光催化劑 例如 TiO2,CdS/TiO2,WO3 微機電系統 防磨損、防黏附、可潤滑的涂層 Al2O3/TiN 磁頭工業 非平面沉積絕緣間隔層 Al2O3 傳感器 氣體傳感器 SnO2,PtSnO2,ZnO 納米管 濕度傳感器 Ta2O5多孔硅 生物傳感器 Pt-IrAAO 納米結構與圖案 模板輔助的納米結構 TiO2納米管、單晶 Zn Al2O4納米管、Al2O3/Ru 納米通道,WN 反蛋白石 催化輔助的納米結構 GaN 納米線,ZnSe/CdSe 超晶格納米線 區域選擇 ALD 制備納米圖案 Al2O3,TiO2

99、,HfO2,ZnO,Pt,Ru,Ir,TiN,PbS 圖案 有機電子封裝 有機發光二極管、有機太陽能電池封裝 Al2O3,納米層狀薄膜 Al2O3/ZrO2(SiO2)分離膜 過濾、氣體分離 Al2O3,TiO2,SiO2 保護涂層 耐磨蝕刀具涂層 Al2O3,SiN4 耐腐蝕涂層 Al2O3,TiO2 密封涂層 Al2O3,納米層狀薄膜 Al2O3/HfO2 生物領域 天然纖維的改性增強 Al2O3,TiO2,ZnO 復制生物體結構 Al2O3,TiO2,HfO2 生物相容性涂層 Al2O3,TiO2,TiN,羥基磷灰石 資料來源:李愛東編著,原子層沉積技術原理及其應用,光大證券研究所整理

100、敬請參閱最后一頁特別聲明-21-證券研究報告 微導納米(微導納米(688147.SH688147.SH)2.22.2、半導體:半導體:先進制程演繹使先進制程演繹使 ALDALD 應用工藝增加應用工藝增加,疊疊加加國產化加速驗證國產化加速驗證 半導體制程進入納米時代,晶圓制造復雜度和工序量大幅提升,半導體制程進入納米時代,晶圓制造復雜度和工序量大幅提升,ALDALD 技術地位技術地位愈發重要。愈發重要。以邏輯芯片為例,隨著 45nm 以下制程的產線數量增多,尤其是 28nm及以下工藝的產線,對鍍膜厚度和精度控制的要求更高,在引入多重曝光技術后,ALD 需求工序數和設備數均大幅提高;在存儲芯片領域

101、,主流制造工藝已由 2D NAND 發展為 3D NAND 結構,內部層數不斷增加,元器件逐步呈現高密度、高深寬比結構,ALD 逐漸呈現不可替代性,應用范圍更加廣泛。45nm 制程下的邏輯的 High-k Metal Gate 催生了單片晶圓處理 ALD(single-wafer ALD),ASM 占絕對領導地位;DRAM 電容器 high-K 則催生了多片晶圓處理 ALD(batch ALD 及 mini-batch ALD 復合機臺),KE 與 TEL 優勢更明顯。2.2.12.2.1、邏輯:邏輯:HKMGHKMG 工藝工藝 邏輯電路中 ALD 的作用首現于 High-k 柵介質替代 Si

102、O2柵介質的 High-k 薄膜沉積,地位強化于 FinFet 與納米環柵結構的出現,后段銅互連硅通孔深寬比提高,ALD 可在銅互連阻擋層充分發揮作用,晶體管微縮工藝深入發展,ALD 應用節點大幅增加,擴容市場。4 45nm5nm 以下制程及三維晶體管讓以下制程及三維晶體管讓 ALDALD 沉積沉積 HighHigh-k k 材料應運而生。材料應運而生。傳統柵介質 SiO2與半導體襯底 Si 之間具有優異穩定的界面性能,在晶圓制造進入 65nm 制程及之前,集成電路主要通過沉積 SiO2薄膜形成柵極介質減少漏電,但進入 45nm 制程特別是 28nm 之后,傳統的 SiO2-MOSFET(金屬

103、氧化物半導體場效應晶體管)規??s小到薄膜材料厚度需在 1nm 及以下時,將產生明顯的量子隧穿效應,導致漏電流急劇增加,器件性能急劇惡化,因此替代 SiO2的High-k 材料被挖掘使用,其中,Hf 基氧化物系材料與稀土氧化物系材料最為適合,在所要求的電容密度下,柵電介質的物理厚度可沉積得更高,從而可以在降低等效氧化物厚度(EOT)的同時大幅減少漏電流。2007 年 Intel 公司推出基于45nm 制程的 Penryn 微處理器產品中,High-k 柵介質采用 ALD 沉積的 Hf 基氧化物薄膜。圖圖 1616:MOSFETMOSFET 結構及結構及 SiOSiO2 2與與 HighHigh-

104、K K 柵介電層比較柵介電層比較 增厚柵介電層減少漏電流 資料來源:中國電子科技集團公司第四十九研究所傳感器與微系統,光大證券研究所 FinFetFinFet:擁有三維結構的:擁有三維結構的 FinFetFinFet 推出打破了推出打破了 MOSFetMOSFet 的平面結構,對的平面結構,對 ALDALD 的需的需求增加。求增加。半導體工藝遵循摩爾定律將晶體管導入微米、納米級,而隨著晶體管尺寸縮小,晶體管溝道也在不斷縮短,導致量子隧穿效應極容易發生,造成柵與硅片漏電,無施加電壓情況下,電子可以自由在源極與漏極之間穿行,晶體管的開 敬請參閱最后一頁特別聲明-22-證券研究報告 微導納米(微導納

105、米(688147.SH688147.SH)關可靠性存在問題。因此為突破 20nm 制程,胡正明教授發明的 FinFET 應運而生。柵極從三面包圍溝道,通過立體結構取代平面器件增強柵極對溝道的控制面積與控制能力,抑制短溝道效應,同時鰭型垂直結構使得晶體管更加緊密連接在一起,晶體管密度更高。GAAFetGAAFet:2022 年初左右,數家晶圓廠宣布 3nm/2nm 邏輯芯片量產技術將從主流的鰭式場效晶體管轉移到納米片形式的晶體管結構,即從 FinFetFinFet 轉移到轉移到GAAFetGAAFet。納米環柵(GAAFet)結構中導電通道完全被包圍在高介電系數材料或金屬閘極之中,可進一步增強柵

106、極對短通道的控制能力與微縮能力。FinFetFinFet 與與GAAFetGAAFet 使得晶體管的三維復雜度更高,對以高度保形性為亮點的使得晶體管的三維復雜度更高,對以高度保形性為亮點的 ALDALD 薄膜沉積薄膜沉積法更為依賴。法更為依賴。圖圖 1717:芯片線寬:芯片線寬 2 28 8nmnm 以下采用以下采用 3D3D 晶體管(晶體管(FinFETFinFET)圖圖 1818:3 3D D 晶體管應用增加,對晶體管應用增加,對 ALDALD 需求增加需求增加 資料來源:臺積電官網,光大證券研究所 資料來源:LAM Research,NerissaDraeger 博士,光大證券研究所 圖

107、圖 1919:ALDALD 在在 FinFetFinFet 中的應用中的應用 資料來源:Applied Materials;Bryan,Garnier&Co 邏輯電路復雜邏輯電路復雜,銅互連阻擋層通孔深寬比加銅互連阻擋層通孔深寬比加大大,ALDALD 適用適用。敬請參閱最后一頁特別聲明-23-證券研究報告 微導納米(微導納米(688147.SH688147.SH)銅互銅互連阻擋層可防止銅與硅之間相互擴散,邏輯電路中的銅互連結構較為復雜,連阻擋層可防止銅與硅之間相互擴散,邏輯電路中的銅互連結構較為復雜,且隨著集成電路特征尺寸的不斷減小,硅通孔(且隨著集成電路特征尺寸的不斷減小,硅通孔(TSVTS

108、V)孔徑不斷縮小,深寬比不)孔徑不斷縮小,深寬比不斷加高,斷加高,因此,必須使用超薄的擴散阻擋層。在 28nm 制程中,邏輯器件中金屬柵(Metal Gate)、銅互連與 SiO2的阻擋層阻擋層 Ti、Al、TiN 薄膜、TaN 使用 PVD沉積,鎢用 CVD。但 PVD 在精細復雜的銅互連結構中存在陰影效應,當溝槽寬度為 20-30nm 時候難形成均勻薄膜。CVD 因具有良好臺階覆蓋率在 TaN 沉積中充分研究,但高溫工藝保障 TaN 薄膜雜質含量低且導電性良好的同時與后道工藝兼容性較差。通過 ALD 技術沉積銅擴散阻擋層,在器件內部溝槽深寬比超過 100:1 時薄膜仍具有良好的保形性、均勻

109、性以及防擴散阻擋特性。圖圖 2020:淺綠色部分有阻擋層:淺綠色部分有阻擋層 資料來源:eet C,光大證券研究所 結合結合 ALDALD 優勢,優勢,1414nmnm 以下,以下,ALDALD 可適用更多的邏輯芯片制造工藝節點可適用更多的邏輯芯片制造工藝節點。因此,。因此,在邏輯電路中,隨著制程精細化深度發展,在邏輯電路中,隨著制程精細化深度發展,ALDALD 應用的工藝節點更多,市場空應用的工藝節點更多,市場空間將被打開。間將被打開。圖圖 2121:邏輯芯片各制程節點:邏輯芯片各制程節點 ALDALD 技術應用環節數量(個)技術應用環節數量(個)圖圖 2222:邏輯器件中:邏輯器件中 AL

110、DALD 與與 ALEALE 在各技術節點中的使用步驟數在各技術節點中的使用步驟數 02468101240nm28nm14nm7nm先進制程產能先進制程ALD應用節點ALD空間大幅增加 資料來源:微導納米 IPO 推介會材料,光大證券研究所 資料來源:第 19 屆國際原子層沉積會議,TechInsights,Rajesh Krishnamurthy,光大證券研究所 目前,代表全球最先進技術的晶圓制造廠臺積電已于 2022 年 12 月底宣布量產3nm 制程,據臺積電 Q4 業績會數據,2022 年 Q4,其 5nm 制程收入占比已提 敬請參閱最后一頁特別聲明-24-證券研究報告 微導納米(微導

111、納米(688147.SH688147.SH)高至 32%,2022 年全年占比為 26%,從 2020Q2 的零收入占比至 2022Q4 的32%僅兩年多時間,可見先進制程趨勢明顯,產值占比大,反映設備投資額亦會可見先進制程趨勢明顯,產值占比大,反映設備投資額亦會加大。加大。中國大陸晶圓廠中芯國際已實現 14nm 制程規模量產,而美國 BIS 于 2022年 10 月公布的 對向中國出口的先進計算和半導體制造物項實施新的出口管制要求全美設備制造商禁供中國大陸 14nm 以下先進制程設備,為國產先進制程國產先進制程設備導入中國大陸晶圓廠提供驗證契機。設備導入中國大陸晶圓廠提供驗證契機。圖圖 23

112、23:2 22 2Q4Q4 臺積電臺積電 5nm5nm 制程收入占比提高至制程收入占比提高至 3 32 2%圖圖 2424:2 2022022 年臺積電年臺積電 5nm5nm 制程收入占比提高至制程收入占比提高至 2 26 6%資料來源:臺積電季度業績演示文稿,光大證券研究所整理 資料來源:臺積電季度業績演示文稿,光大證券研究所整理 表表 1111:全球:全球 7 7 大大 ICIC 晶圓廠制程演進過程(單位:晶圓廠制程演進過程(單位:nmnm)I IC C 晶圓廠晶圓廠 20112011 20122012 20132013 20142014 20152015 20162016 2017201

113、7 20182018 20192019 20202020 20212021 2 2022022 TSMCTSMC(臺積電)(臺積電)28 20 16 10 7 5 3 IntelIntel(英特爾)(英特爾)22 14 10 SamsungSamsung (三星)(三星)28 20 14 10 7 5 3 GlobalGlobal FoundriesFoundries(格芯)(格芯)28 20 14 10 UMCUMC(聯電)(聯電)28 14 SMICSMIC (中芯國際)(中芯國際)28 14 h huaua HongHong GroupGroup(華虹半導體)(華虹半導體)28 資料來源

114、:各公司官網,半導體行業觀察公眾號,光大證券研究所 據中國證券報信息,2023 年一季度,晶圓代工廠從成熟制程到先進制程各項需求持續下修,多家晶圓廠產能利用率下滑至 70%左右,預計 23H1 各大晶圓代工廠產能利用率持續下滑。我們認為這將我們認為這將為為國產設備驗證與國產先進國產設備驗證與國產先進制程制程產線建產線建設設釋放空間釋放空間。據我們不完全統計,國內大部分晶圓廠存在先進制程所用的大尺寸晶圓擴產計劃與需求,中芯國際 2023 年一季報披露其依據擴產計劃推進相應資本開支,目前中芯深圳已進入量產,中芯京城預計 23H2 進入量產,中芯東方預計 23 年底通線,中芯西青處于建設中。我們認我

115、們認為先進制程制為先進制程制造能力上升到造能力上升到國家國家能力較量層面將會促使加速攻堅,能力較量層面將會促使加速攻堅,推動推動擴產落地。擴產落地。敬請參閱最后一頁特別聲明-25-證券研究報告 微導納米(微導納米(688147.SH688147.SH)表表 1212:中國大陸晶圓廠規劃建設情況(不完全統計:中國大陸晶圓廠規劃建設情況(不完全統計,截至,截至 20212021 年底年底)廠商廠商 公司主體名稱公司主體名稱 工廠代碼工廠代碼 狀態狀態 地點地點 晶圓尺寸晶圓尺寸 當前產能萬片當前產能萬片/月月 規劃產能萬片規劃產能萬片/月月 中芯國際 中芯國際集成電路制造(上海)有限公司 S1(F

116、ab1、2、3)建成 上海 8 英寸 11.5 13.5 中芯國際 中芯南方集成電路制造有限公司 SN1 建成 上海 12 英寸 1.5 3.5 中芯國際 中芯南方集成電路制造有限公司 SN2 在建 上海 12 英寸 0 3.5 中芯國際 中芯國際集成電路制造(北京)有限公司 B1(Fab4、6)建成 北京 12 英寸 5.2 6 中芯國際 中芯北方 B2 建成 北京 12 英寸 6.2 10 中芯國際 中芯京城 B3P1 在建 北京 12 英寸 0 5 中芯國際 中芯京城 B3P2 計劃 北京 12 英寸 0 5 中芯國際 中芯京城 B3P3 計劃 北京 12 英寸 0 5 中芯國際 中芯京

117、城 B3P4 計劃 北京 12 英寸 0 5 中芯國際 中芯國際集成電路制造(深圳)有限公司 Fab15 建成 深圳 8 英寸 4.4 7 中芯國際 中芯國際集成電路制造(深圳)有限公司 Fab16A/B 建成 深圳 12 英寸 0 4 中芯國際 中芯國際集成電路制造(天津)有限公司 Fab7P2 建成 天津 8 英寸 9.5 18 中芯紹興 中芯集成電路制造(紹興)有限公司 建成 紹興 8 英寸 4.25 10 中芯寧波 中芯集成電路制造(寧波)有限公司 N1 建成 寧波 8 英寸 1.5 1.5 中芯寧波 中芯集成電路制造(寧波)有限公司 N2 建成 寧波 8 英寸 0 2.75 華虹集團

118、(華虹半導體)華虹宏力 Fab1-3 建成 上海 8 英寸 17.8 18 華虹集團(上海華力)華力微電子 F5 建成 上海 12 英寸 3.5 3.5 華虹集團(上海華力)華力集成電路 F6 建成 上海 12 英寸 3 4 華虹集團(華虹半導體)華虹半導體(無錫)有限公司 Fab7 建成 無錫 12 英寸 2.5 8 華虹集團(上海華力)華力八廠 Fab8 計劃 上海 12 英寸 0 4 華虹集團(華虹半導體 華虹九廠 Fab9 計劃 無錫 12 英寸 0 8 晶合集成 合肥晶合集成電路有限公司 N1 建成 合肥 12 英寸 4.0 4 晶合集成 合肥晶合集成電路有限公司 N2 建成 合肥

119、12 英寸 0 4 晶合集成 合肥晶合集成電路有限公司 N3 計劃 合肥 12 英于 0 4 資料來源:ittbank,光大證券研究所 敬請參閱最后一頁特別聲明-26-證券研究報告 微導納米(微導納米(688147.SH688147.SH)2.2.22.2.2、存儲存儲DRAMDRAM 相較于邏輯電路,相較于邏輯電路,ALDALD 在存儲領在存儲領域具備更大的市場空間,工藝節點應用更多,域具備更大的市場空間,工藝節點應用更多,深孔密集深孔密集 ALDALD 用量增加。用量增加。DRAM 廣泛應用于智能手機、平板電腦、筆記本電腦、臺式電腦、數據服務器和計算設備。早在 22nm FinFET CM

120、OS 和 3D NAND 閃存之前,DRAM HVM 中便應用了 3D 電容器。DRAM 單元有兩個器件:一個 n 溝道 MOSFET(NMOS)作為存取晶體管,一個用于數據存儲的電容器,電容器分為深溝槽式電容與堆疊式電容。圖圖 2525:深溝槽式電容:深溝槽式電容 圖圖 2626:堆疊式電容:堆疊式電容 資料來源:3D IC devices,technologies,and manufacturing,Hong Xiao,光大證券研究所 資料來源:3D IC devices,technologies,and manufacturing,Hong Xiao,光大證券研究所 DRAMDRAM 對

121、傳輸速度與內存容量的追求使得對傳輸速度與內存容量的追求使得 DRAMDRAM 制程不斷朝著微縮方向發展,制程不斷朝著微縮方向發展,即即 DRAMDRAM 技術發展路徑本質是以微縮制程來提高存儲密度。技術發展路徑本質是以微縮制程來提高存儲密度。隨著 5G 通信、汽車智能化、AI、邊緣計算等應用場景對存儲性能要求提高,DRAM 制程不斷微縮。DRAM 三巨頭三星、SK 海力士、美光在 2016-2017 年進入 1X(16nm-19nm)階段,2018-2019 年為 1Y(14nm-16nm),2020 年處于 1Z(12nm-14nm)時代,目前各家朝著 10nm 逼近。表表 1313:DDR

122、DDR1 1-DDRDDR5 5 主要指標比較主要指標比較 產品標準產品標準 DDR1DDR1 DDR2DDR2 DDR3DDR3 DDR4DDR4 DDR5DDR5 標準發布時間標準發布時間 2000 2003 2007 2012 2020 工作電壓工作電壓 2.5V 1.8V 1.5V 1.2V 1.1V 預取緩沖區大小預取緩沖區大小 2 4 8 8 16 顆粒容量顆粒容量 128Mb-1Gb 128Mb-4Gb 512Mb-8Gb 2Gb-16Gb 8Gb-64Gb 速度(速度(MT/sMT/s)200-400 400-800 800-2133 1600-3200 3200-6400 資

123、料來源:全球半導體觀察,光大證券研究所 敬請參閱最后一頁特別聲明-27-證券研究報告 微導納米(微導納米(688147.SH688147.SH)圖圖 2727:DRAMDRAM 發展路線圖發展路線圖 資料來源:techinsights,光大證券研究所 微縮在微縮在 DRAMDRAM 工藝上主要帶來兩大變化:工藝上主要帶來兩大變化:(1 1)增加高深寬比倍數補償微縮帶來的單元電容損失。)增加高深寬比倍數補償微縮帶來的單元電容損失。微縮使得電容有效面積減少,導致電荷與電容減少,為解決此問題,40nm 以上制程可以使用圓柱形電容器來最大化有效電容面積,而 20nm 以下制程需要增加電容器高度即高深寬

124、比來增加電容。電介質層微小的不一致性即可導致電場差異,不利于泄漏控制,而高深寬比對于電容電介質層薄膜的均勻性提出挑戰,具備高臺階覆蓋率與一致性的 ALD 薄膜沉積技術最適合。圖圖 2828:DRAMDRAM 制程微縮帶來電容(制程微縮帶來電容(C CS S)減少問題)減少問題 資料來源:A.Spessot,H.Oh,IEEE Trans.Electron Devices 2020,67,1382.,光大證券研究所 敬請參閱最后一頁特別聲明-28-證券研究報告 微導納米(微導納米(688147.SH688147.SH)圓柱形電容器圓柱形電容器由金屬上電極、High k 介電層、金屬下電極構成,即

125、 MIM 結構,high k 薄膜與上電極通過 ALD 沉積于圓柱內壁,厚度一般低于 5nm,但漏電相應增加,可通過加高的柱狀電容解決,其 high k 薄膜可保持在 10nm 左右。柱狀電容器柱狀電容器有效面積相對圓柱電容小,不僅需要增加高度還需提高介電常數 k以補償電容損失,因此對于柱狀電容器而言,high k 從材料選擇到薄膜沉積質量顯得更加重要。圖圖 2929:圓柱形電容器:圓柱形電容器 圖圖 3030:柱狀式電容器:柱狀式電容器 資料來源:Adv.Mater.Technol.2022,2200878,光大證券研究所 資料來源:Adv.Mater.Technol.2022,220087

126、8,光大證券研究所(2 2)HKMGHKMG 解決解決 DRAMDRAM 外圍晶體管高性能與低功耗矛盾。外圍晶體管高性能與低功耗矛盾。同樣緣于 DRAM 制程微縮,DRAM 外圍/核心晶體管特性正成為 DRAM 的瓶頸,過往 HKMG 主要用于邏輯芯片,外圍/核心晶體管亦引入 HKMG 工藝。2021 年,三星電子首次將HKMG 工藝用于 DDR5,推動商業化進程,HKMG DDR5 內存模塊的功耗比傳統工藝減少約 13%。海力士在 2022 年實現全球首次在移動端 DRAM(LPDDR)應用 HKMG 工藝,將其用于全新的 1anm LPDDR5X DRAM。圖圖 3131:H HKMGKM

127、G 在邏輯與在邏輯與 DRAMDRAM 中應用中應用 圖圖 3232:HKMGHKMG 在在 DRAMDRAM 中應用的效用中應用的效用 資料來源:SK 海力士官方微信公眾號,光大證券研究所 資料來源:SK 海力士官方微信公眾號,光大證券研究所(3 3)多重圖形化方案解決深紫外光刻機)多重圖形化方案解決深紫外光刻機 DUVDUV 先進制程應用問題與極紫外光刻機先進制程應用問題與極紫外光刻機EUVEUV 供給問題。供給問題。市場主流供應的 DUV 深紫外光刻機波長是 193nm 的 ArF 光源,分辨率極限是 38nm,通過多重曝光技術可實現 7nm 制程光刻,使光刻工藝克服光刻分辨率極限問題。

128、EUV 光刻機波長是 13.5nm,目前全球只有 ASML 一家 敬請參閱最后一頁特別聲明-29-證券研究報告 微導納米(微導納米(688147.SH688147.SH)可生產,ASML 自 2006 年推出第一代 EUV 光刻機,2017 年才正式推出支持 7nm和 5nm 制程量產的 EUV。適用 7nm 以下制程的 EUV 供給量在追趕摩爾定律演變過程中有所不足,DUV+多重曝光技術解決了向 EUV 過渡所出現的設備供給不足與專用資產重置成本問題。圖圖 3333:光刻技術迭代過程:光刻技術迭代過程 資料來源:中國科學院微電子研究所光刻技術六十年,ASML 2022 年年報,光大證券研究所

129、整理 SAxPSAxP(SELF ALIGNED DOUBLE/QUADRUPLE PATTERNINGSELF ALIGNED DOUBLE/QUADRUPLE PATTERNING):通過一次光刻和刻蝕工藝形成軸心圖形,然后在側壁通過原子層淀積和刻蝕工藝形成側墻圖形,再去除軸心層,形成節距減半的側墻硬掩模圖形。LELELELE(LITHOLITHO-ETCHETCH-LITHOLITHO-ETCHETCH):):在同一襯底上順序進行光刻-刻蝕-光刻-刻蝕工藝使得圖形密度提高一倍。先通過光刻和刻蝕形成第一光刻膠圖案,將其轉移至下方掩模層,再形成第二光刻膠圖案,最后將第一和第二圖案轉移至下方材

130、料層,進行刻蝕得到節距減半的圖形,LFLELFLE(LITHOLITHO-FREEZEFREEZE-LITHOLITHO-ETCHETCH):):在同一襯底上順序進行光刻-固化-光刻-刻蝕工藝,是 LELE 的變體。先將第一光刻膠圖案曝光,使用化學處理將其固化,再形成第二光刻膠圖案,最后進行刻蝕得到節距減半的圖形。圖圖 3434:SADPSADP 圖圖 3535:LELELELE 圖圖 3636:LFLELFLE 資料來源:Mack,”Seeing Double,”IEEE Spectrum(2008),光大證券研究所 資料來源:Mack,”Seeing Double,”IEEE Spectr

131、um(2008),光大證券研究所 資料來源:Mack,”Seeing Double,”IEEE Spectrum(2008),光大證券研究所 敬請參閱最后一頁特別聲明-30-證券研究報告 微導納米(微導納米(688147.SH688147.SH)多重曝光應用目的為實現精細光刻,對薄膜沉積的均勻性要求高,可精準控制薄膜厚度與均勻性的 ALD 工藝為合適選擇。邏輯芯片中邏輯芯片中 1 14 4nmnm 以下需要用到自對以下需要用到自對準雙重圖案化(準雙重圖案化(SADPSADP),而),而 2 25 5nmnm 制程以下的存儲即需要用到制程以下的存儲即需要用到 SADPSADP,所以存,所以存儲領

132、域的多重曝光應用空間較邏輯芯片更大。儲領域的多重曝光應用空間較邏輯芯片更大。DUVDUV+多重曝圖形化工藝成為首選過渡方案。多重曝圖形化工藝成為首選過渡方案。目前,ASML 是全球唯一能夠生產極紫外光刻機的公司,據 ASML 財報,截至 2022 年底,ASML 面向 5 大客戶實際銷售 EUV 光刻機僅 182 臺。據 ASML 官網聲明,自 2019 年以來,ASML 的EUV 光刻機銷售已經受到限制。源頭供應短缺疊加地緣政治與技術競爭等多重不利背景下,EUV 成為中國大陸芯片制造“卡脖子”環節。DUV+DUV+多重曝光技術多重曝光技術可實現可實現 2828nmnm 以下制程光刻,成為替代

133、以下制程光刻,成為替代 E EUVUV 光刻的過渡方案光刻的過渡方案,可應用于先進可應用于先進制制程程產線,同時多重曝光增加了薄膜沉積工序,進一步拓寬產線,同時多重曝光增加了薄膜沉積工序,進一步拓寬 ALDALD 應用市場。應用市場。圖圖 3737:ASMLASML 的收入主要來自的收入主要來自 EUVEUV 與與 ArFiArFi 光刻機光刻機 圖圖 3838:2 2022022 年年 A ASMLSML 共銷售共銷售 4 40 0 臺臺 EUVEUV 光刻機光刻機 資料來源:ASML 2022Q4 業績展示材料,光大證券研究所 資料來源:ASML 2022Q4 業績展示材料,光大證券研究所

134、 此外,淺槽隔離、硅通孔、襯墊層,銅擴散阻擋層、籽晶層、鎢塞、鎢阻擋層等均可以使用 ALD。2.2.32.2.3、存儲存儲3 3D NANDD NAND 為克服平面 NAND 閃存陣列的縮放限制,滿足更大存儲需求的 3D NAND 被開發出并成功批量商業化。3D NAND 是指存儲單元的垂直堆疊,位密度隨著堆疊層數的增加而提高,存儲容量隨之變大。且每層的每個存儲單元,是在堆疊完成的垂直方向上刻蝕完成,層數增加,通孔深寬比遞增。3D NAND 3D NAND 復雜結構需要制造高的縱深比,復雜結構需要制造高的縱深比,關鍵工藝包括疊層沉積、高深寬比通道孔蝕刻與柵極沉積、字線金屬化、階梯蝕刻、高深寬比

135、狹縫蝕刻,以及階梯接觸線成型等。其中柵極沉積和字線金屬化對沉積工藝提出了極高要求,在這方面 ALD 工藝比傳統 CVD 及 PVD 工藝更具優勢。垂直堆疊的高深寬比垂直通孔中薄膜沉積是一大難題,垂直堆疊的高深寬比垂直通孔中薄膜沉積是一大難題,90+90+層層 3D NAND3D NAND 中通道中通道孔縱橫比已超過孔縱橫比已超過 40:140:1。3D NAND 溝道孔中分別交替沉積絕緣層二氧化硅與柵極氮化硅,刻蝕氮化硅后需要沉積一層柵極 high-k 與一層 TiN,由于溝道深寬比高與“梳子型”結構,需要使用 ALD 才能得到高度均勻與高臺階覆蓋率的薄膜。敬請參閱最后一頁特別聲明-31-證券

136、研究報告 微導納米(微導納米(688147.SH688147.SH)多層堆疊中多層堆疊中字線填充字線填充環節環節鎢鎢的的沉積沉積存在兩個明顯的問題存在兩個明顯的問題。(1)氟從鎢膜擴散到電介質中會導致物理缺陷;(2)超過 48 層堆疊會放大器件應力導致過度彎曲。由此造成存儲容量損失、器件性能與可靠性下降。由于上述問題,用于先進 3D NAND 的鎢膜必須顯著降低氟和內在應力,Lam Research 推出的 LFW ALD 工藝可解決上述問題。相較于相較于 CVDCVD 沉積鎢,沉積鎢,ALDALD 在大量水平分層中能在大量水平分層中能夠實現更精夠實現更精確、更均勻的填充,使得存儲公司可開發具

137、有更多層、更細的字線、更小的單元確、更均勻的填充,使得存儲公司可開發具有更多層、更細的字線、更小的單元和更大容量的閃存結構。和更大容量的閃存結構。圖圖 3939:ALDALD 在在 3D3D NANDNAND 中的應用中的應用 資料來源:ElectrolQ,光大證券研究所 圖圖 4040:NAND CellNAND Cell 結構圖結構圖 圖圖 4141:NAND CellNAND Cell 沉積工藝流程沉積工藝流程 資料來源:semi connect,光大證券研究所 資料來源:semi connect,光大證券研究所 當前堆疊層數瓶頸尚未達到,未來還有很大提升空間。當前堆疊層數瓶頸尚未達到,

138、未來還有很大提升空間。自 2007 年東芝首次報告 3D NAND 以來,堆疊層數已從 4 層增長到超過 200 層,SK 海力士于 2022年 10 月份推出 238 層 3D NAND,為目前 NAND Flash 廠商制程的最高點。同時,SK 海力士亦預測,3D NAND 在發展到層數超過 600 層時瓶頸才會到來,技術升級空間仍比較大,ALD 的在 3D NAND 中的應用空間還處于成長期。敬請參閱最后一頁特別聲明-32-證券研究報告 微導納米(微導納米(688147.SH688147.SH)表表 1414:主要:主要 NAND FNAND Flashlash 廠商制程變化及預測廠商制

139、程變化及預測 廠商廠商 20142014 20152015 20162016 20172017 20182018 20192019 20202020 20212021 2022022 2 三星三星 2D 19nm 16nm 14nm 3D3D 3232 層層 20nm20nm 4848 層層 20nm20nm 6464 層層 20nm20nm 9696 層層 20nm20nm 128128 層層 20nm20nm 160160 層層 236236 層層 東芝、西數東芝、西數 2D 19nm 第一代 15nm 第二代 15nm 3D3D 4848 層層 19nm19nm 6464 層層 19nm

140、19nm 9696 層層 19nm19nm 128128 層層 19nm19nm 192192 層以上層以上 美光、英特爾美光、英特爾 2D 16nm 3D3D 3232 層層 40nm40nm 6464 層層 20nm20nm 9696 層層 128128 層層 192192 層層 2 23232 層層 海力士海力士 2D 16nm 15/14nm 3D3D 3636 層層 31nm31nm 4848 層層 31nm31nm 7272 層層 31nm31nm 9696 層層 128128 層層 238238 層層 長江存儲長江存儲 3D3D 3232 層層 6464 層層 128128 層層

141、 20222022 年閃存峰年閃存峰會發布第四代會發布第四代3D TLC3D TLC(X3X3-90709070)閃)閃存存 資料來源:各公司官網,各公司官方微信公眾號,2022 年閃存峰會(FMS),光大證券研究所 2.2.42.2.4、存儲存儲新型存儲之鐵電存儲新型存儲之鐵電存儲3 3 當前存儲器研究方向正在向非電荷存儲器方向發展,主要的研發熱點有鐵電存儲器(FeRAM)、磁阻存儲器(MRAM)、相變存儲器(PCM)、碳納米管(CNT)等。HfOHfO2 2無微縮臨界值的鐵電特性被發掘,鐵電存儲器優勢盡顯。無微縮臨界值的鐵電特性被發掘,鐵電存儲器優勢盡顯。鐵電存儲又稱為鐵晶存儲,采用高介電

142、常數鐵電材料 PZT(鋯鈦酸鉛)、SBT(鉭酸鍶鉍)等鐵電材料的鐵電性和鐵電效應來進行非易失性數據存儲的存儲器。由于 PZT 鐵電材質并不相容于目前的 IC 電路制程,以 PZT 為主的鐵電存儲器在半導體存儲器市場中占極小比例。2011 年首次發現以 Si 摻雜的 HfO2具有鐵電特性后,這種具氟石(fluorite)結構的氧化物如摻雜的 HfO2或 HfO2/ZrO2之固溶體(solid solution)引起了學界與業界高度的矚目,不同結構的HfO2基鐵電存儲器(1T1C、FeFET 和 FTJ)已相繼問世。圖圖 4242:鐵電存儲器工作原理:鐵電存儲器工作原理 資料來源:國芯思辰官方微信

143、公眾號,光大證券研究所 3 新型存儲器以四大主流技術路線為主:PCM、RRAM、MRAM、FeRAM 敬請參閱最后一頁特別聲明-33-證券研究報告 微導納米(微導納米(688147.SH688147.SH)相較于傳統的鈣鈦礦鐵電材料,氟石結構氧化物鐵電層擁有如下優點:相較于傳統的鈣鈦礦鐵電材料,氟石結構氧化物鐵電層擁有如下優點:材料與制程完全相容于現有先進制程技術,更重要的是,在 10 nm 等級的厚度下 HfO2為基礎的鐵電層仍保有鐵電性?;?HfO2的鐵電層厚度微縮至 1nm,自發極化與可改變極化方向之現象仍可持續出現,意味著具有鐵電性的 HfO2薄膜并無微縮的臨界值,微縮厚度可強化極化

144、形變,對于以極化驅動之存儲器元件有相當優異的發展優勢?;?HfO2的氧化層具有鐵電性的原因在于熱力學穩定下的HfO2不論從實驗或是計算的平衡相圖均顯示其為不具鐵電性的中心對稱結構。圖圖 4343:PZT PZT 與與 HfOHfO2 2鐵電層的特性差異比較鐵電層的特性差異比較 資料來源:echnews,半導體產業縱橫,光大證券研究所 鐵電存儲器本質是隨機存儲器。鐵電存儲器將動態隨機存儲器(DRAM)的快速讀取和寫入訪問,與在電源關掉后保留數據能力結合起來。相較于其他類型存儲器,鐵電存儲擁有如下優點:相較于其他類型存儲器,鐵電存儲擁有如下優點:讀寫速度快,讀寫速度達到70ns 級,讀寫周期速度

145、可達 130ns 級,接近 DRAM 存儲器;讀寫次數多,擁有幾乎無限的擦寫次數,重復擦寫可達萬億次,超出擦寫次數后流失其非易失性,變為普通 RAM,與傳統非易失性存儲器相比,EEPROM 典型值 100 萬次,FLASH典型值 10 萬次;功耗低,鐵電存儲器可使用 1.5V-5V 電源電壓,而 EEPROM需要 10-14V 電壓;基于鐵電晶體固有的偏振磁化特性,FRAM 抗磁場,抗干擾能力強,具備防竄改性,可適應安全/保密要求較高的工況。表表 1515:鐵電存儲器與主流非易失性存儲器及:鐵電存儲器與主流非易失性存儲器及 SRAMSRAM 區別區別 FRAMFRAM EEPROMEEPROM

146、 FLASHFLASH SRAMSRAM 易失性 非易失性 非易失性 非易失性 易失性 寫入次數(典型值)1 千億次 50 萬次 100 萬次 無限制 周期讀寫速度(典型值)130ns 5ms 10us 10-20ns 平均做功功率(A/MHz)80 高達 10mA 260 10nm/min(in-situdoping)10nm/min(in-situdoping)WPH/臺 單插:4300pcs,雙插:8000pcs 4200pcs 8000pcs 摻雜方式 P擴散/離子注入 原位摻雜(增加退火)原位摻雜(增加退火)原位摻雜(增加退火)PVD硅(靶材),PECVD磷(PH3)隨穿氧化層生長方

147、式 原位/熱氧化 PEALD(甲基硅烷)、O2 plasma、N2O plasma 連續O2plasma、N2O plasma 繞鍍情況 LAPLACE水平插片:四周均勻、10mm以內(已解決,年底推廣)豎直插片;四周不均勻,10-30mm 繞鍍面積較小,邊緣2mm以內 取決于上下鍍膜方式以及載板設計,邊緣2mm以內或邊緣無膜 運行成本(石英件維護)石英舟壽命6個月/清洗周期15天 石英管壽命4-12個月(不清洗+涂層)個別廠家使用金屬套管存在掉渣風險 石墨舟清洗周期30-40run 板式運行方式,容易掉片,開腔維護保養時間長,uptime低保養周期30天,保養時間2天;換靶材需要3天 效率

148、GW量產效率24.99%研發實驗室最高效率25.7%待GW級量產驗證 量產效率24.5%優勢 1、熱氧化、原位生長氧化質量高;2、氧化層厚度與溫度線性關系較好;3、Poly生長質量高,鈍化效果高 1、鍍膜速率快,單機臺產能大,投入成本低 2、繞鍍2mm,清洗容易 1、無繞鍍,清洗方式簡單 敬請參閱最后一頁特別聲明-46-證券研究報告 微導納米(微導納米(688147.SH688147.SH)劣勢 1、石英件壽命較低,影響運營成本 1、氧化層生長不均勻效率離散性較高;2、原位摻雜會導致陶瓷環導電,石墨舟維護周期短;3、存在掉粉問題;4、PH3耗量高 1、設備uptime低,下鍍膜碎片清理較難,故

149、障率高;2、設備價格昂責;3、邊緣無膜,清洗需要單獨處理,影響效率 資料來源:拉普拉斯高溫鈍化接觸電池技術路線分析及設備發展方向,光大證券研究所 微導納米開發出了微導納米開發出了 ZR50002ZR50002 PEALD“PEALD“二合一二合一”產品產品,創新性地將 ALD 技術應用于氧化硅層的制備,能夠連續完成 TOPCon 電池的背膜結構(隧穿氧化硅/原位摻雜多晶硅)鍍膜,采用 ALD 技術可以獲得超?。?nm)、大面積均勻性、致密性好、無針孔的氧化硅層。據 ITRPV2021 年報告,未來 PECVD poly-si路線占比將逐年提升,微導納米 PEALD 二合一設備面向的市場空間將更

150、加廣闊。圖圖 6464:原位摻雜與非原位摻雜市占率:原位摻雜與非原位摻雜市占率 圖圖 6565:不同鍍膜技術路線市占率:不同鍍膜技術路線市占率 資料來源:ITRPV 2021 年報告,光大證券研究所 資料來源:ITRPV 2021 年報告,光大證券研究所 2.3.32.3.3、光伏電池技術迭代窗口光伏電池技術迭代窗口期期縮短,成長行業屬性強化縮短,成長行業屬性強化 光伏行業對降本增效的追求使得核心電池片環節技術迭代層出不窮,光伏行業對降本增效的追求使得核心電池片環節技術迭代層出不窮,20152015 年至年至今光伏電池片技術從今光伏電池片技術從 BSFBSF 迭代至今已是百花齊放。迭代至今已是

151、百花齊放。圖圖 6666:光伏電池技術迭代路線:光伏電池技術迭代路線 資料來源:一道新能源會議資料,光大證券研究所 敬請參閱最后一頁特別聲明-47-證券研究報告 微導納米(微導納米(688147.SH688147.SH)2015 年之前,光伏電池技術以多晶 AL-BSF 為主,單晶 PERC 電池尚處于技術驗證階段,試驗產能增速快但總量小。2015-2017 年,PERC 量產成功使得 PERC 商業化可行性得到認可,國內廠商加碼投資 PERC 電池生產,但此時 AL-BSF 電池性能穩定,綜合生產成本低,仍占據主要地位,據 CPIA 數據,2017 年 BSF 電池技術市場占比為 83%。2

152、018-2021 年 PERC 電池產能開始快速增長,據 CPIA 數據,2018-2021 年PERC 電池技術市場占比從 33.5%陡峭增長至 91.2%,充分說明光伏電池片充分說明光伏電池片技術市場具備非技術市場具備非 0 0 即即 1 1 的特征,新技術的滲透率或超出市場初始預期的特征,新技術的滲透率或超出市場初始預期。20222022-20232023 年則是年則是 TOPCONTOPCON 電池產能建設與釋放電池產能建設與釋放元年,各類新型高效電池技元年,各類新型高效電池技術亦在產業博弈中競相推出。術亦在產業博弈中競相推出。據 CPIA 數據,PERC 電池片市場占比下降至88%,

153、n 型電池片占比合計達到約 9.1%,其中 n 型 TOPCon 電池片市場占比約 8.3%,異質結電池片市場占比約 0.6%,XBC 電池片市場占比約0.2%,由于部分海外市場如印度、巴西等國家仍對成本低廉的 BSF 產品有需求,國內一些細分市場如太陽能路燈等產品在使用,2022 年 BSF 電池片市場占比約 2.5%。圖圖 6767:BSFBSF、PERCPERC、TOPCONTOPCON 演繹演繹 資料來源:CPIA 及其預測,光大證券研究所 短期內市場流通的電池片、組件以短期內市場流通的電池片、組件以 PERCPERC 技技術為主,但術為主,但 TOPConTOPCon 電池技術力量電

154、池技術力量亦在雄起,各家新晉亦在雄起,各家新晉 HJTHJT 電池廠商亦電池廠商亦緊追不舍,鈣鈦礦產業投資熱火朝天,充緊追不舍,鈣鈦礦產業投資熱火朝天,充分彰顯分彰顯光伏行業的成長性。對于成熟的光伏行業的成長性。對于成熟的“賣鏟人賣鏟人”設備商而言,新電池技術從設備商而言,新電池技術從“0 0-1 1”、“”、“1 1-N N”的”的發展過程中發展過程中市場環境相對友好,設備成熟、市場開拓順利、市場環境相對友好,設備成熟、市場開拓順利、善于善于緊密跟隨行業成長步伐緊密跟隨行業成長步伐的設備廠商將的設備廠商將最為受益。最為受益。ALDALD 設備具有在高效電池技術中應用前景廣闊的特點。設備具有在

155、高效電池技術中應用前景廣闊的特點。HJTHJT:HJT HJT 電池以電池以 N N 型單晶硅(型單晶硅(C C-SiSi)為襯底光吸收區,)為襯底光吸收區,其其正反面均要鍍制正反面均要鍍制5 5-10nm10nm 的本征非晶硅層作為鈍化膜。的本征非晶硅層作為鈍化膜。其正面依次沉積厚度為 5-10nm 的本征非晶硅薄膜(i-a-Si:H)和摻雜的 P 型非晶硅(P-a-Si:H),和硅襯底形成 p-n 異質結。硅片的背面又通過沉積厚度為 5-10nm 的本征非晶硅薄膜(i-a-Si:H)和摻雜的 N 型非晶硅(n-a-Si:H)形成背表面場。雙面沉積的透明導電氧化物薄膜(TCO)可減少收集電流

156、時的串聯電阻,還可做減反層。敬請參閱最后一頁特別聲明-48-證券研究報告 微導納米(微導納米(688147.SH688147.SH)TCO 透明導電膜主要制備路線有 PVD(適配 ITO 氧化銦錫)與 RPD(適配 IWO摻鎢氧化銦)兩種方法。微導納米目前正在開發微導納米目前正在開發 ALDALD 制備制備 TCOTCO 透明導電層的工透明導電層的工藝方法。藝方法。表表 2323:HJT TCOHJT TCO 制備路線制備路線 RPDRPD反應等離子體沉積反應等離子體沉積 PVDPVD磁控濺射磁控濺射 描述 利用等離子槍產生氬等離子體,氬等離子體進入生長腔后,在磁場作用下轟擊靶材,靶材升華形成

157、蒸氣實現薄膜沉積 氬氣在高壓下電離產生氬粒子,氬粒子在磁場的坐下下轟擊靶材,使靶材表面的原子濺射到襯底表面形成薄膜 圖示 靶材 IWO和ICO ITO和SCOT 優點 1.鍍膜質量優,等離子體能量分布相對集中且離化率更高,高能離子較少,表現出低離子損傷的優良特性 2.沉積溫度低,沉積速率高 1.工藝穩定,膜厚均勻易控;2.靶材利用率高,成本低 缺點 1.日本住友專利問題 2.設備成本高 3.靶材利用率低且靶材昂貴,成本高 1.采用磁控游射制備TCO,粒子轟擊較高,損傷較大 2.沉積速率較慢 3.ITO光電學性能差于RPD制備的IWO導電薄膜 主要廠商 住友,捷佳偉創,精耀 MB,邁為,馮阿登納

158、,Singulus,鈞石,捷佳偉創,捷造光電,ULVAC 描述 利用等離子槍產生氬等離子體,氬等離子體進入生長腔后,在磁場作用下轟擊靶材,靶材升華形成蒸氣實現薄膜沉積 氬氣在高壓下電離產生氬粒子,氬粒子在磁場的坐下下轟擊靶材,使靶材表面的原子濺射到襯底表面形成薄膜 資料來源:InfoLink Consulting,光大證券研究所 ALDALD 在鈣鈦礦中的應用:在鈣鈦礦中的應用:(1)電子傳輸層和空穴傳輸層制備:電子傳輸層和空穴傳輸層制備:ALD 可以沉積具有極佳均勻性和共形性的薄膜,有效避免孔洞和裂縫的出現,保證器件光電性能的連續性,從而有效提升開路電壓、填充因子和有關器件的穩定性。ALD

159、可沉積 TiO2/SnO2/ZnO 等薄膜作為電子傳輸層,使鈣鈦礦電池獲得更高的光電轉換效率。同時,ALD 可用于沉積 NiO/CuOx 等薄膜作為空穴傳輸層。(2)單結單結鈣鈦礦電池的封裝鈣鈦礦電池的封裝層層:通過 ALD 沉積的 Al2O3等致密金屬化合物阻隔層,覆蓋鈣鈦礦電池表面,作為封裝材料具有良好的阻擋外界水汽入侵的性能,提供穩定性。(3)疊層鈣鈦礦電池疊層鈣鈦礦電池,ALDALD 生長生長 SnOSnO2 2致密薄膜起到阻擋層作用:致密薄膜起到阻擋層作用:減小透明導電膜 ITO 制備中引起的濺射損失;疊層鈣鈦礦間取代磁控濺射的 ITO,減少寄生吸收,降低成本,防止相鄰電池短路。20

160、22 年,微導納米 ALD 設備出至海外。目前 ALD 在鈣鈦礦中應用的主要問題是產能節拍慢、成本高,隨著未來幾年鈣鈦礦電池商業化落地與 ALD 設備工藝改進,ALD 設備在鈣鈦礦電池產線中或將取得重要地位。敬請參閱最后一頁特別聲明-49-證券研究報告 微導納米(微導納米(688147.SH688147.SH)圖圖 6868:雙面全鈣鈦礦疊層電池示意(左)和掃描電鏡(右)雙面全鈣鈦礦疊層電池示意(左)和掃描電鏡(右)資料來源:Hongjiang Li 等,Revealing the output power potentialof bifacial monolithic all-perovsk

161、ite tandemsolar cells,光大證券研究所 表表 2424:鈣鐵礦電池:鈣鐵礦電池 oror 組件不同技術路線最新轉換效率組件不同技術路線最新轉換效率 日期日期 研究企業研究企業/團隊團隊 轉換效率轉換效率 鈣鈦礦電池鈣鈦礦電池/組件組件 2023 年 4 月 協鑫光電 16.02%1m*2m 大尺寸組件 2023 年 3 月 極電光能 19.90%809.8cm大尺寸鈣鈦礦光伏組件 2023 年 2 月 脈絡光能 44.72%室內鈣鈦礦光伏電池 2023 年 1 月 仁爍光能 29.00%全鈣鈦礦疊層電池穩態光電轉換效率 2023 年 1 月 曜能科技 32.44%小面積鈣鈦

162、礦/晶硅兩端疊層電池 2023 年 2 月 曜能科技 29.57%25cm2大面積鈣鈦礦/晶硅兩電極疊層電池器件 2022 年 11 月 暨南大學新能源技術研究院教授麥耀華團隊 36.00%鈣鐵礦室內用光伏組件穩態效率 2022 年 12 月 歐洲 solliance 合作機構 TNO、TU Eindhoven、Imec 和 TUDelft 30.00%四端鈣鈦礦/硅疊層太陽能電池 2022 年 12 月 德國柏林亥姆霍茲中心(HZB)32.50%小面積鈣鈦礦/硅串聯太陽電池 2022 年 9 月 無限光能 23.60%單結柔性鈣鈦礦太陽能電池 2021 年 10 月 韓國蔚山國家科學技術研究

163、所(UNIST)25.70%鈣鈦礦最高單結效率 資料來源:北極星太陽能光伏網,中國證券網,光大證券研究所 目前,微導納米 ALD、PEALD、PECVD 設備已在 PERC 電池中的 Al2O3工藝和 SiNX 工藝、TOPCon 電池正面 Al2O3和背面隧穿氧化層+摻雜多晶硅層、xBC正面 Al2O3層實現產業化應用,HJT 的透明導電層處于開發階段、鈣鈦礦封裝層已進入驗證階段,有望在下一代光伏電池新技術進入量產有望在下一代光伏電池新技術進入量產前夕前夕成功打入供應鏈。成功打入供應鏈。3 3、研發團隊實力雄厚,以研發團隊實力雄厚,以 ALDALD 核心技術為核心技術為基點多元布局基點多元布

164、局 3.13.1、核心研發團隊實力雄厚,研發投入核心研發團隊實力雄厚,研發投入與轉化效益高與轉化效益高 公司自成立以來,不斷吸納海內外優秀人才,形成技術深厚、管理經驗豐富的核公司自成立以來,不斷吸納海內外優秀人才,形成技術深厚、管理經驗豐富的核心技術團隊與管理層,指導公司進行有效的產品開心技術團隊與管理層,指導公司進行有效的產品開發與技術研發戰略規劃。發與技術研發戰略規劃。在中 敬請參閱最后一頁特別聲明-50-證券研究報告 微導納米(微導納米(688147.SH688147.SH)國半導體制程設備國產化率偏低、晶圓廠認可度不高的環境下,我們認為將 ALD技術應用到半導體領域的新興薄膜沉積設備廠

165、商自初創起需要面臨“生存”、“成長”、“初心”三大難題。公司致力于打造成為 ALD 技術平臺公司,并推動 ALD設備在半導體領域的國產化,公司創始人團隊擁有深厚的半導體 ALD 工藝技術研發與量產導入經驗,CTO 及共同創始人 LI WEI MIN 博士曾在芬蘭赫爾辛基大學師從 ALD 技術發明人,自 1994 年開始 ALD 技術工作,先后在 ASM 國際、Silecs、Picosun 等國際知名 ALD 設備公司任職,工藝理解深厚,對薄膜材料,工藝和設備技術在半導體、MEMS、傳感器、平板顯示器、光伏等產業化應用具有豐富的經驗。表表 2525:公司核心技術人員:公司核心技術人員(截至(截至

166、 20222022 年底)年底)姓名姓名 公司任職情況公司任職情況 學歷背景學歷背景 研究經歷、科研成果及榮譽研究經歷、科研成果及榮譽 主要負責的研發工作、對公司的具體貢獻主要負責的研發工作、對公司的具體貢獻 LI WEI MIN 任公司首席技術官并歷任公司 董事、副董 事長。芬蘭赫爾辛基大學無機化學專業博士研究生 擁有 25 年以上原子層沉積(ALD)技術的研發和產業化經驗,掌握國際領先的原子層沉積技術,是最早開始研究 ALD 技術的華人之一,在國際 ALD 技術領域享有較高聲譽;在國際主流雜志及專業會議發表論文 50 多篇,承擔國內外政府科技項目共 8項,長期致力于 ALD 技術的國際合作

167、和在中國的推廣;獲 2021年國家級人才、2020 年江蘇省“雙創團隊”帶頭人、2019 年無錫市太湖創新領軍型團隊帶頭人、2016 年江蘇省“雙創人才”榮譽,是江蘇省產業教授、SEMI 光伏標委會核心委員、第三代半導體人才發展委員會委員。負責產品和技術研發戰略規劃與方向決策、研發體系搭建、先進設備產品的開發和產業化;主導公司核心項目研發,形成了多個擁有自主知識產權的原子層沉積設備及鍍膜技術;指導實現了公司 ALD 技術在光伏領域的產業化,并推廣至半導體等其他領域,打破國外壟斷;在公司業務、技術領域的拓展、核心技術問題突破以及客戶產線驗證等方面發揮了領導作用;為發行人 47 項授權專利(其中發

168、明專利 8 項)的發明人,37 項在申請發明專利的發明人。LI XIANG 任 發 行 人 副 總 經理,兼任產業化應用 中心、新材料等事業部 CEO,歷任發行人應用 總監、研發部副總 經理、聯席首席技術官 新加坡南洋理工大學電氣與電子工程專業博士研究生 半導體器件及制造工藝技術專家,曾從事新型半導體器件制造工藝和整合的研發工作,積累了豐富的原子層沉積 ALD 工藝技術研發和量產導入經驗,對于 ALD 工藝在微納器件上的應用有著深刻的理解;在國內外核心期刊發表論文 35 篇;獲 2020 年江蘇省“雙創團隊”核心成員、2019 年無錫市太湖創新領軍型團隊核心成員、2018 年江蘇省“雙創人才”

169、、2018 年無錫市太湖創新領軍人才、2016 年江蘇省“雙創博士”。負責開發 ALD 技術的前沿工藝和在多個重點工業領域的產業化應用;主持公司江蘇省原子層沉積技術工程技術研究中心和江蘇省研究生工作站,作為項目研發負責人主持并參加多個省級研發項目;指導實現公司在光伏、集成電路、新型存儲器等多個領域產業化項目中形成重大技術突破;承擔研發團隊管理工作,逐步為公司培育出一個以 ALD 工藝、應用和產業化為特色的研發團隊;為發行人 34 項授權專利(其中發明專利 7 項)的發明人,30 項在申請發明專利的發明人。許所昌 任發行人半導體事業部工藝副 總監,歷 任 公 司 研 發 主管、研發經理 中國科學

170、院大連化學物理研究所物理化學專業博士研究生 多年半導體行業薄膜工藝研發經歷,致力于先進半導體工藝和技術開發;在 28nm 及以下先進制程中原子層沉積技術應用方面積累了大量經驗,參與政府科技項目共 4 項;獲 2021 年江蘇省“雙創人才”、2021 年無錫市“太湖人才計劃”創新領軍人才、2020 年江蘇省“雙創團隊”核心成員、2019 年江蘇省“雙創博士”。負責公司半導體事業部工藝部門組建及半導體相關原子層沉積工藝技術攻關和產業化;主導公司首臺用于邏輯芯片 28nm HfO2 柵氧原子層沉積工藝開發并通過客戶產線驗收,打破國外技術壟斷,推動先進薄膜沉積技術的發展;為發行人 17 項授權專利(其

171、中發明專利 1 項)的發明人,11 項在申請發明專利的發明人。吳興華 任公司光伏事業部副總經理 中山大學物理專業碩士研究生 擁有 15 年以上高效率太陽能電池設備與高效電池技術研發經驗,曾任中國臺灣工業技術研究院高級工程師,長期致力于高效率電池技術開發與產業化研究,在 N 型高效電池制造領域積累了豐富的經驗;發表論文 6 篇;榮獲工研院杰出金牌研究獎。負責光伏事業部的業務與產品戰略發展規劃,推動研發團隊進行新型高效電池設備開發與產業化驗證;指導打破技術壁壘,形成新型高效電池整體薄膜沉積方案并促成下游企業進行技術升級,帶動公司產品和技術發展,提高核心競爭優勢;為發行人 9 項授權專利的發明人,5

172、項在申請發明專利的發明人。資料來源:公司招股說明書,公司 2022 年年報,光大證券研究所 公司持續加大研發投入,持續補充研發人才保持競爭活力。公司持續加大研發投入,持續補充研發人才保持競爭活力。2022 年,公司研發費用占營業收入比重為 20%,遠高于行業可比公司平均水平,北方華創/中微公司/拓荊科技/捷佳偉創分別為 13%/16%/22%/5%。2019-2021 年公司研發人員數量占總體員工人數比重維持在 30%以上,與半導體核心工藝環節刻蝕、薄膜沉積等其他龍頭公司不相上下。2022 年可比公司北方華創/中微公司/拓荊科技/捷佳偉創研發人員比重分別為 29%/43%/40%/20%。20

173、22 年公司研發人員數量占比有所下滑至 23%,主要是光伏市場開拓迅猛,設備售后支持人員數量增加,公司員工總體規模迅速擴大。敬請參閱最后一頁特別聲明-51-證券研究報告 微導納米(微導納米(688147.SH688147.SH)圖圖 6969:可比公司研發費用占營業收入比重:可比公司研發費用占營業收入比重(%)圖圖 7070:可比公司研發人員數量占比:可比公司研發人員數量占比(%)資料來源:wind,光大證券研究所 資料來源:wind,光大證券研究所 公司研發投入在產品與工藝的突破升級表現顯著,展示公司強大的研發效益轉換公司研發投入在產品與工藝的突破升級表現顯著,展示公司強大的研發效益轉換能力

174、能力。公司目前已形成包括原子層沉積反應器設計、高產能真空鍍膜、真空鍍膜設備工藝反應氣體控制、納米疊層薄膜沉積、高質量薄膜制造、工藝設備能量控制、基于原子層沉積的高效電池技術七大自主研發的核心技術,有效解決 ALD的多領域適用性、生產效率與生產成本問題。利用這七大核心技術設計的產品銷售收入占營業收入比重自 2019 年以來始終維持在 90%以上,2022 年上半年為97.79%。表表 2626:公司七大核心技術:公司七大核心技術 技術名稱技術名稱 技術特征技術特征 專利情況專利情況 光伏領域應用光伏領域應用情況情況 半導體領域應半導體領域應用情況用情況 原子層沉積反應器原子層沉積反應器設計技術設

175、計技術 包含時間及空間型 ALD;滿足了大小尺寸晶硅太陽能電池片、2 至 18 英寸硅基半導體及化合物半導體晶圓,以及微納米級粉末、納米線、2D 材料等各類型基底的 ALD 反應需求;具備向原子層刻蝕設備、化學氣相沉積設備和其他電子工業設備等產品的延伸性;解決了針對不同基底所需 ALD 沉積工藝進行的真空環境問題;可在一臺設備上實現多種 ALD 工藝組合;通過內外雙腔獨立結構,隔離了反應氣體和反應環境控制系統,增強了反應可控性,同時延長了單次維護所用時間,降低了生產成本;解決了雙腔體工藝氣體分布與基片傳輸邏輯的問題,可以有效提高生產效率 授權發明專利 4 項 已產業化應用 已產業化應用 高產能

176、真空鍍膜技高產能真空鍍膜技術術 獨特的氣體輸送系統、反應腔體、勻流系統、基底裝載及加熱系統以及工藝條件控制等設計相結合+解決了反應環境控制、在線工藝監測、機械運動保護、顆粒度控制、薄膜均勻性等關鍵技術難題,擁有出色量產性能、安全性與穩定性;通過對于基片承載裝置及其運動邏輯進行自主設計,大大提高了工作效率,滿足大批量工業化生產型 ALD 設備的要求;通過延長清理周期的新型氣體分配裝置、反應源處理裝置、碎片自動清理裝置等設計,提高了設備維護周期 授權發明專利 3 項 已產業化應用 已產業化應用 真空鍍膜設備工藝真空鍍膜設備工藝反應氣體控制技術反應氣體控制技術 通過對由噴淋板、脈沖閥及真空腔室的配合

177、設計,保證了進入噴淋板的反應氣體的進氣管和氣體分布管是完全獨立的,實現了不同反應氣體在進入反應腔前相互隔離,有效避免了 CVD反應的產生,縮短了殘余氣體反應物及氣體反應產物的清洗時間,提高了沉積速度;噴淋板使氣流進入反應腔分布均勻,確保了反應氣體在反應腔的任何部位的氣體濃度相同,解決了影響薄膜沉積厚度的氣體濃度差異問題;授權發明專利 2 項 已產業化應用 已產業化應用 敬請參閱最后一頁特別聲明-52-證券研究報告 微導納米(微導納米(688147.SH688147.SH)針對大批量裝載基底進行均勻鍍膜是薄膜沉積技術的難點,通過大量仿真計算以及試驗驗證,形成了獨特的噴淋板-勻流板配套設計技術,在

178、氣體通道中設置勻流結構,解決了真空狀態反應氣體在高阻力條件下的氣體分布均勻性的問題,解決了大批量基底裝載中普遍存在的反應氣體分布導致的工藝均勻性不佳的問題 納米疊層薄膜沉積納米疊層薄膜沉積技術技術 在時間型 ALD 制程中,化學反應以脈沖方式分階段進行,并交替生長出成分相異的薄膜材料,在納米量子阱、納米疊層材料和多元材料制備過程中,控制精度達到亞納米級別;在空間型 ALD 制程中,通過對隔離裝置的設計,使得反應氣體以及催化氣體的混合反應在空間上實現局域化,基底在多個周期不同薄膜局域化獨立反應空間中相對運動,沉積對應的疊層薄膜 授權發明專利 5 項 已產業化應用 已產業化應用 高質量薄膜制造技高

179、質量薄膜制造技術術 利用等離子體增強技術,能在不影響薄膜其他的性能的基礎上,有效降低薄膜沉積反應所需溫度,并能有效拓寬沉積工藝中化學源的選擇性,同時有效改善薄膜均勻性。其中工藝氣體分布、脈沖切換設計、反應腔內溫度與壓力、載具設計,以及電極設計等構成工藝中的關鍵技術要素;過對流化床技術進行結構改進,在總容納空間不變的前提下,增加了流化反應發生的表面積;通過控制內腔體粉末溫度一致性,顯著改善量產時的粉末表面高質量鍍膜效果。授權發明專利 1 項 已產業化應用 已產業化應用 工藝設備能量控制工藝設備能量控制技術技術 采用等離子體作為能量控制手段,可以有效降低反應溫度,實現多種材料的低溫沉積工藝。通過針

180、對等離子體生成、傳送、控制時序、屏蔽等設計,可以有效使得工藝過程中的等離子體達到穩定狀態,實現薄膜沉積的精準控制,并避免打火造成的硬件失效或產品損傷;合理使用催化劑,有效降低反應活化能,實現在聚合物等非耐溫材料的高質量薄膜制備工藝;通過對流道以及相關器件的設計,可以實現大流量流體的高精度的溫度控制,從而保持穩定的工藝環境;通過對施加在高效太陽能電池片上的溫度、電流、電壓等能量的精準控制,可修復高效電池內的界面和體壽命缺陷,從而提高電池穩定性 授權發明專利 1 項 已產業化應用 已產業化應用 基于原子層沉積的基于原子層沉積的高效電池技術高效電池技術 ALD 由于其對基底覆蓋率好,容易造成對基底的

181、“繞鍍”,薄膜容易在基底的所有部位生長,該技術解決了一直以來影響 ALD 技術在多個工業化領域應用的繞鍍問題,有效實現了晶硅太陽能電池片批量化的單面與雙面鍍膜的關鍵性技術突破,實現了 ALD 制程對部分基底的選擇性沉積 授權發明專利 7 項 已產業化應用-資料來源:公司招股說明書,光大證券研究所 在研項目多元,覆蓋半導體與泛半導體、新能源領域,為公司持續增長不斷造血在研項目多元,覆蓋半導體與泛半導體、新能源領域,為公司持續增長不斷造血。公司目前主要實施的研發項目有 13 個左右,涉及光伏電池、新能源電池、半導體、化合物半導體、柔性電子等領域。除介質材料沉積外,覆蓋尖端存儲器制造關鍵低溫工藝及裝

182、備,在線超純水加熱設備、晶圓探測針臺等半導體制造輔助裝備,晶圓傳輸平臺等,打造一個應用廣、輔助配套齊備的 ALD 技術平臺公司,持續鞏固護城河。表表 2727:公司正在:公司正在實施的主要研發項目實施的主要研發項目 序號序號 項目名稱項目名稱 預計總投資預計總投資 規模規模/萬元萬元 本期投入本期投入 金額金額/萬元萬元 累計投入累計投入 金額金額/萬元萬元 進展或階段性進展或階段性成果成果 擬達到目標擬達到目標 技術水平技術水平 具體應用前景具體應用前景 1 半導體制造 ALD設備平臺 6,359.00 2,412.28 7,715.26 產業化應用,并持續開發中 開發具有國際水平的半導體制

183、造ALD設備產品及配套工藝平臺 國際同類先進水平 半導體等領域 2 RD 13 5,000.00 2,871.54 3,455.17 產業化驗證,并持續開發中 研發工藝用高生產率配置真空鍍膜系統,采用新型循環摻雜比例的技術,將多元化合物的組成比控制在個別應用領域元件所需的組合比,提供了解決目前存儲器器件制造方案,滿足復雜 3D 超高深寬比結構中對薄膜覆蓋度、保型性的工藝要求;結合等離子體系統技術,滿足多種介質層沉積工藝需求 目標達到國際先進水平 半導體等領域 3 超大集成電路尖端制造設備/批量型集成電路 ALD系統研發 2,000.00 4.01 4.01 開發實現階段 開發具有成膜速度快,占

184、地面積小,產能高、使用成本低的批量型 ALD 系統和工藝以及設備自動化需求的軟硬件控制系統,滿足集成電路及顯示產業應用需求的,可一次處理 25 片 12英寸晶圓,適用于薄膜質量高,成膜鍍率低,厚度要求高,以及產能要求高的關鍵工藝及應用 目標達到國際先進 半導體等領域 4 RD 15 800 545.67 729.78 產業化應用,并持續開發中 開發用于先進芯片制造高介電常數(High-k)材料的原子層沉積(ALD)設備及工藝 國際同類先進水平 半導體等領域 5 基于 300mm 晶圓半導體制造高產能自動化真空1,200.00 530.03 652.79 產業化應用,并持續開發中 開發生產ALD

185、和其它10nm以下的工藝腔體必備的低微塵、高產能的晶圓傳輸平臺的原子層沉積團簇平臺 國際同類先進水平 半導體等領域 敬請參閱最后一頁特別聲明-53-證券研究報告 微導納米(微導納米(688147.SH688147.SH)傳輸技術的研究與產業化 6 新一代化合物半導體mini-LED顯示技術關鍵工藝技術研發及產業化 300 524.52 533.84 產業化驗證,并持續開發中 本項目研發的針對新一代化合物半導體 MiniLED 顯示技術的設備可用于各類高、低溫薄膜工藝應用,特別是氮 化 硅 工 藝,能 夠 全 面 滿 足300mm/200mm 晶圓的薄膜沉積工藝需求,為先進邏輯芯片、存儲芯片、先

186、進封裝等提供介質層、圖案化等關鍵工藝解決方案 目標達到國際先進水平 新型顯示領域 7 先進化合物半導體及微機電關鍵工藝及產業化應用 500 749.32 852.21 產業化驗證,并持續開發中 開發 6/8 寸單片 ALD 系統,用于特殊半導體器件、MEMS、光電器件及化合物半導體器件等行業應用 目標達到國際先進水平 化合物半導體和微機電領域 8 高阻隔膜產業化技術研發 800 740.56 957.78 產業化應用,并持續開發中 開發幅寬大、阻隔等級超高的量產型卷對卷空間原子層設備及配套自動化裝備 國際同類先進水平 柔性電子材料 9 TOPCon 整線技術的開發 4,515.00 1,690

187、.07 3,842.83 產業化應用,并持續開發中 開發出可量產的批量型等離子增強型設備兼容 ALD(PEALD)和 PECVD薄膜沉積技術及其配套產品,同時完成 TOPCon 電池正面鈍化層及減反射層、背面隧穿層及多晶硅層的制作 國際同類先進水平 光伏領域 10 大 尺 寸 硅 片PEALD/PECVD設備 3,800.00 1,064.47 3,775.75 產業化應用,并持續開發中 開發基于等離子增強型的 ALD 設備(PEALD),以及配套設備,使其能夠滿足相關工藝加工需求 國際同類先進水平 光伏領域 11 高效太陽能晶硅電池接觸鈍化技術的研究與產業化 600 2,354.88 2,6

188、72.41 產業化應用,并持續開發中 開發應用于新型高效電池技術生產工序中的正背膜鈍化設備,確保光電轉換效率的進一步提升,并進一步提升了高效電池的產能 國際同類先進水平 光伏領域 12 疊層電池技術研發 1,000.00 76.51 375.78 產業化驗證,并持續開發中 開發一種等離子體鍍膜用電極結構,保證鍍膜均勻性;開發一種沉積多種材料類型的鍍膜技術,保證硅異質結電池(疊層電池)技術靈活性,為更高效電池效率的取得提供可能性 目標達到國際先進水平 光伏領域 13 應用于新能源電池的 ALD 鍍膜設備的研發及產業化 1,532.00 275.68 759.77 開發實現階段 開發出批量式粉末

189、ALD 沉積設備、新能源及催化材料改性柔性材料 ALD沉積設備,在精確控制鍍膜厚度的同時,提升包覆率、均勻性,提高材料性能,降低原材料耗用量以及提升產能,生產成本 目標達到國際先進水平 新能源 資料來源:公司 2022 年年報,光大證券研究所 表表 2828:公司產學研合作情況:公司產學研合作情況 序號序號 項目名稱項目名稱 合作方合作方 合作有效期合作有效期 合作協合作協議主要內容議主要內容 專利、產品等權利義務劃分約定專利、產品等權利義務劃分約定 1 戰略合作協議 上海交通大學太陽能研究所 2021 年 1 月 19 日至2026 年 1 月 18 日 1、聯合開發高效太陽電池技術,包括并

190、不限于基于晶硅電池的疊層電池技術,并配置適當資源共同建設試驗線;聯合項目中與設備及設備相關材料和工藝的知識產權及其申請權歸微導納米所有,電池技術相關的知識產權及其申請權歸雙方共同所有;對雙方合作開發完成的發明創造,申請專利的權利由雙方共同享有 2、合作培養高級科技復合型光伏技術裝備及管理實用人才 2 產學研合作框架 南京大學現代工程與應用科學學院 2021 年 2 月 5 日至2024 年 2 月 4 日 1、聯合開發應用于先進集成電路制造的各類 ALD 相關工藝,以及先進器件結構相關基礎研究、應用及產業化;2、雙方聯合培養高級科技復合型集成電路 ALD 裝備碩士以上研究生人才 聯合項目中與設

191、備及設備相關材料和工藝的知識產權及其申請權歸公司所有,其中設備相關指公司所有的設備本身或由公司獨立利用其設備開發的相關材料和工藝等,除此之外,申請專利的權利由雙方共同享有 3 產學研合作意向 芬蘭赫爾辛基市赫爾辛基大學化學系 后續具體合同協議中另行約定 ALD 燃料電池技術的新型化學和工藝的研發和產業化-資料來源:公司招股說明書,光大證券研究所 微導納米是國內首家推出 28nm 制程邏輯芯片柵極介質層 HfO2薄膜沉積量產型ALD 設備的公司,并取得重復訂單,產品具備核心技術能力與護城河,未來下 敬請參閱最后一頁特別聲明-54-證券研究報告 微導納米(微導納米(688147.SH688147.

192、SH)游晶圓廠擴產對公司 ALD 設備具有一定需求。此外,目前國內目前國內 ALDALD 布局者雖眾布局者雖眾多,但公司覆蓋工藝類型較為齊全,工藝驗證較多,具備一定的領先性。多,但公司覆蓋工藝類型較為齊全,工藝驗證較多,具備一定的領先性。表表 2929:國內國內布局布局 ALDALD 設備的廠商眾多,但多設備的廠商眾多,但多處于起步階段處于起步階段 公司公司 產品產品 單片單片 立式立式 ALDALD 產品產品 應用領域應用領域 產業化進展產業化進展 收入收入 拓荊拓荊 PE-ALD SADP工藝、STI表面薄膜 產業化應用 2021 年 ALD 收入 2862 萬元 2022 年 ALD 收

193、入 3258.67萬元 應用于128層以上3D NAND FLASH存儲芯片、19/17nm DRAM存儲芯片晶圓制造,可以沉積SiO2和SiN介質材料薄膜 產業化驗證 T-ALD 應用于邏輯芯片 28nm 以下制程,沉積 Al2O3、AlN 等多種金屬化合物薄膜材料 產業化驗證 微導微導 PE-ALD 第三代化合物半導體鈍化層和過渡層 產業化驗證 T-ALD 邏輯芯片的 High-K 柵氧層薄膜沉積 產業化應用 2021 年收入 2520 萬元 2022 年確認一臺收入 存儲芯片的高 K 柵電容介質層(單元和多元摻雜介質層)和覆蓋層、半導體量子器件超導材料導電層、第三代化合物半導體鈍化層和過

194、渡層 產業化驗證 北方華創北方華創 PE-ALD 用于沉積 SiO2、SiNx、TiN、AlN 等多種膜層/T-ALD HKMG 工藝 產業化應用 盛美盛美 T-ALD 沉積氮化硅(SiN)和碳氮化硅(SiCN)薄膜;出廠的首臺 Ultra Fn A 設備將用于 28nm 邏輯制造流程,以制造側壁間隔層 產業化驗證 2022 年推向兩家關鍵客戶,中微中微 存儲鎢 ALD 設備;高端存儲和邏輯器件的 ALD 氮化鈦設備 實驗室測試 青島思銳智能青島思銳智能 T-ALD PEALD 柵極電介質(包括高縱橫比溝槽)到 Brac 鍍膜、終極鈍化或封裝等 產業化應用 ASMASM T-ALD HKMG

195、工藝;金屬氧化物;金屬氮化物 量產 2020 年 ALD 全球市場份額30%;2022 年 ALD 占收入比重為58%,約 14 億歐元。PEALD 圖案層;柵極側墻和襯底溝槽填充 量產 LAMLAM 3D NAND 和 DRAM 的低氟、低應力鎢填充;鎢塞、觸點和通孔填充;3D NAND 字線;低應力復合互連;多重圖案化;刻蝕停止層等 量產 2022 財年總收入 172 億美元 TELTEL 批量式 量產 2020 年 ALD 全球市場份額為 18%;2022 財年總收入為 164 億美元 KEKE 批量式 量產 2022 財年總收入大于 17 億美元 資料來源:各公司官網,各公司年報,各公

196、司官方微信公眾號,微導納米公司公告,光大證券研究所整理 注:思銳智能成立于 2018 年并于同年 9 月收購了芬蘭的 BENEQ 公司;市場份額指的是市場銷售收入,數據來源為 yole 3.23.2、光伏光伏訂單放量,基本盤穩固為半導體研發訂單放量,基本盤穩固為半導體研發賦能賦能 公司公司成立初期,國內晶圓廠產線半導體設備進口主導,優秀國產設備公司尚未崛成立初期,國內晶圓廠產線半導體設備進口主導,優秀國產設備公司尚未崛起,光伏新能源需求增加,電池技術進入加速迭代階段起,光伏新能源需求增加,電池技術進入加速迭代階段。半導體設備研發驗證周期長,導致研發投入回報周期長,為適應市場環境,公司 ALD

197、設備率先用于光伏電池片,迅速打開光伏市場,為公司積攢充足現金流。2022 年公司光伏設備收入 5.01 億元,2022 年末光伏設備在手訂單 19.67 億元,2023 年初至 2023年 4 月 25 日,公司新簽光伏設備 20.16 億元,與 2022 年末在手訂單相當,可可見見光伏放量加速光伏放量加速。2023 年 TOPCon 擴產節奏加快,我們預計驗收確認節奏相對會加速,為公司提供堅實的基本盤,賦能半導體事業發展。敬請參閱最后一頁特別聲明-55-證券研究報告 微導納米(微導納米(688147.SH688147.SH)圖圖 7171:微導納米專用設備收入:微導納米專用設備收入總額及總額

198、及結構結構(億元)(億元)圖圖 7272:微導納米訂單:微導納米訂單金額及金額及結構結構(億元)(億元)資料來源:Wind,光大證券研究所 資料來源:公司公告,光大證券研究所 同時公司同時公司半導體半導體 ALDALD 國產化替代方向國產化替代方向,加大半導體,加大半導體 ALDALD 設備研發投入,加快試設備研發投入,加快試驗應用,在穩固營收基本盤中逐步實現半導體驗應用,在穩固營收基本盤中逐步實現半導體 ALDALD 設備的國產化替代,加速成設備的國產化替代,加速成長。長。目前公司已在邏輯、存儲、化合物半導體、新型顯示等細分應用領域取得國內多家知名半導體公司的商業訂單,客戶端產線認證工作正在

199、積極推進中,并取得部分工藝端重復訂單。2022 年公司半導體設備銷售收入 0.47 億元,2022 年年末半導體設備在手訂單 2.57 億元,而 2023 年以來,截至 4 月 25 日,半導體設備新簽訂單已達 2.42 億元。表表 3030:微導納米半導體工藝覆蓋與產業化情況:微導納米半導體工藝覆蓋與產業化情況 設備類型設備類型 鍍膜工藝鍍膜工藝 應用領域應用領域 產業化階段產業化階段 TALD HfO2工藝 邏輯芯片高 k 柵介質層 產業化應用 HfO2工藝 存儲芯片高 k 柵電容介質層(單元和多元摻雜介質層)產業化驗證 ZrO2工藝 La2O3工藝 TiO2工藝 存儲芯片高 k 柵覆蓋層

200、 產業化驗證 TALD TiN 工藝 半導體量子器件超導材料導電層 產業化驗證 Al2O3和 AlN 工藝 第三代化合物半導體鈍化層和過渡層 產業化驗證 PEALD Al2O3和 AlN 工藝 第三代化合物半導體鈍化層和過渡層 產業化驗證 TALD Al2O3和 TiO2工藝 硅基微型顯示芯片阻水阻氧保護層 產業化驗證 CVD 氧化物、氮化物等 存儲芯片鈍化層、擴散阻擋層、介電層、硬掩膜層、高級圖案化層、電容覆蓋層等 開發實現 真空傳輸系統 -半導體設備晶圓傳輸平臺系統 產業化應用 資料來源:微導納米招股說明書,公司 202 年年報,公司投資者調研紀要,光大證券研究所 3.33.3、ALDAL

201、D 技術技術具平臺延展優勢,布局具平臺延展優勢,布局 CVDCVD 打開成長空打開成長空間間 公司核心技術公司核心技術 ALDALD 工藝具備優異到均勻性與一致性,應用潛力廣泛。工藝具備優異到均勻性與一致性,應用潛力廣泛。ALD 技術作為一種具有普適意義的真空鍍膜技術,由于其超薄的膜厚、極高的均勻度及優異的三維共形性,使其在更微觀的納米級別可產生諸多特殊性質,在柔性電子等新型顯示、MEMS、催化及光學器件等諸多高精尖領域均擁有良好的產業化前景,為公司后續發展提供廣闊空間。敬請參閱最后一頁特別聲明-56-證券研究報告 微導納米(微導納米(688147.SH688147.SH)以以 ALDALD

202、技術為核心,差異化布局技術為核心,差異化布局 CVDCVD 等多種真空鍍膜梯次產品。等多種真空鍍膜梯次產品。公司憑借現有薄膜沉積類產品研發與產業化經驗,差異化開發多種 CVD 真空鍍膜產品。微導納米以差異化策略布局部分 CVD 工藝段,有利于建立 CVD 領域市場地位。據微導納米 2022 年年報援引 SEMI 預測數據,CVD 約占鍍膜板塊的 57%市場份額,遠高于 ALD 11%左右的市場份額,CVD 設備的布局將為公司打開成長空間。表表 3131:各家:各家 PECVDPECVD、LPCVDLPCVD 薄膜工藝對比薄膜工藝對比 公司與主要薄膜設備公司與主要薄膜設備 應用工藝應用工藝 微導

203、納米 PECVD 高溫硬掩模等,與拓荊科技差異化競爭 拓荊科技 PECVD SiO2、SiN、TEOS、SiON、SiOC、FSG、BPSG、PSG 等通用介質薄膜材 料,以及 LoK、LoK、ACHM、ADC、HTN、a-Si 等先進介質薄膜材料。多種不同工藝指標的先進薄膜材料(包括 LoK、ACHM、ADC、HTN 等)和設備均通過客戶驗證,進入量產產線。PECVD【NF-300H(六站式)】在 DRAM 存儲芯片制造領域實現首臺產業化應用,并取得客戶復購訂單,可沉積 Thick TEOS 介質材料薄膜。該設備每次可以同時最多處理 18 片晶圓。北方華創 LPCVD 二氧化硅(LTO、TE

204、OS)、氮化硅(Si3N4(含低應力)、多晶硅(LP-POLY)、磷硅玻璃(BSG)、硼磷硅玻璃(BPSG)、摻雜多晶硅、石墨烯、碳納米管等多種薄膜;28nm 及以上的集成電路、先進封裝、功率器件;氮化硅薄膜淀積,二氧化硅薄膜淀積,多晶硅薄膜淀積,非晶硅薄膜淀積 資料來源:拓荊科技 2022 年年報,北方華創官網,微導納米 2022 年年報,光大證券研究所 表表 3232:拓荊科技產品布局:拓荊科技產品布局 設備類型設備類型 結構結構 邏輯邏輯 3D 3D NandNand DRAMDRAM 近況近況 PECVD【PF-300T(雙站式)】雙站式 訂單量穩定增長,市場占有率不斷提升,已獲得現有

205、及新客戶的驗收;28nm 以下在驗證 PECVD【NF-300H(六站式)】六站式 在 DRAM 存儲芯片制造領域實現首臺產業化應用,并取得客戶復購訂單,可沉積Thick TEOS 介質材料薄膜。該設備每次可以同時最多處理 18 片晶圓 PE-ALD 系列:PF-300T(雙站式)雙站式 在 40/28nm 及以下 SADP、STI Liner,55-40nm BSI 工藝的晶圓制造以及封裝領域已實現產業化應用;在 DRAM 存儲芯片制造領域進行產業化驗證 PE-ALD 系列:NF-300H(六站式)六站式 在 128 層 3D NAND FLASH 芯片制造領域進行產業化驗證且進展順利,可以

206、沉積高溫、低溫、高質量等 SiO2介質材料薄膜 Thermal-ALD【PF-300T(雙站式)】雙站式 設備已完成研發,并出貨至不同客戶端進行驗證,可以沉積 Al2O3、AlN 等多種金屬化合物薄膜材料 Thermal-ALD【TS-300(多邊形高產能平臺)】六邊形 設備已完成研發,并出貨至不同客戶端進行驗證,可以沉積 Al2O3、AlN 等多種金屬化合物薄膜材料 SACVD 系列:PF-300T(雙站式)雙站式 可實現 SA TEOS、BPSG、SAF 薄膜工藝沉積的 SACVD 設備均通過客戶驗證 TS-300(多邊形高產能平臺)六邊形 設計六邊形傳輸平臺的設計,實現同時搭載最多五個反

207、應腔(10 個反應站),提高薄膜沉積設備的產能;可以進行多種工藝的集成組合,實現在真空環境下進行連續多步驟沉積處理;高產能平臺可以搭載 PECVD 反應腔、ALD 反應腔及 HDPCVD 反應腔,已取得現有及新客戶訂單 HDPCVD【PF-300T(單站式)】單站式 已出貨至客戶端進行產業化驗證,2023 年至今已通過產線驗證,實現銷售 HDPCVD【TS-300(多邊形高產能平臺)】六邊形 已取得客戶訂單 UV Cure 可以與 PECVD 設備成套使用,為 PECVD Lok II、HTN 等薄膜沉積進行紫外線固化處理,已通過不同客戶產線驗證,實現銷售收入,并實現產業化應用 混合鍵合系列

208、Dione 300 出貨至客戶端進行驗證 混合鍵合系列 Pollux 出貨至客戶端進行驗證 資料來源:拓荊科技招股說明書,拓荊科技公告,光大證券研究所 敬請參閱最后一頁特別聲明-57-證券研究報告 微導納米(微導納米(688147.SH688147.SH)4 4、盈利預測與投資建議盈利預測與投資建議 4.14.1、關鍵假設及盈利預測關鍵假設及盈利預測 (1)(1)關鍵假設關鍵假設 半導體設備半導體設備 公司半導體設備以公司半導體設備以 ALDALD 技術為核心,拓展技術為核心,拓展 CVDCVD 等其他梯次薄膜沉積設備。等其他梯次薄膜沉積設備。(1 1)公司現已開發出多款)公司現已開發出多款

209、ALDALD 設備,客戶端驗證加速。設備,客戶端驗證加速。iTomic 系列 ALD 鍍膜系統已在 28nm 邏輯芯片 High-k 柵氧層上取得重復訂單、可一次處理 25 片 12英寸晶圓的 iTomic MW 系列批量式 ALD 鍍膜系統處于產業化驗證中、可按需配置 PEALD 或 TALD 等工藝需求的 iTomic Lite 系列輕型 ALD 鍍膜系統已處于產業化驗證中;iTomic PE 系列等離子體增強 ALD 鍍膜系統可為邏輯芯片、存儲芯片、先進封裝等提供定制化掩膜層、介質層、圖案化等關鍵工藝解決方案,目前亦處于產業化驗證中;(2 2)iTronix iTronix 系列系列 C

210、VD CVD 系統則是應客戶需求開發,可應用于多種鍍膜領域系統則是應客戶需求開發,可應用于多種鍍膜領域。適用于制備氧化硅、氮化硅、氮氧化硅、非晶碳、非晶硅、摻雜非晶硅、鍺硅等不同種類薄膜,可應用于邏輯、存儲、先進封裝、顯示器件以及化合物半導體等領域芯片制造,我們預計 CVD 系列設備 2023 年可實現交付至客戶量產線端進行驗證。設備企業市場空間有兩大影響因素,一是晶圓廠資本開支,二是國產設備企業市場空間有兩大影響因素,一是晶圓廠資本開支,二是國產化率?;?。雖然SEMI 最新報告指出,受芯片需求疲軟以及消費者和行動裝置庫存增加影響,下調 2023 年全球前端晶圓廠設備支出總額,預計將從 20

211、22 年創紀錄的 980 億美元下滑 22%至 760 億美元;2024 年增長 21%至 920 億美元。但鑒于目前中美科技競爭,海外高端半導體設備供應鏈受破壞背景,國內晶圓廠導入國產設備驗證意愿相較此前增強,半導體設備國產化率不斷提高,加上國內晶圓廠逆周期投資,我們認為國內半導體行業國產替代將帶來可觀的設備市場份額。微導納米作為國內第一家憑借 TALD 率先打入 28nm 柵介質層 HfO2工藝的半導體設備廠,在 ALD 領域市場地位已樹立,且該工藝難度高,市場追趕難度大,時間窗口期長,公司有望在下游晶圓廠先進制程擴產中在司有望在下游晶圓廠先進制程擴產中在 ALDALD 應用的工藝環節應用

212、的工藝環節中獲得優勢訂單。中獲得優勢訂單。2022 年公司半導體設備(包括晶圓傳輸平臺)實現營業收入0.47 億元,2022 年年末公司半導體設備在手訂單 2.57 億元,2023 年 1 月初至2022 年年報發布當日(4 月 25 日)新簽半導體設備訂單 2.42 億元,綜合上述分析,我們預計公司我們預計公司 2023/2024/20252023/2024/2025 年半導體專用設備實現營業收入年半導體專用設備實現營業收入 2/5/102/5/10億元,對應同比增速為億元,對應同比增速為 326%/150%/100%326%/150%/100%。2022 年確認收入的半導體 ALD 設備僅

213、有一臺,其余為晶圓傳輸平臺,毛利率較低,為 37.24%,鑒于公司目前新簽半導體 ALD 機臺逐步增多,未來交付的半導體設備以 ALD 機臺為主,產品銷售結構發生變化,毛利水平走高,參考可比公司拓荊科技與中微公司 2022 年半導體設備分別為 49.21%、45.74%的毛利率水平,我們預計隨著公司半導體 ALD、CVD 設備驗證機臺與量產型機臺交付確收量的逐步增加,盈利能力逐步提升,2023/2024/20252023/2024/2025 年公司半導體專用設備毛年公司半導體專用設備毛利率水平分別為利率水平分別為 48%/49%/50%48%/49%/50%。光伏設備光伏設備 公司在高公司在高

214、效光伏電池片工藝覆蓋度與新技術品類覆蓋度逐漸效光伏電池片工藝覆蓋度與新技術品類覆蓋度逐漸提高提高。公司 ALD 設備在 TOPCon 電池具有金字塔絨面的正面 Al2O3鈍化層制備中正成為主流技術路線,并基于 PEALD、PECVD 等多種真空鍍膜技術,開發面向 XBC、HJT、鈣鈦礦等新一代高效電池鍍膜設備。在當前主流擴產 TOPCon 電池技術路線中,敬請參閱最后一頁特別聲明-58-證券研究報告 微導納米(微導納米(688147.SH688147.SH)公司與先導智能合作可供應整線設備,公司可提供到工藝段包括正面 Al2O3鈍化層、正面減反層、背面 SiNx 減反層、隧穿與摻雜多晶硅層、擴

215、散、退火等,占整線工藝價值量高。能源轉型背景下,光伏新增裝機需求增加,降本增效推動行能源轉型背景下,光伏新增裝機需求增加,降本增效推動行業新技術迭代窗口逐業新技術迭代窗口逐漸縮窄,利好設備廠商。漸縮窄,利好設備廠商。2022 年公司光伏設備實現營業收入 5.01 億元,同比增長 82%,2022 年末公司光伏設備在手訂單 19.67 億元,2023 年 1 月初至 2022年年報發布當日(4 月 25 日)新簽光伏設備訂單 20.16 億元。據公司 2022 年公開業績交流會,光伏 ALD 設備集中在 2022 年下半年出貨,2022 年末、2023年 Q1 末存貨分別為 9.75 億元、14

216、.48 億元,其中 2022 年末發出商品占存貨比重高達 56%,TOPCon 設備驗收周期 6-14 個月,我們預計 2023 年將會有大批量已交付設備完成驗收確認收入。此外,公司產能水平不斷提高,交付能力增強,下游 TOPCon 電池廠客戶產能建設迫切。在 TOPCon 擴產熱潮中公司積極布局下一代高效電池技術專用設備,如 HJT、鈣鈦礦等領域,以解決光伏領域未來訂單可能出現青黃不接現象。我我們預計們預計 2023/2024/20252023/2024/2025 年公司光伏設備營業收入增速為年公司光伏設備營業收入增速為 130130%/%/60%/3060%/30%,對,對應營業收入為應營

217、業收入為 11.5211.52/18.4318.43/2/23.93.97 7 億元。億元。2020/2021/20222020/2021/2022 年公司光伏設備毛利率分別為年公司光伏設備毛利率分別為 50.83%/32.86%/35.9550.83%/32.86%/35.95%,因市場競爭與產品結構而波動較大。當前公司 ALD 設備已以優勢地位成功導入TOPCon 正面 Al2O3鈍化層,由于光伏電池片市場競爭較為激烈,具備強者恒強特點,我們認為未來公司優勢 ALD 產品仍將保持優勢地位,其他 PECVD、擴散、退火設備市場會逐步打開,但由于競爭激烈毛利率水平會相對較低。隨著 2023年以

218、來公司光伏 ALD 設備收入放量,盈利水平提高,但后期因高效技術成熟,設備降本等原因盈利水平或出現一定回調,我們預計我們預計 2023/2024/20252023/2024/2025 年公司光年公司光伏設備總體毛利率分別為伏設備總體毛利率分別為 36.5%/37%/36.5%36.5%/37%/36.5%。(2 2)費用假設)費用假設 銷售費用銷售費用:公司未來在半導體、光伏領域有多款產品推出,業務規模不斷擴大,訂單呈現規?;鲩L,因此銷售及支持人員將會呈現增長態勢。2022 年公司銷售費用率為 6.63%,2023 年為光伏 TOPCon 擴產大年,相對應的銷售及支持人員的補充需求相較前后幾

219、年會更大,因此我們預計 2023-2025 年公司銷售費用率為 7.2%/6.8%/6.8%。管理費用管理費用:公司未來仍處于產能擴張期,募投項目持續推進,相關管理人員預計會不斷增加。且公司 2023 年 3 月推出股權激勵計劃,限制性股票首次授予數量為 1,425.68 萬股,約占公司股本總額 45,445.54 萬股的 3.14%。2022 年公司管理費用率為 7.29%,因需要計提部分股權激勵費用至管理費用,我們合理預計2023-2025 年公司管理費用率穩定維持在 11%。研發費用研發費用:公司多款 ALD、CVD 產品處于研發、驗證階段,我們預計近幾年研發費用絕對值會呈現增長態勢。2

220、022 年公司研發費用率為 20.22%,隨著公司收入規模的增長,我們預計 2023-2025 年公司研發費用率為 19%/18%/16.5%。表表 3333:微導納米主營業務拆分及預測(單位:百萬元):微導納米主營業務拆分及預測(單位:百萬元)主營業務主營業務 20212021 20222022 2023E2023E 2024E2024E 2025E2025E 半導體設備半導體設備 25.20 46.98 200.00 500.00 1000.00 YOY/86%326%150%100%毛利率 52.20%37.24%48.00%49.00%50.00%敬請參閱最后一頁特別聲明-59-證券研

221、究報告 微導納米(微導納米(688147.SH688147.SH)主營業務主營業務 20212021 20222022 2023E2023E 2024E2024E 2025E2025E 光伏設備光伏設備 275.27 500.94 1152.16 1843.46 2396.50 YOY-8%82%130%60%30%毛利率 32.86%35.95%36.50%37.00%36.50%配套產品及服務配套產品及服務 127.03 118.22 130.04 143.05 157.35 YOY 854%-7%10%10%10%毛利率 72.68%67.71%67.71%67.71%67.71%其他業

222、務其他業務 0.41 18.37 25.72 30.87 35.50 YOY 475%4373%40%20%15%毛利率-21.31%42.31%42.31%42.31%42.31%總收入總收入 427.92427.92 684.51684.51 1,507.931,507.93 2,517.382,517.38 3,589.353,589.35 YOYYOY 0.370.37 60%60%120%120%67%67%43%43%毛利率毛利率 45.77%45.77%42.342.31%1%40.82%40.82%41.19%41.19%41.69%41.69%資料來源:Wind,光大證券研究

223、所預測 綜上,我們預測公司 2023-2025 年營業收入分別為 15.08/25.17/35.89 億元,增速為120%/67%/43%,毛利率 分別為 40.82%/41.19%/41.69%,歸母凈利潤分別為1.24/2.63/4.23 億元,增速為 129%/112%/61%,對應 EPS 分別為 0.27/0.58/0.93 元。表表 3434:公司盈利預測與估值簡表公司盈利預測與估值簡表 指標指標 20212021 20222022 2023E2023E 2024E2024E 2025E2025E 營業收入(百萬元)428 685 1,508 2,517 3,589 營業收入增長率

224、 36.91%59.96%120.29%66.94%42.58%凈利潤(百萬元)46 54 124 263 423 凈利潤增長率-19.12%17.43%128.74%112.28%61.01%EPS(元)0.11 0.12 0.27 0.58 0.93 ROE(歸屬母公司)(攤?。?.22%2.76%5.94%11.36%15.89%P/E 475 449 196 92 57 P/B 24.8 12.4 11.7 10.5 9.1 資料來源:Wind,光大證券研究所預測,股價時間為 2023-07-03;2021/2022 年末的總股本分別為 409 百萬股,454.46百萬股 4.24.2

225、、相對估值相對估值 我們采用PE相對估值法對微導納米進行估值,選取與公司半導體前道制程設備、光伏設備業務相近的公司。(1)北方華創:北方華創:主營半導體裝備、真空裝備、新能源鋰電裝備及精密電子元器件。半導體方向可供應刻蝕設備、PVD 設備、CVD 設備、氧化/擴散設備、清洗設備、新型顯示、氣體質量流量控制器等,相應技術可以應用至光伏領域,產品覆蓋面廣,核心設備產業化應用較為成熟。公司 PEALD SINx 設備可用于邏輯、存儲等領域,已在客戶端實現量產并獲得批量重復訂單,LPCVD 設備已成熟應用,PECVD 已完成工藝驗證。北方華創與微導納米業務相近,部分細分領域行業地位相似。(2)拓荊科技

226、:拓荊科技:公司深耕高端半導體設備,專注薄膜沉積設備研發與產業應用,現已擁有多項具有國際先進水平的核心技術。形成以 PECVD(等離子體增強化學氣相沉積)、ALD(原子層沉積)、SACVD(次常壓化學氣相沉積)及HDPCVD(高密度等離子體增強化學氣相沉積)為主的薄膜設備系列產品,在集成電路邏輯芯片、存儲芯片制造等領域得到廣泛應用。拓荊科技業務與微導納米相近,部分細分領域行業地位相似。敬請參閱最后一頁特別聲明-60-證券研究報告 微導納米(微導納米(688147.SH688147.SH)(3)中微公司:中微公司:公司是國內刻蝕設備龍頭,主要為集成電路、LED 外延片、功率器件、MEMS 等半導

227、體產品的制造企業提供刻蝕設備、MOCVD 設備及其他設備。公司 CCP 刻蝕產品不斷完善,廣泛應用于國內外一線客戶集成電路制造,2022 年共生產付運 475 個 CCP 刻蝕反應腔,同比增長 59.4%,在邏輯芯片與存儲芯片實現多次批量銷售,取得重復訂單。ICP 設備已形成完整的單臺、雙臺刻蝕設備布局。同時在現有金屬 CVD 和 ALD 設備研發基礎上,計劃研發更多先進 CVD 和 ALD 設備。中微公司業務與微導納米相近,部分細分領域行業地位相似。(4)捷佳偉創:捷佳偉創:公司是一家國內領先的從事晶體硅太陽能電池設備研發、生產和銷售廠商,主要產品包括濕法設備系列、管式設備系列、板式設備系列

228、、激光設備系列、金屬化設備系列、智能制造設備系列、晶體硅電池整線解決方案。公司緊緊圍繞“高效化、國際化、智能化、多元化”的發展戰略,在光伏 TOPCon、HJT、鈣鈦礦及鈣鈦礦疊層等各高效技術路線上及半導體領域加強技術創新和新產品的研發。捷佳偉創在光伏設備領域為微導納米競爭對手,同時布局半導體設備。表表 3535:微導納米可比公司估值表:微導納米可比公司估值表 證券代碼證券代碼 證券簡稱證券簡稱 主營主營 業務業務 總市值總市值 (億元)(億元)歸母凈利潤(億元)歸母凈利潤(億元)PEPE 20222022 2023E2023E 2024E2024E 2025E2025E 20222022 2

229、023E2023E 2024E2024E 2025E2025E 北方華創 002371.SZ 半導體設備 1,610 23.53 32.85 44.56 57.91 68 49 36 28 拓荊科技 688072.SH 薄膜沉積 531 3.69 5.37 8.06 10.96 144 98 66 49 中微公司 688012.SH 刻蝕 947 11.70 14.29 18.14 22.39 81 66 52 42 捷佳偉創 300724.SZ 光伏設備 389 10.47 15.71 23.38 31.69 37 25 17 12 平均值平均值 8383 6060 4343 3333 微導

230、納米微導納米 688147.SH688147.SH ALDALD 設備設備 24243 3 0.540.54 1.1.2424 2.2.6363 4.4.2323 449449 196196 9292 5 57 7 資料來源:Wind,可比公司凈利潤為 Wind 一致預期,微導納米為光大證券研究所預測;日期截至 2023/7/3 截至 2023 年 7 月 3 日,根據 wind 一致預期,可比公司北方華創、拓荊科技、中微公司、捷佳偉創 2023-2025 年 PE 均值為 60/43/33x,根據光大證券研究所預測,微導納米 PE 分別為 196/92/57x。公司是國內半導體與光伏用 AL

231、D 薄膜沉積設備領先廠商,拓展半導體 CVD 等市場空間較大領域,光伏 TOPCon 具備整線工藝能力,目前光伏訂單放量加速,ALD 設備在邏輯、存儲、化合物、新型顯示等多個領域產業化驗證加快推進,CVD 部分產品處于與客戶試樣驗證階段,預期未來 2-3 年公司半導體設備迎來放量期,使得公司相較于成熟的可比公司估值更高。4.34.3、絕對估值絕對估值 1、長期增長率:微導納米是國內 ALD 薄膜沉積設備領先供應商,當前全球半導體產業處于周期底部,國內半導體逆周期擴產,國產替代率提升,公司 ALD 與CVD 設備在多家主流客戶驗證,部分有重復批量訂單,未來行業具備成長性,故假設長期增長率為 2%

232、;2、值選?。翰捎蒙耆f三級行業分類-電子-半導體設備的行業作為公司無杠桿的近似;3、稅率:2022 年 12 月 12 日,江蘇省科學技術廳、江蘇省財政廳以及國家稅務總局江蘇省稅務局再次將公司認定為高新技術企業,并頒發編號為GR202232009964 的高新技術企業證書,有效期三年。微導納米 2022 年度適用 15%的企業所得稅稅率,并在 2022 年度享受研究開發費用加計扣除 100%的所得稅優惠。2021、2022 年公司實際稅率為-14%、-17%,按照財政部稅 敬請參閱最后一頁特別聲明-61-證券研究報告 微導納米(微導納米(688147.SH688147.SH)務總局關于進一步完

233、善研發費用稅前加計扣除政策的公告(2023 年第 7 號),我們預期公司后續仍在一定時間內可以享受稅收優惠政策,結合對公司利潤總額、研發費用的預測,我們預計公司 2023-2025E 實際稅率為-13%、-7%、-3%。參考可比公司北方華創歷史實際稅率參考可比公司北方華創歷史實際稅率5 5,我們假設微導納米長期穩定稅率為,我們假設微導納米長期穩定稅率為 11%11%。采用 FCFF 絕對估值法,得出公司的合理股價為 66.83 元。在長期增長率與WACC 值上下波動 0.5%的敏感度分析區間,公司的絕對估值范圍為53.40-88.58 元。表表 3636:絕對估值核心假設表:絕對估值核心假設表

234、 關鍵性假設關鍵性假設 數值數值 第二階段年數 8 長期增長率 2.00%無風險利率 Rf 2.70%(levered)0.85 Rm-Rf 4.33%Ke(levered)6.39%稅率 11.00%Kd 3.87%Ve(百萬元)3,811.3 Vd(百萬元)278.8 目標資本結構 6.82%WACC 6.22%資料來源:光大證券研究所預測 表表 3737:現金流折現及估值表:現金流折現及估值表 現金流折現值(百萬元)現金流折現值(百萬元)價值百分比價值百分比 第一階段 50.85 0.17%第二階段 5,470.14 18.40%第三階段(終值)24,214.57 81.43%企業價值

235、AEV 29,735.56 100.00%加:非經營性凈資產價值 912.57 3.07%減:少數股東權益(市值)0.00 0.00%減:債務價值 278.78-0.94%總股本價值 30,369.35 102.13%股本(百萬股)454.46-每股價值(元)66.83-2023PE(隱含)245.18-2023PE(動態)196.33-資料來源:光大證券研究所預測 表表 3838:敏感性分析表:敏感性分析表(元(元)長期增長率長期增長率 WACCWACC 1.00%1.00%1.50%1.50%2.00%2.00%2.50%2.50%3.00%3.00%5.22%5.22%74.13 82.

236、45 93.35 108.27 129.91 5.72%5.72%64.16 70.37 78.25 88.5888.58 102.70 6.22%6.22%56.19 60.95 66.8366.83 74.29 84.07 5 北方華創 2018-2022 年實際稅率為 18.05%/15.86%/7.76%/4.73%/10.98%。敬請參閱最后一頁特別聲明-62-證券研究報告 微導納米(微導納米(688147.SH688147.SH)6.72%6.72%49.69 53.4053.40 57.91 63.48 70.55 7.22%7.22%44.29 47.25 50.77 55.0

237、4 60.32 資料來源:光大證券研究所預測 表表 3939:各類絕對估值法結果匯總表:各類絕對估值法結果匯總表(元)(元)估值方法估值方法 估值結果估值結果 估估 值值 區區 間間 敏感度分析區間敏感度分析區間 FCFF 67 53 89 貼現率0.5%,長期增長率0.5%FCFE 65 52 86 貼現率0.5%,長期增長率0.5%DDM 67 53 88 貼現率0.5%,長期增長率0.5%APV 66 52 89 貼現率0.5%,長期增長率0.5%AE 67 56 84 貼現率0.5%,長期增長率0.5%EVA 56 46 70 貼現率0.5%,長期增長率0.5%資料來源:光大證券研究所

238、預測 4.44.4、估值結論與投資評級估值結論與投資評級 盈利預測、估值與評級:盈利預測、估值與評級:微導納米是一家面向全球的半導體、泛半導體高端微納裝備公司,已形成以 ALD 技術為核心、CVD 等多種真空鍍膜梯次發展的產品系列。薄膜沉積是晶圓制造主要資本開支方向之一,市場規模大,目前 ALD 與 CVD國產化率均處于較低水平。在歐美等發達國家對中國高端半導體產業鏈供應鏈禁運封鎖背景下,國內晶圓廠驗證國產機臺意愿提高,未來設備國產化率提高將帶來廣闊成長空間。同時,公司在 TOPCon 領域具備整線工藝能力,氧化鋁正面鈍化層工藝環節中成功導入 ALD 并替代 PECVD,在市場上具備較強競爭力

239、,在TOPCon 擴產階段中已獲得可觀訂單,且為 XBC 電池鈍化層設備主要供應商,并布局、驗證 HJT、鈣鈦礦等新一代高效電池,不斷追趕跟進光伏電池新技術迭代,為公司可持續增長注入動能。我們預測微導納米 2023-2025E 的歸母凈利潤分別為 1.24/2.63/4.23 億元,對應的 EPS 分別為 0.27/0.58/0.93 億元,2023 年 7 月 3 日股價對應 PE 分別為196/92/57x。結合微導納米的絕對估值與相對估值,公司目前半導體 ALD、CVD處于加速驗證與量產導入階段,可享受一定的估值溢價,首次覆蓋給予“增持”首次覆蓋給予“增持”評級。評級。5 5、風險分析風

240、險分析 新產品驗證進度與市場發展不及預期風險新產品驗證進度與市場發展不及預期風險 公司的薄膜沉積設備主要應用于半導體晶圓、光伏電池片的生產環節,直接影響半導體器件性能及光伏電池片的光電轉換效率,是下游客戶產線的關鍵工藝設備。因此,客戶對公司新產品的驗證要求較高、驗證周期較長,公司用于半導體各細分領域和新型高效電池的新產品存在驗證進度不及預期的風險。在半導體領域,我國半導體設備制造產業起步較晚,目前國內產線關鍵設備的國產化仍處于起步和發展階段。在光伏領域,新型高效電池擴產計劃持續推進,但因技術成熟度、投資成本等限制性因素,規?;慨a與技術演變路徑存在不確定性。如果半導體國產化替代和國內新型高效電

241、池產能建設不及預期,公司未來銷售增長將受到限制。行業周期持續下行風險行業周期持續下行風險 公司的經營狀況與下游行業的發展密切相關,半導體領域,如果由于國際政治和經濟形勢引起的對尖端技術的封鎖或者由于下游行業的周期性波動等,導致上述 敬請參閱最后一頁特別聲明-63-證券研究報告 微導納米(微導納米(688147.SH688147.SH)行業固定資產投資及對設備需求的下降,也將會影響公司經營業績;未來如果光伏行業政策變化等因素導致行業景氣度下降或者產能嚴重過剩,進而影響下游企業對公司產品的需求,可能對公司的經營業績產生不利影響。國際貿易摩擦加劇風險國際貿易摩擦加劇風險 全球產業鏈和供應鏈重新調整及

242、貿易摩擦對全球經濟發展和世界政經格局造成重大沖擊,如果由于上述因素可能出現上述國外供應商受相關政策影響減少或者停止對公司零部件的供應,或者由于國產替代的元器件無法達到境外相關產品的質量和技術標準,進而影響公司產品生產能力、生產進度和交貨時間,進而對公司的經營產生不利影響。次新股股價波動風險次新股股價波動風險 公司于 2022 年 12 月 23 日在科創板上市,流通盤相對較小,存在股價波動較大的風險。敬請參閱最后一頁特別聲明-64-證券研究報告 微導納米(微導納米(688147.SH688147.SH)利潤利潤表(百萬元)表(百萬元)20212021 20222022 2023E2023E 2

243、024E2024E 2025E2025E 營業收入營業收入 428428 685685 1,5081,508 2,5172,517 3,5893,589 營業成本 232 395 892 1,480 2,093 折舊和攤銷 7 10 13 20 26 稅金及附加 2 5 10 12 17 銷售費用 34 45 109 171 244 管理費用 26 50 166 277 395 研發費用 97 138 287 453 592 財務費用 2-1-9-11-10 投資收益 11 14 15 17 18 營營業利潤業利潤 4040 4444 102102 240240 407407 利潤總額利潤總額

244、 4141 4646 105105 243243 410410 所得稅-6-8-19-20-13 凈利潤凈利潤 4646 5454 124124 263263 423423 少數股東損益 0 0 0 0 0 歸屬母公司凈利潤歸屬母公司凈利潤 4646 5454 124124 263263 423423 EPS(EPS(元元)0.110.11 0.120.12 0.270.27 0.580.58 0.930.93 現金流量表(百萬元)現金流量表(百萬元)20212021 20222022 2023E2023E 2024E2024E 2025E2025E 經營活動現金流經營活動現金流 -7676

245、168168 195195 240240 324324 凈利潤 46 54 124 263 423 折舊攤銷 7 10 13 20 26 凈營運資金增加 423-123 129 285 380 其他-552 228-70-328-505 投資活動產生現金流投資活動產生現金流 -512512 207207 -131131 -7676 -7474 凈資本支出-23-47-85-85-85 長期投資變化 0 0 0 0 0 其他資產變化-489 254-46 9 11 融資活動現金流融資活動現金流 232232 1,2331,233 -265265 -1313 -5353 股本變化 359 45 0

246、 0 0 債務凈變化 42 213-290 0 0 無息負債變化-28 1,171 711 816 733 凈現金流凈現金流 -356356 1,6091,609 -200200 151151 197197 資產負債表(百萬元)資產負債表(百萬元)20212021 20222022 2023E2023E 2024E2024E 2025E2025E 總資產總資產 1,3571,357 3,8203,820 4,3654,365 5,4095,409 6,4926,492 貨幣資金 120 1,757 1,557 1,708 1,905 交易性金融資產 247 10 50 53 56 應收賬款 8

247、1 234 398 566 646 應收票據 72 112 196 302 431 其他應收款(合計)6 12 14 24 34 存貨 403 975 1,204 1,578 2,038 其他流動資產 297 421 503 604 658 流動資產合計流動資產合計 1,2761,276 3,6993,699 4,1464,146 5,1155,115 6,1286,128 其他權益工具 4 4 4 4 4 長期股權投資 0 0 0 0 0 固定資產 36 44 84 107 126 在建工程 0 0 13 28 42 無形資產 8 8 12 16 19 商譽 0 0 0 0 0 其他非流動資

248、產 0 40 57 57 57 非流動非流動資產合計資產合計 8181 120120 218218 293293 363363 總負債總負債 473473 1,8571,857 2,2782,278 3,0943,094 3,8273,827 短期借款 67 292 0 0 0 應付賬款 120 502 535 740 837 應付票據 77 252 491 592 733 預收賬款 0 0 0 0 0 其他流動負債 3 31 47 58 68 流動負債合計流動負債合計 446446 1,8211,821 2,2262,226 3,0313,031 3,7543,754 長期借款 0 0 0

249、0 0 應付債券 0 0 0 0 0 其他非流動負債 17 36 52 62 73 非流動負債合計非流動負債合計 2727 3636 5252 6262 7373 股東權益股東權益 883883 1,9631,963 2,0872,087 2,3152,315 2,6652,665 股本 409 454 454 454 454 公積金 366 1,351 1,363 1,390 1,432 未分配利潤 109 157 269 471 778 歸屬母公司權益 883 1,963 2,087 2,315 2,665 少數股東權益 0 0 0 0 0 盈利能力(盈利能力(%)20212021 202

250、22022 2023E2023E 2024E2024E 2025E2025E 毛利率 45.8%42.3%40.8%41.2%41.7%EBITDA 率 19.5%19.2%8.4%9.9%11.8%EBIT 率 16.5%16.3%7.6%9.1%11.0%稅前凈利潤率 9.5%6.8%6.9%9.6%11.4%歸母凈利潤率 10.8%7.9%8.2%10.4%11.8%ROA 3.4%1.4%2.8%4.9%6.5%ROE(攤?。?.2%2.8%5.9%11.4%15.9%經營性 ROIC 11.8%22.2%16.9%21.6%25.8%償債能力償債能力 20212021 2022202

251、2 2023E2023E 2024E2024E 2025E2025E 資產負債率 35%49%52%57%59%流動比率 2.86 2.03 1.86 1.69 1.63 速動比率 1.96 1.50 1.32 1.17 1.09 歸母權益/有息債務 10.76 6.65 417.33 462.98 532.93 有形資產/有息債務 16.20 12.84 865.33 1072.41 1287.17 資料來源:Wind,光大證券研究所預測 費用率費用率 20212021 20222022 2023E2023E 2024E2024E 2025E2025E 銷售費用率 7.85%6.63%7.2

252、0%6.80%6.80%管理費用率 6.02%7.29%11.00%11.00%11.00%財務費用率 0.51%-0.09%-0.59%-0.45%-0.28%研發費用率 22.68%20.22%19.00%18.00%16.50%所得稅率-14%-17%-18%-8%-3%每股指標每股指標 20212021 20222022 2023E2023E 2024E2024E 2025E2025E 每股紅利 0.00 0.00 0.08 0.16 0.26 每股經營現金流-0.19 0.37 0.43 0.53 0.71 每股凈資產 2.16 4.32 4.59 5.09 5.86 每股銷售收入

253、1.05 1.51 3.32 5.54 7.90 估值指標估值指標 20212021 20222022 2023E2023E 2024E2024E 2025E2025E PE 475 449 196 92 57 PB 24.8 12.4 11.7 10.5 9.1 EV/EBITDA 280.9 189.5 182.2 93.5 55.4 股息率 0.0%0.0%0.1%0.3%0.5%敬請參閱最后一頁特別聲明-65-證券研究報告 行業及公司評級體系行業及公司評級體系 評級評級 說明說明 行行 業業 及及 公公 司司 評評 級級 買入 未來 6-12 個月的投資收益率領先市場基準指數 15%以

254、上 增持 未來 6-12 個月的投資收益率領先市場基準指數 5%至 15%;中性 未來 6-12 個月的投資收益率與市場基準指數的變動幅度相差-5%至 5%;減持 未來 6-12 個月的投資收益率落后市場基準指數 5%至 15%;賣出 未來 6-12 個月的投資收益率落后市場基準指數 15%以上;無評級 因無法獲取必要的資料,或者公司面臨無法預見結果的重大不確定性事件,或者其他原因,致使無法給出明確的投資評級?;鶞手笖嫡f明:基準指數說明:A 股市場基準為滬深 300 指數;香港市場基準為恒生指數;美國市場基準為納斯達克綜合指數或標普 500 指數。分析、估值方法的局限性說明分析、估值方法的局限

255、性說明 本報告所包含的分析基于各種假設,不同假設可能導致分析結果出現重大不同。本報告采用的各種估值方法及模型均有其局限性,估值結果不保證所涉及證券能夠在該價格交易。分析師聲明分析師聲明 本報告署名分析師具有中國證券業協會授予的證券投資咨詢執業資格并注冊為證券分析師,以勤勉的職業態度、專業審慎的研究方法,使用合法合規的信息,獨立、客觀地出具本報告,并對本報告的內容和觀點負責。負責準備以及撰寫本報告的所有研究人員在此保證,本研究報告中任何關于發行商或證券所發表的觀點均如實反映研究人員的個人觀點。研究人員獲取報酬的評判因素包括研究的質量和準確性、客戶反饋、競爭性因素以及光大證券股份有限公司的整體收益

256、。所有研究人員保證他們報酬的任何一部分不曾與,不與,也將不會與本報告中具體的推薦意見或觀點有直接或間接的聯系。法律主體聲明法律主體聲明 本報告由光大證券股份有限公司制作,光大證券股份有限公司具有中國證監會許可的證券投資咨詢業務資格,負責本報告在中華人民共和國境內(僅為本報告目的,不包括港澳臺)的分銷。本報告署名分析師所持中國證券業協會授予的證券投資咨詢執業資格編號已披露在報告首頁。中國光大證券國際有限公司和 Everbright Securities(UK)Company Limited 是光大證券股份有限公司的關聯機構。特別聲明特別聲明 光大證券股份有限公司(以下簡稱“本公司”)成立于 19

257、96 年,是中國證監會批準的首批三家創新試點證券公司之一,也是世界 500 強企業中國光大集團股份公司的核心金融服務平臺之一。根據中國證監會核發的經營證券期貨業務許可,本公司的經營范圍包括證券投資咨詢業務。本公司經營范圍:證券經紀;證券投資咨詢;與證券交易、證券投資活動有關的財務顧問;證券承銷與保薦;證券自營;為期貨公司提供中間介紹業務;證券投資基金代銷;融資融券業務;中國證監會批準的其他業務。此外,本公司還通過全資或控股子公司開展資產管理、直接投資、期貨、基金管理以及香港證券業務。本報告由光大證券股份有限公司研究所(以下簡稱“光大證券研究所”)編寫,以合法獲得的我們相信為可靠、準確、完整的信

258、息為基礎,但不保證我們所獲得的原始信息以及報告所載信息之準確性和完整性。光大證券研究所可能將不時補充、修訂或更新有關信息,但不保證及時發布該等更新。本報告中的資料、意見、預測均反映報告初次發布時光大證券研究所的判斷,可能需隨時進行調整且不予通知。在任何情況下,本報告中的信息或所表述的意見并不構成對任何人的投資建議??蛻魬灾髯鞒鐾顿Y決策并自行承擔投資風險。本報告中的信息或所表述的意見并未考慮到個別投資者的具體投資目的、財務狀況以及特定需求。投資者應當充分考慮自身特定狀況,并完整理解和使用本報告內容,不應視本報告為做出投資決策的唯一因素。對依據或者使用本報告所造成的一切后果,本公司及作者均不承擔

259、任何法律責任。不同時期,本公司可能會撰寫并發布與本報告所載信息、建議及預測不一致的報告。本公司的銷售人員、交易人員和其他專業人員可能會向客戶提供與本報告中觀點不同的口頭或書面評論或交易策略。本公司的資產管理子公司、自營部門以及其他投資業務板塊可能會獨立做出與本報告的意見或建議不相一致的投資決策。本公司提醒投資者注意并理解投資證券及投資產品存在的風險,在做出投資決策前,建議投資者務必向專業人士咨詢并謹慎抉擇。在法律允許的情況下,本公司及其附屬機構可能持有報告中提及的公司所發行證券的頭寸并進行交易,也可能為這些公司提供或正在爭取提供投資銀行、財務顧問或金融產品等相關服務。投資者應當充分考慮本公司及

260、本公司附屬機構就報告內容可能存在的利益沖突,勿將本報告作為投資決策的唯一信賴依據。本報告根據中華人民共和國法律在中華人民共和國境內分發,僅向特定客戶傳送。本報告的版權僅歸本公司所有,未經書面許可,任何機構和個人不得以任何形式、任何目的進行翻版、復制、轉載、刊登、發表、篡改或引用。如因侵權行為給本公司造成任何直接或間接的損失,本公司保留追究一切法律責任的權利。所有本報告中使用的商標、服務標記及標記均為本公司的商標、服務標記及標記。光大證券股份有限公司版權所有。保留一切權利。光大證券股份有限公司版權所有。保留一切權利。光大證券研究所光大證券研究所 上海上海 北京北京 深圳深圳 靜安區南京西路 12

261、66 號 恒隆廣場 1 期辦公樓 48 層 西城區武定侯街 2 號 泰康國際大廈 7 層 福田區深南大道 6011 號 NEO 綠景紀元大廈 A 座 17 樓 光大證券股份有限公司關聯機構光大證券股份有限公司關聯機構 香港香港 英國英國 中國光大證券國際有限公司中國光大證券國際有限公司 香港銅鑼灣希慎道 33 號利園一期 28 樓 Everbright Securities(UK)Company LimitedEverbright Securities(UK)Company Limited 6th Floor,9 Appold Street,London,United Kingdom,EC2A 2AP

友情提示

1、下載報告失敗解決辦法
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站報告下載后的文檔和圖紙-無水印,預覽文檔經過壓縮,下載后原文更清晰。

本文(微導納米-公司投資價值分析報告:ALD技術平臺型企業半導體CVD加持強化成長性-230707(65頁).pdf)為本站 (章魚小丸子) 主動上傳,三個皮匠報告文庫僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對上載內容本身不做任何修改或編輯。 若此文所含內容侵犯了您的版權或隱私,請立即通知三個皮匠報告文庫(點擊聯系客服),我們立即給予刪除!

溫馨提示:如果因為網速或其他原因下載失敗請重新下載,重復下載不扣分。
客服
商務合作
小程序
服務號
折疊
午夜网日韩中文字幕,日韩Av中文字幕久久,亚洲中文字幕在线一区二区,最新中文字幕在线视频网站