《拓荊科技:拓荊科技股份有限公司科創板首次公開發行股票招股說明書(上會稿).pdf》由會員分享,可在線閱讀,更多相關《拓荊科技:拓荊科技股份有限公司科創板首次公開發行股票招股說明書(上會稿).pdf(338頁珍藏版)》請在三個皮匠報告上搜索。
1、本次股票發行后擬在科創板市場上市,該市場具有較高的投資風險??苿摪灞敬喂善卑l行后擬在科創板市場上市,該市場具有較高的投資風險??苿摪骞揪哂醒邪l投入大、經營風險高、業績不穩定、退市風險高等特點,投資者公司具有研發投入大、經營風險高、業績不穩定、退市風險高等特點,投資者面臨較大的市場風險。投資者應充分了解科創板市場的投資風險及本公司所披面臨較大的市場風險。投資者應充分了解科創板市場的投資風險及本公司所披露的風險因素,審慎作出投資決定。露的風險因素,審慎作出投資決定。拓荊科技股份有限公司拓荊科技股份有限公司(遼寧省沈陽市渾南區水家遼寧省沈陽市渾南區水家 900 號號)首次公開發行股票并在科創板上市
2、招股說明書首次公開發行股票并在科創板上市招股說明書(上會稿上會稿)保薦機構保薦機構(主承銷商主承銷商)(深圳市福田區福田街道福華一路深圳市福田區福田街道福華一路 111 號號)本公司的發行申請尚需經上海證券交易所和中國證監會履行相應程序。本招股說明書不具有據以發行股票的法律效力,僅供預先披露之用。投資者應當以正式公告的招股說明書作為投資決定的依據。拓荊科技股份有限公司 招股說明書(上會稿)1-1-1 發行人聲明發行人聲明 中國證監會、交易所對本次發行所作的任何決定或意見,均不表明其對注冊申請文件及所披露信息的真實性、準確性、完整性作出保證,也不表明其對發行人的盈利能力、投資價值或者對投資者的收
3、益作出實質性判斷或保證。任何與之相反的聲明均屬虛假不實陳述。根據證券法的規定,股票依法發行后,發行人經營與收益的變化,由發行人自行負責;投資者自主判斷發行人的投資價值,自主作出投資決策,自行承擔股票依法發行后因發行人經營與收益變化或者股票價格變動引致的投資風險。發行人及全體董事、監事、高級管理人員承諾招股說明書及其他信息披露資料不存在虛假記載、誤導性陳述或重大遺漏,并對其真實性、準確性、完整性承擔個別和連帶的法律責任。發行人第一大股東承諾本招股說明書不存在虛假記載、誤導性陳述或重大遺漏,并對其真實性、準確性、完整性承擔個別和連帶的法律責任。公司負責人和主管會計工作的負責人、會計機構負責人保證招
4、股說明書中財務會計資料真實、完整。發行人及全體董事、監事、高級管理人員以及保薦人、承銷的證券公司承諾因發行人招股說明書及其他信息披露資料有虛假記載、誤導性陳述或者重大遺漏,致使投資者在證券發行和交易中遭受損失的,將依法賠償投資者損失。保薦人及證券服務機構承諾因其為發行人本次公開發行制作、出具的文件有虛假記載、誤導性陳述或者重大遺漏,給投資者造成損失的,將依法賠償投資者損失。拓荊科技股份有限公司 招股說明書(上會稿)1-1-2 本次發行概覽本次發行概覽 發行股票類型發行股票類型 人民幣普通股(A 股)發行股數、股東公開發售股數發行股數、股東公開發售股數(如有如有)本次發行股份全部為新股,不涉及股
5、東公開發售股份。本次擬公開發行股票數量為不超過 3,161.9800 萬股,且不低于發行后公司總股本的 25%。每股面值每股面值 人民幣 1.00 元 每股發行價格每股發行價格 人民幣【】元 預計發行日期預計發行日期【】年【】月【】日 擬上市的證券交易所和板塊擬上市的證券交易所和板塊 上海證券交易所科創板 發行后總股本發行后總股本 不超過 12,647.8797 萬股 保薦機構保薦機構(主承銷商主承銷商)招商證券股份有限公司 發行人高級管理人員、員工擬發行人高級管理人員、員工擬參與戰略配售情況參與戰略配售情況 若公司決定實施高管及員工戰略配售,則將在本次公開發行股票注冊后、發行前,履行內部程序
6、審議該事項具體方案,并依法進行披露 保薦人相關子公司擬參與戰保薦人相關子公司擬參與戰略配售情況略配售情況 保薦機構將安排相關子公司參與本次發行戰略配售,具體按照上交所相關規定執行。保薦機構及其相關子公司后續將按要求進一步明確參與本次發行戰略配售的具體方案,并按規定向上交所提交相關文件。招股說明書簽署日招股說明書簽署日【】年【】月【】日 拓荊科技股份有限公司 招股說明書(上會稿)1-1-3 重大事項提示重大事項提示 本重大事項提示僅對本公司特別事項及重大風險做扼要提示。投資者應認真閱讀本招股說明書正文內容,對本公司做全面了解。本公司特別提醒投資者注意以下事項:一、重大風險因素一、重大風險因素(一
7、一)技術人員流失及無法持續引入高端技術人才技術人員流失及無法持續引入高端技術人才的風險的風險 公司所處的半導體專用設備行業屬于技術密集型行業,涉及等離子體物理、射頻及微波學、微觀分子動力學、結構化學、光譜及能譜學、真空機械傳輸等多種科學技術及工程領域學科知識的綜合應用,高端技術人才是企業持續發展和保持競爭力的原動力。近年來,國內半導體專用設備市場及晶圓制造需求不斷增長,行業內人才競爭日益激烈,專業技術人才呈現嚴重短缺的情形。報告期各期,公司研發人員離職人數分別為19人、15人、22人和12人,研發人員離職率分別為13.10%、10.95%、13.02%和 7.79%,存在一定的研發人員流失風險
8、。公司若無法持續為技術人才提供較優的薪酬待遇和發展平臺,無法持續吸引全球高端技術人才,則將面臨技術人才流失、儲備不足的局面,并可能導致公司創新能力不足。(二)尚未盈利及持續虧損風險(二)尚未盈利及持續虧損風險 報告期內,公司凈利潤分別為-10,322.29 萬元、-1,936.64 萬元、-1,169.99 萬元及-1,058.92 萬元,扣除非經常性損益后歸屬于母公司所有者的凈利潤分別為-14,993.05 萬元、-6,246.63 萬元、-5,711.62 萬元和-2,400.90 萬元。報告期內尚未實現盈利,主要由于半導體設備行業技術含量高,研發投入大,產品驗證周期長,公司需要持續進行了
9、大量的研發投入。報告期內,公司研發費用分別為 10,797.31萬元、7,431.87 萬元、12,278.18 萬元和 2,714.86 萬元,占各期營業收入的比例為152.84%、29.58%、28.19%和 47.02%。研發費用金額較高和占營業收入的比例較大,是公司虧損主要原因。拓荊科技股份有限公司 招股說明書(上會稿)1-1-4 報告期內,公司虧損雖已逐年收窄,但如果未來發生市場競爭加劇、宏觀經濟和半導體產業的景氣度下行、主要客戶削減資本性支出預算、公司大幅增加研發投入或公司不能有效拓展客戶等情形,將使公司面臨一定的經營壓力,公司未來一定期間內仍存在無法盈利的風險。(三三)產品驗收周
10、期較長風險)產品驗收周期較長風險 晶圓制造屬于高精密制造領域,對產線上各環節的良率要求極高,任何進入量產線的設備均需經過長時間工藝驗證和產線聯調聯試。特別是對薄膜沉積設備而言,由于薄膜是芯片結構的功能材料層,在芯片完成制造、封測等工序后會留存在芯片中,薄膜的技術參數直接影響芯片性能。生產中不僅需要在成膜后檢測薄膜厚度、均勻性、光學系數、機械應力及顆粒度等性能指標,還需要在完成晶圓生產流程及芯片封裝后,對最終芯片產品進行可靠性和生命周期測試,以衡量薄膜沉積設備是否最終滿足技術標準。因此,晶圓廠對薄膜沉積設備所需要的驗證時間相比其他半導體專用設備可能更長。對于新客戶的首臺訂單或新工藝訂單設備,一般
11、從前期的客戶需求溝通、方案設計、樣機試制、場內工藝測試與調優到客戶端樣機安裝調試、工藝驗證到最后的工藝驗證和產品驗收通過,整個流程可能需要 6-24 個月甚至更長時間。對于重復訂單設備,由于已通過客戶工藝驗證,新到設備的工藝技術一般無需做較大改動,從出貨到設備驗收通常需要 3-24 個月的時間。如此寬幅的驗收周期時間波動主要是受到客戶產線條件、客戶端安裝調試、客戶工藝要求調整、客戶驗收流程限制以及其他偶然性因素的影響。如果受某些因素影響,公司產品驗收周期延長,公司的收入確認將有所延遲。另外,可能存在公司設備驗收不通過、收款時間延后等風險,增加公司的資金壓力,影響公司的財務狀況。(四)收入依賴(
12、四)收入依賴 PECVD 產品,產品,ALD 產品及產品及 SACVD 產品尚未得到大規模產品尚未得到大規模驗證的風險驗證的風險 公司主要產品為半導體薄膜沉積設備,報告期內,公司 PECVD、ALD、SACVD 三大類薄膜沉積設備已得到產業化應用。由于公司 PECVD 設備推出較拓荊科技股份有限公司 招股說明書(上會稿)1-1-5 早,產品線較為豐富,下游市場應用廣闊,國內市場成熟。報告期內,PECVD銷售收入占發行人主營業務收入比例較高,分別為 77.98%、100.00%、97.55%和100.00%。目前,公司 ALD、SACVD 均處于產品發往不同客戶端進行產線驗證的市場開拓階段,形成
13、批量銷售需經過不同客戶的驗證,周期存在不確定性。ALD 設備系集成電路先進制程晶圓制造的關鍵設備,在 14nm 及以下制程邏輯芯片、17nm 及以下 DRAM 芯片中有著廣泛應用。SACVD 設備系 40nm 以下邏輯電路制造、高性能存儲芯片高深寬比填充的關鍵設備。晶圓制造產線制程越先進,對于 ALD、SACVD 設備數量的需求越多。我國集成電路制造產業起步較晚,晶圓制造產線制程與國際先進水平相比較為落后,先進制程產線處于發展建設階段,具備先進制程晶圓制造能力的廠商較少。如果國內先進制程晶圓制造產線發展不及預期,市場對 ALD、SACVD 設備的需求增長較小,發行人 ALD 及SACVD 設備
14、未來銷售增長將受到限制。(五)(五)Demo 機臺無法實現最終銷售的風險機臺無法實現最終銷售的風險 公司產品主要根據客戶的差異化需求和采購意向,進行定制化設計及生產制造,主要采用庫存式生產和訂單式生產相結合的生產模式。訂單式生產,指公司與客戶簽署正式訂單后進行的生產活動。庫存式生產,指公司尚未獲取正式訂單便開始的生產活動,包括根據 Demo 訂單或較明確的客戶采購意向啟動的生產活動。對于 Demo 機臺,通常在公司與客戶充分溝通產品型號、參數、配置等信息,便開始組織生產,完工后以 Demo 訂單的形式發往客戶端進行驗證。一般在 Demo機臺獲得客戶端驗證通過后,客戶才會下達正式訂單進行采購。截
15、至報告期末,公司發出商品共計 56 臺,其中尚未獲取正式訂單,僅通過Demo 訂單等形式安排發運的設備共計 20 臺,占比為 35.71%。如果遇到集成電路產業景氣度大幅下滑、客戶需求大幅減弱、訂單意外取消等不利因素,可能導致 Demo 機臺未來最終無法獲得客戶驗證通過,相關機臺可能無法實現銷售,公司可能面臨調整生產計劃、更換已完工機臺的部分模塊導致生產成本加大、存貨庫齡加長等情形,對公司的生產、業績造成不利影響。拓荊科技股份有限公司 招股說明書(上會稿)1-1-6(六六)技術創新風險)技術創新風險 隨著全球半導體行業的蓬勃發展,半導體行業技術日新月異,下游客戶對薄膜沉積設備兼容的材料類型、電
16、性能、機械性能、薄膜均勻度等需求也隨之不斷變化。因此,公司需要持續保持較大的研發投入,緊跟制造工藝、基礎學科發展的最新方向,積極實驗探索新技術路線、新設計思路、新材料性能。如果公司未來未能準確理解下游客戶的產線設備及工藝技術演進需求,或者技術創新產品不能契合客戶需求,無法適應下游芯片制造工藝節點繼續縮小或芯片制造新技術出現,可能導致公司設備無法滿足下游生產制造需要,將可能對公司的經營業績造成不利影響。(七)市場競爭風險(七)市場競爭風險 半導體設備行業具有很高的技術壁壘、市場壁壘和客戶準入壁壘。目前公司的競爭對手主要為國際知名半導體設備制造商,與中國大陸半導體專用設備企業相比,國際巨頭企業擁有
17、客戶端先發優勢,產品線豐富、技術儲備深厚、研發團隊成熟、資金實力較強等優勢,國際巨頭還能為同時購買多種產品的客戶提供捆綁折扣。2019 年度,在 CVD 設備全球市場中,應用材料(AMAT)、泛林半導體(Lam)、東京電子(TEL)的市場占有率分別為 30%、21%和 19%;在 ALD設備全球市場中,東京電子(TEL)、先晶半導體(ASMI)的市場占有率分別為 31%和 29%。相比國際巨頭,公司的綜合競爭力處于弱勢地位,市場占有率較低。另外,國內半導體設備廠商存在互相進入彼此業務領域,開發同類產品的可能。例如,在 ALD 設備領域,除發行人外,北方華創、盛美股份、屹唐股份及中微公司已推出自
18、產設備或有進入 ALD 設備市場的計劃。公司面臨國際巨頭以及潛在國內新進入者的雙重競爭。如果公司無法有效應對市場競爭環境,則公司的行業地位、市場份額、經營業績等均會受到不利影響。(八八)客戶相對集中的風險客戶相對集中的風險 報告期內,公司前五大客戶主營業務銷售金額占當期主營業務收入的比重分別為 100.00%、84.02%、83.78%和 100.00%。前五大客戶集中度較高,主要由于拓荊科技股份有限公司 招股說明書(上會稿)1-1-7 集成電路制造行業屬于資本和技術密集型,國內外主要集成電路制造商均呈現經營規模大、數量少的行業特征。公司客戶集中度較高可能會導致公司在商業談判中處于弱勢地位,且
19、公司的經營業績與下游半導體廠商的資本性支出密切相關,客戶自身經營狀況變化也可能對公司產生較大的影響。如果公司后續不能持續開拓新客戶或對少數客戶形成重大依賴,將不利于公司未來持續穩定發展。(九九)無控股股東及實際控制人風險)無控股股東及實際控制人風險 截至本招股說明書簽署日,公司單個股東持有或控制的股份數量均未超過公司總股本的 30%,無法形成控股,單個股東亦不能決定董事會多數席位,使得公司無控股股東及實際控制人。公司經營方針及重大事項的決策均由股東大會或董事會按照公司議事規則充分討論后確定,避免了因單個股東控制引起決策失誤而導致公司出現重大損失的可能,但不排除存在因無控股股東及實際控制人導致公
20、司決策效率低下的風險。此外,由于公司股權相對分散,不排除未來公司無控股股東的狀態發生變化,導致公司經營管理思路發生變化的風險。二、二、本次發行相關主體作出的重要承諾本次發行相關主體作出的重要承諾 公司提示投資者認真閱讀公司、股東、董事、監事、高級管理人員、核心技術人員以及本次發行的保薦機構及證券服務機構等作出的各項重要承諾、未能履行承諾的約束措施,具體內容詳見本招股說明書“第十節 投資者保護”之“五、重要承諾”。三、利潤分配政策三、利潤分配政策(一一)發行前滾存利潤的分配安排發行前滾存利潤的分配安排 2021 年 3 月 31 日,公司 2021 年第二次臨時股東大會作出決議,公司首次公開發行
21、股票前的滾存未分配利潤或累計未彌補虧損將由發行后的新老股東按照屆時的持股比例共同享有或承擔。(二二)發行后的股利分配政策及未來三年分紅回報規劃發行后的股利分配政策及未來三年分紅回報規劃 拓荊科技股份有限公司 招股說明書(上會稿)1-1-8 具體內容參見本招股說明書“第十節 投資者保護”之“二、股利分配政策”及“第十節 投資者保護”之“五、重要承諾”之“(六)利潤分配政策的承諾”。四、財務報告審計截止日后經營情四、財務報告審計截止日后經營情況及主要財務信息況及主要財務信息 (一)(一)財務報告審計截止日后經營情況財務報告審計截止日后經營情況、主要財務信息主要財務信息 財務報告審計截止日至本招股說
22、明書簽署日,公司所處行業的產業政策及行業周期,進出口業務狀態,稅收政策,業務模式及競爭趨勢,主要原材料的采購規模及采購價格,主要產品的生產、銷售規模及銷售價格,主要客戶及供應商的構成,重大合同條款或實際執行情況均未發生重大變化,不存在新增對未來經營可能產生較大影響的訴訟或仲裁事項,不存在重大安全事故,經營情況與經營業績較為穩定,總體運營情況良好,不存在重大異常變動情況,不存在其他可能影響投資者判斷的重大事項。公司 2021 年 1-6 月財務報告(已經天健會計師審閱)主要財務數據如下:1、資產負債表主要財務數據、資產負債表主要財務數據 單位:萬元單位:萬元 項目項目 2021 年年 6 月月
23、30 日日 2021 年年 3 月月 31 日日 變動金額變動金額 變動比率變動比率 資產總額資產總額 208,762.45 189,816.01 18,946.44 9.98%所有者權益所有者權益 110,269.67 111,254.37-984.70-0.89%歸屬于母公司所歸屬于母公司所有者權益有者權益 110,290.62 111,251.72-961.10-0.86%審計截止日后公司資產總額、所有者權益及歸屬于母公司所有者權益未發生重大變動。2、利潤表及現金流量表主要財務數據、利潤表及現金流量表主要財務數據 單位:萬元單位:萬元 項目項目 2021 年年 1-6 月月 2020 年
24、年 1-6 月月 變動金額變動金額 變動比率變動比率 營業收入營業收入 11,255.21 2,457.17 8,798.04 358.06%營業利潤營業利潤-2,163.92-6,270.20 4,106.28-利潤總額利潤總額-2,052.97-6,067.17 4,014.20-拓荊科技股份有限公司 招股說明書(上會稿)1-1-9 項目項目 2021 年年 1-6 月月 2020 年年 1-6 月月 變動金額變動金額 變動比率變動比率 凈利潤凈利潤-2,052.97-6,067.17 4,014.20-歸屬于母公司股東的歸屬于母公司股東的凈利潤凈利潤-2,003.11-6,067.17
25、4,064.06-扣除非經常性損益后扣除非經常性損益后歸屬于母公司股東的歸屬于母公司股東的凈利潤凈利潤-6,765.52-6,960.86 195.34-經營活動產生的現金經營活動產生的現金流量凈額流量凈額-3,288.64-4,354.53 1,065.89-注:負數無法計算變動比率。注:負數無法計算變動比率。2021 年 1-6 月公司營業收入同比增長 8,798.04 萬元,增幅 358.06%,主要是由于:國內半導體設備行業需求增長,為公司業績增長提供了良好的產業環境;公司持續大量的研發投入加快了公司產品升級創新的速度和產品成熟度,增強了產品競爭力和客戶認可度。隨著營業收入快速增長,公
26、司各項利潤指標均相應增長,歸屬于母公司股東的凈利潤增加 4,064.06 萬元。2021 年 1-6 月公司經營活動產生的現金流量同比增加 1,065.89 萬元同樣受益于公司營業收入快速增長。3、非經常性損益情況、非經常性損益情況 2021 年 1-6 月,公司歸屬于母公司股東的非經常性損益合計金額為 4,762.41萬元,主要系計入當期損益的政府補助。(二)發行人(二)發行人 20212021 年年 1 1-9 9 月業績情況月業績情況 2021 年 1-9 月,公司營業收入預計約為 36,032.15 萬元,較上年同期增長約19,702.26 萬元;歸屬于母公司股東的凈利潤預計約為 5,
27、363.29 萬元,較上年同期增長 10,992.41 萬元;扣非后歸屬于母公司股東的凈利潤預計約為-2,716.63 萬元,較上年同期增長 4,172.57 萬元。前述 2021 年 1-9 月業績情況是公司初步核算的結果,未經會計師審計或審閱,且不構成公司的盈利預測或業績承諾。五五、其他重大事項、其他重大事項(一一)員工股持股平臺借款及股份質押員工股持股平臺借款及股份質押 芯鑫和等7 個公司員工持股平臺,與外部投資人以相同價格參與了公司 2019拓荊科技股份有限公司 招股說明書(上會稿)1-1-10 年 12 月的增資。員工持股平臺資金來自本次增資的機構投資人或其關聯方貸款,合計金額 18
28、,354.00 萬元。因該等借款,上述 7 個員工持股平臺將增資取得的發行人股份 966 萬股質押給貸款方。借款、質押詳細情況,請見本招股說明書“第五節 發行人基本情況”之“九、持有發行人 5%以上股份或表決權的主要股東及實際控制人的基本情況”之“(三)其他持有發行人 5%以上股份或表決權的主要股東的基本情況”之“2、持有發行人 5%以上股份或表決權的主要股東所持發行人股份質押或其他有爭議的情況”部分。如果芯鑫和等 7 個公司員工持股平臺未能按照借款協議約定按期償還借款,存在質權人行使質權,使得員工持股平臺所持發行人股權權屬變更的可能性,并存在進一步影響發行人管理層及員工穩定性的風險。(二二)
29、股東糾紛股東糾紛及股份凍結及股份凍結 截至本招股說明書簽署日,潤揚嘉禾直接持有發行人 6.5710%股份,共青城盛夏直接持有發行人 0.8212%股份,潤揚嘉禾與共青城盛夏存在訴訟糾紛。共青城盛夏原系潤揚嘉禾的有限合伙人,持有潤揚嘉禾 5,235.2715 萬元出資額,出資比例為 39.6611%。潤揚嘉禾、共青城盛夏均參與了發行人 2019 年 12月的增資。2020 年 10 月 30 日,潤揚嘉禾向共青城盛夏發出關于將共青城盛夏股權投資管理合伙企業(有限合伙)除名的通知和會議決議,認為因共青城盛夏原因導致潤揚嘉禾至上述文件發出日未能完成私募基金備案,共青城盛夏的行為觸發了潤揚嘉禾合伙協議
30、關于當然退伙的約定,經其他合伙人一致同意將其除名。2021 年 1 月 12 日,潤揚嘉禾完成了共青城盛夏退伙的工商登記,并于 2021 年 1 月 28 日完成私募基金備案。2020 年 11 月 17 日,共青城盛夏向青島市黃島區人民法院對潤揚嘉禾提起訴訟,請求黃島區人民法院判決潤揚嘉禾上述將共青城盛夏除名的會議決議無效。提交答辯狀期間,潤揚嘉禾提出管轄權異議。經黃島區人民法院裁定,潤揚嘉禾提出的異議成立,案件移送太原市杏花嶺區人民法院管轄。2021 年 8 月 6日,太原市杏花嶺區人民法院作出一審判決,駁回共青城盛夏的訴訟請求。2021 年 1 月 31 日,共青城盛夏向江西省九江市中級
31、人民法院對潤揚嘉禾及拓荊科技股份有限公司 招股說明書(上會稿)1-1-11 其出資人提起訴訟,主張其入伙潤揚嘉禾的目的在于通過潤揚嘉禾間接持有拓荊科技股權,在即將實現投資回報的情況下,潤揚嘉禾合伙人的除名決定使其喪失了在潤揚嘉禾的財產份額,侵占了其間接持有的拓荊科技股權,訴請被告向其返還拓荊科技 275.5406 萬股股份。提交答辯狀期間,潤揚嘉禾提起管轄權異議,九江市中級人民法院裁定異議成立,并于 2021 年 4 月 16 日作出 民事裁定書,裁定將上述案件移送山西省太原市中級人民法院。共青城盛夏不服該管轄權移送裁定,向江西省高級人民法院提起上訴,2021 年 6 月 18 日獲得上訴受理
32、通知。截至本招股說明書簽署日,該案件尚在審理中。因前述訴訟糾紛,潤揚嘉禾所持發行人 6.5710%股份(對應 623.3158 萬股股份)被共青城盛夏申請司法凍結,凍結期限自江西省九江市中級人民法院向發行人作出的(2021)贛 04 執保 49 號協助執行通知書送達之日滿兩年。目前發行人已收到九江市中級人民法院于 2021 年 3 月 1 日發出的協助執行通知書。共青城盛夏要求潤揚嘉禾返還的前述發行人 275.5406 萬股股份占發行人股本總額比例為 2.9047%,占比較低。因此,潤揚嘉禾與共青城盛夏之間的訴訟不會對發行人的股權穩定性構成重大不利影響,不構成本次發行上市的實質性法律障礙,也不
33、會對發行人的業務經營造成重大不利影響。(三三)發行人與高級管理人員共同投資行為發行人與高級管理人員共同投資行為 發行人持有拓荊鍵科(海寧)半導體設備有限公司(以下簡稱“拓荊鍵科”)55%的股權,其他股東海寧君鑫科技合伙企業(有限合伙)(以下簡稱“海寧君鑫”)、海寧展陽科技合伙企業(有限合伙)(以下簡稱“海寧展陽”)、海寧展博科技合伙企業(有限合伙)(以下簡稱“海寧展博”)、海寧經開產業園區開發建設有限公司(以下簡稱“海寧經開公司”)分別持有拓荊鍵科 20%、10%、10%、5%的股權。截至本招股說明書簽署日,海寧展陽由劉靜、張家榮分別持有 98%、2%的出資份額,海寧展博由孫麗杰、劉春分別持有
34、 98%、2%的出資份額。劉靜為發行人副總經理兼財務負責人并登記為海寧展陽的普通合伙人(執行合伙事務),孫麗杰為發行人副總經理并登記為海寧展博的普通合伙人(執行合伙事務)。拓荊科技股份有限公司 招股說明書(上會稿)1-1-12 海寧展陽、海寧展博兩個員工持股平臺系為吸引優秀外部人才加入拓荊鍵科而設立。由于拓荊鍵科尚處于初創階段,人員建制還未齊備,為管理需要,發行人委派高級管理人員劉靜、孫麗杰分別擔任前述兩個拓荊鍵科員工持股平臺的執行事務合伙人,負責日常管理工作,該安排為過渡性安排。根據拓荊鍵科全體股東于 2020 年 9 月簽署的拓荊鍵科設立協議、拓荊鍵科公司章程以及海寧展陽和海寧展博各自的合
35、伙協議、拓荊鍵科員工持股管理辦法的規定,劉靜、孫麗杰二人作為拓荊鍵科員工持股平臺普通合伙人,僅承擔普通合伙人的管理職能,不享受合伙企業財產份額所對應的財產權,其登記持有的合伙份額實際是為拓荊鍵科未來延攬人才所設的預留權益。待拓荊鍵科員工中有適當人選時,劉靜、孫麗杰將自海寧展陽、海寧展博退伙,由拓荊鍵科董事會認可的拓荊鍵科員工擔任普通合伙人。拓荊有限與海寧展陽、海寧展博共同投資設立拓荊鍵科事項,經過拓荊有限董事會審議通過;發行人以 1 元/元注冊資本的價格認繳拓荊鍵科注冊資本,與其他股東相同;拓荊有限對拓荊鍵科出資合法合規、出資價格公允。劉靜、孫麗杰登記為海寧展陽、海寧展博的合伙人是接受發行人的
36、工作指派,是一種過渡安排,并不實際享有所持海寧展陽、海寧展博財產份額的權益或其他經濟利益。因此,劉靜、孫麗杰不因此違反公司法第 148 條規定的高級管理人員忠實義務。拓荊科技股份有限公司 招股說明書(上會稿)1-1-13 目目 錄錄 發行人聲明發行人聲明.1 本次發行概覽本次發行概覽.2 重大事項提示重大事項提示.3 一、重大風險因素.3 二、本次發行相關主體作出的重要承諾.7 三、利潤分配政策.7 四、財務報告審計截止日后經營情況及主要財務信息.8 五、其他重大事項.9 目目 錄錄.13 第一節第一節 釋義釋義.18 一、常用詞語.18 二、專業術語.20 第二節第二節 概覽概覽.24 一、
37、發行人及本次發行的中介機構基本情況.24 二、本次發行概況.24 三、主要財務數據和財務指標.25 四、發行人的主營業務經營情況.26 五、發行人技術先進性、模式創新性、研發技術產業化情況以及未來發展戰略.29 六、發行人選擇的具體上市標準.30 七、發行人符合科創板定位的說明.30 八、公司治理特殊安排.32 九、募集資金用途.32 第三節第三節 本次發行概況本次發行概況.33 一、本次發行基本情況.33 二、本次發行的有關當事人.33 三、發行人與中介機構關系.36 拓荊科技股份有限公司 招股說明書(上會稿)1-1-14 四、有關本次發行并上市的重要日期.36 第四節第四節 風險因素風險因
38、素.37 一、技術風險.37 二、經營風險.37 三、財務風險.38 四、內控風險.41 五、知識產權爭議風險.41 六、其他風險.42 第五節第五節 發行人基本情況發行人基本情況.43 一、發行人基本情況.43 二、發行人設立情況.43 三、發行人報告期內股本和股東變化情況.48 四、發行人歷史沿革中股權代持及股東無形資產出資的情況.51 五、發行人報告期內重大資產重組情況.52 六、發行人在其他證券市場的掛牌情況.52 七、發行人股權結構及重要關聯方股權結構.52 八、發行人控股子公司及對發行人有重大影響的參股公司的情況.53 九、持有發行人 5%以上股份或表決權的主要股東及實際控制人的基
39、本情況.55 十、發行人股本情況.66 十一、發行人董事、監事、高級管理人員及核心技術人員情況.70 十二、發行人與董事、監事、高級管理人員及核心技術人員簽署協議及履行情況.81 十三、發行人董事、監事、高級管理人員及核心技術人員變動情況.81 十四、董事、監事、高級管理人員及核心技術人員與發行人及其業務相關的對外投資情況.83 十五、發行人董事、監事、高級管理人員、核心技術人員及其近親屬持股情況.85 十六、發行人董事、監事、高級管理人員及核心技術人員的薪酬情況.85 拓荊科技股份有限公司 招股說明書(上會稿)1-1-15 十七、發行人已執行的股權激勵及其他制度安排和執行情況.87 十八、發
40、行人員工情況.90 第六節第六節 業務與技術業務與技術.93 一、發行人主營業務、主要產品的基本情況.93 二、發行人所處行業基本情況及其競爭狀況.101 三、發行人的銷售情況和主要客戶.128 四、發行人的采購情況和主要供應商.138 五、發行人的核心技術情況.142 六、對主要業務有重大影響的主要固定資產、無形資產等資源要素情況 154 七、發行人的境外經營情況.157 第七節第七節 公司治理與獨立性公司治理與獨立性.158 一、股東大會、董事會、監事會、獨立董事、董事會秘書制度的建立健全及運行情況.158 二、發行人特別表決權股份情況.160 三、發行人協議控制架構情況.160 四、報告
41、期內發行人公司治理存在的缺陷及改進情況.161 五、發行人管理層對內部控制的自我評估意見.161 六、注冊會計師對發行人內部控制的鑒證意見.161 七、發行人及其子公司最近三年違法違規情況.162 八、報告期內資金被控股股東、實際控制人及其控制企業占用或者為控股股東、實際控制人及其控制企業擔保的情況.162 九、發行人獨立持續經營的能力情況.162 十、發行人同業競爭情況.164 十一、關聯方、關聯關系及關聯交易.164 十二、報告期內關聯交易制度的執行情況及獨立董事意見.180 十三、報告期內關聯方的變化情況.181 第八節第八節 財務會計信息與管理層分析財務會計信息與管理層分析.182 一
42、、報告期內財務報表.182 二、注冊會計師審計意見.191 拓荊科技股份有限公司 招股說明書(上會稿)1-1-16 三、與財務會計信息相關的重大事項的判斷標準.193 四、財務報表的編制基礎.193 五、合并財務報表范圍及變化情況.194 六、重要會計政策、會計估計.194 七、公司的非經常性損益情況.203 八、公司納稅情況.204 九、主要財務指標.205 十、對公司未來盈利(經營)能力或財務狀況可能產生重要影響的因素分析.206 十一、經營成果分析.209 十二、財務狀況分析.243 十三、償債能力、流動性、周轉能力及持續經營能力分析.266 十四、報告期重大投資或資本性支出、重大資產業
43、務重組、股權收購合并.274 十五、資產負債表日后事項、或有事項、其他重要事項及重大擔保、訴訟等事項.275 十六、未來實現盈利的前瞻性分析.275 第九節第九節 募集資募集資金運用與未來發展規劃金運用與未來發展規劃.276 一、募集資金運用概況.276 二、募集資金運用情況.278 三、募集資金運用涉及新取得土地或房產的說明.285 四、公司未來發展規劃.285 第十節第十節 投資者保護投資者保護.288 一、信息披露和投資者關系的安排.288 二、股利分配政策.288 三、發行前滾存利潤的分配安排.292 四、股東投票機制建立情況.292 五、重要承諾.293 第十一節第十一節 其他重要事
44、項其他重要事項.296 拓荊科技股份有限公司 招股說明書(上會稿)1-1-17 一、重大合同情況.296 二、對外擔保情況.300 三、重大訴訟或仲裁事項.300 四、控股股東、實際控制人重大違法情況.301 第十二節第十二節 聲明聲明.302 一、發行人全體董事、監事、高級管理人員聲明.302 二、發行人主要股東聲明.303 三、保薦人(主承銷商)聲明.304 四、發行人律師聲明.306 五、審計機構聲明.307 六、資產評估機構聲明(一).308 六、資產評估機構聲明(二).309 七、驗資機構聲明.310 八、驗資復核機構聲明.311 第十三節第十三節 附件附件.312 附表 1:發行人
45、擁有的房屋建筑.313 附表 2:發行人租賃的房產.313 附表 3:發行人已獲注冊商標清單.314 附表 4:發行人已獲授權專利清單.317 附表 5:與投資者保護相關的承諾.325 拓荊科技股份有限公司 招股說明書(上會稿)1-1-18 第一節第一節 釋義釋義 在本招股說明書中,除非另有所指,下列詞語具有如下特定含義:一、常用詞語一、常用詞語 發行人、公司、拓荊科技 指 拓荊科技股份有限公司 拓荊有限 指 沈陽拓荊科技有限公司,發行人前身 姜謙及其一致行動人 指 姜謙、呂光泉、劉憶軍、凌復華、吳飚、周仁、張先智、張孝勇等 8名直接持有公司股份的自然人,以及芯鑫和、芯鑫全、芯鑫龍、芯鑫成、芯
46、鑫旺、芯鑫盛、芯鑫陽、沈陽盛騰、沈陽盛旺、沈陽盛全、沈陽盛龍等 11 個公司員工持股平臺,公司股東 應用材料 指 美國應用材料公司(Applied Materials,Inc.;NASDAQ:AMAT、港交所:4336),是全球最大的半導體設備和服務供應商 泛林半導體 指 泛林半導體(Lam Research Corporation;NASDAQ:LRCX),臺灣稱“科林研發”,或譯“拉姆研究”,是全球領先的半導體設備和服務供應商 美國諾發 指 Novellus Systems Inc.,美國知名半導體設備公司,原納斯達克上市公司(NASDAQ:NVLS),2012 年 6 月與泛林半導體合并
47、 先晶半導體 指 荷蘭先晶半導體公司(ASM International),是全球著名的半導體設備和服務供應商,阿姆斯特丹泛歐交易所上市公司(股票代碼:ASM)臺積電 指 臺灣積體電路制造股份有限公司,國際領先的晶圓制造代工大廠 英特爾 指 Intel Corporation,美國知名半導體公司,是全球最大的個人計算機零件和 CPU 制造商 三星 指 Samsung Electronics Corporation,韓國最大的電子工業企業,旗下擁有 CPU、存儲器、顯示器等眾多產業 KE 指 株式會社日立國際電氣(KOKUSAI ELECTRIC CORPORATION,簡稱“KE”),為日本半
48、導體設備制造商,從事內存、代工業務,提供批量處理系統和服務 中芯國際 指 中芯國際集成電路制造有限公司、中芯國際集成電路制造(上海)有限公司、中芯國際集成電路制造(深圳)有限公司、中芯國際集成電路制造(北京)有限公司、中芯國際集成電路新技術研發(上海)有限公司、中芯北方集成電路制造(北京)有限公司及其同一控制下的關聯方 華虹集團 指 華虹半導體(無錫)有限公司、ICRD、上海華力集成電路制造有限公司及上海華力微電子有限公司及其同一控制下的關聯方 長鑫存儲 指 長鑫存儲技術有限公司,總部位于中國合肥的 DRAM IDM 廠商 長江存儲 指 長江存儲科技有限責任公司、武漢新芯集成電路制造有限公司及
49、其同一控制下的關聯方,總部位于中國武漢的閃存 IDM 廠商 燕東微電子 指 北京燕東微電子股份有限公司,集成電路 IDM 企業 ICRD 指 Shanghai IC R&D Center,上海集成電路研發中心有限公司 沈陽富創 指 沈陽富創精密設備股份有限公司,及其前身沈陽富創精密設備有限公司 拓荊科技股份有限公司 招股說明書(上會稿)1-1-19 北方華創 指 北方華創科技集團股份有限公司,深圳證券交易所中小板上市公司,證券代碼:002371.SZ 芯源微 指 沈陽芯源微電子設備股份有限公司,科創板上市公司,證券代碼:688037.SH 盛美股份 指 盛美半導體設備(上海)股份有限公司,科創
50、板在審企業,代碼:A20142.SH 華海清科 指 華海清科股份有限公司,科創板在審企業,代碼:A20569.SH SEMI 指 Semiconductor Equipment and Materials International,國際半導體產業協會 Gartner 指 Gartner Group 的縮寫,全球權威的 IT 研究與顧問咨詢公司,成立于1979 年,總部設在美國康涅狄克州斯坦福。其研究范圍覆蓋全部 IT產業,就 IT 的研究、發展、評估、應用、市場等領域,發布論證報告及市場調研報告 Strategy Analytics、SA 指 一家全球著名的信息技術,通信行業和消費科技市場研
51、究機構 本次發行 指 發行人首次公開發行股票并在上海證券交易所科創板上市 保薦人保薦機構主承銷商 指 招商證券股份有限公司 天健會計師發行人會計師 指 天健會計師事務所(特殊普通合伙)發行人律師 指 北京市中倫律師事務所 證監會、中國證監會 指 中國證券監督管理委員會 上交所、交易所 指 上海證券交易所 基金業協會 指 中國證券投資基金業協會 國務院 指 中華人民共和國國務院 財政部 指 中華人民共和國財政部 公司法 指 中華人民共和國公司法 證券法 指 中華人民共和國證券法 報告期、報告期內、報告期各期 指 2018 年、2019 年、2020 年和 2021 年 1-3 月 報告期末 指
52、2021 年 3 月 31 日 報告期各期末 指 2018 年 12 月 31 日、2019 年 12 月 31 日、2020 年 12 月 31 日和 2021年 3 月 31 日 報告期各年末 指 2018 年 12 月 31 日、2019 年 12 月 31 日、2020 年 12 月 31 日,不包括 2021 年 3 月 31 日 報告期各年度 指 2018 年、2019 年、2020 年,不包括 2021 年 1-3 月 元、萬元 指 人民幣元、人民幣萬元 拓荊科技股份有限公司 招股說明書(上會稿)1-1-20 二、專業術語二、專業術語 硅片 指 Silicon Wafer,半導體
53、級硅片,通常也叫晶圓,用于集成電路、分立器件、傳感器等半導體產品制造。按其直徑尺寸主要分為 4 英寸、6 英寸、8 英寸、12 英寸等規格 晶圓 指 在氧化/擴散、光刻、刻蝕、離子注入、薄膜生長、清洗與拋光、金屬化等特定工藝加工過程中的硅片 晶圓制造、芯片制造 指 將通過一系列特定的加工工藝,將半導體硅片加工制造成芯片的過程,分為前道晶圓制造和后道封裝測試 晶圓廠 指 通過一系列特定的加工工藝,在硅片上加工制造半導體器件的生產廠商,如:臺積電、中芯國際等 IDM 指 Integrated Device Manufacture,垂直整合制造,指垂直整合制造商獨自完成集成電路設計、晶圓制造、封裝測
54、試的全產業鏈環節 工藝節點、制程、關鍵尺寸 指 泛指在集成電路生產工藝可達到的最小柵極寬度,尺寸越小,表明工藝水平越高,意味著在同樣面積的晶圓上,可以制造出更多的芯片,或者同樣晶體管規模的芯片會占用更小的空間,主要節點如 90nm、65nm、40nm、28nm、14nm、7nm、5nm、3nm 等 介電常數、k 指 又稱電容率或相對電容率,表征材料電性能的一個重要數據,低 k 值代表較低的極性 先進制程、先進工藝 指 當下時點芯片制造最小技術節點,目前國內通常指 28nm/14nm 以下工藝制程 機臺 指 半導體行業對生產設備的統稱 Demo 機臺 指 驗證機臺。發行人銷售活動中,部分客戶要求
55、預先驗證發行人生產的機臺,待工藝驗證通過后轉為正式銷售。Demo 機臺通常是新工藝、新機型的首臺設備 Demo 訂單 指 針對 Demo 機臺簽訂的驗證訂單 研發產線 指 半導體晶圓制造廠在量產產線技術基礎上,針對更先進制程,通過對設備、材料、工藝更高的技術指標設置,開展實驗性晶圓制造的生產線 試產線 指 半導體晶圓制造廠將經過研發產線驗證的工藝應用于小批量試生產的生產線 量產線 指 半導體晶圓制造廠將經過試產線良率驗證的工藝投入大規模商業化量產的生產線 良率 指 被測試芯片經過全部測試流程后,測試結果為良品的芯片數量占據全部被測試電路數量的比例 泛半導體 指 除集成電路外包含平板顯示、LED
56、、太陽能電池等的半導體行業 CMOS、CMOS 工藝 指 Complementary Metal Oxide Semiconductor(互補金屬氧化物半導體),由 N-MOS 和 P-MOS 器件構成的一類芯片,其多晶硅柵極結構有助于降低器件的閾值電壓,從而在低電壓下運行,是制造大規模集成電路芯片使用的一種器件結構 FinFET、FinFET 工藝 指 Fin Field-Effect Transistor,鰭式場效應晶體管,是一種新的互補式金氧半導體晶體管,可以改善電路控制并減少漏電流,縮短晶體管的柵長 Fin 指 晶體管魚鰭式閘門,FinFET 工藝中,控制晶體管電路連通與斷開的新型 3
57、D 狀態閘門 前道、后道 指 芯片制造分為前道和后道工藝,前道主要是光刻、刻蝕、薄膜沉積、清洗、離子注入、化學機械平坦等;后道主要有封裝、測試等 封裝 指 在半導體制造的最后階段,將一小塊材料(如芯片)包裹在支撐外殼中,以防止物理損壞和腐蝕,并允許芯片連接到電路板的工藝 拓荊科技股份有限公司 招股說明書(上會稿)1-1-21 先進封裝 指 處于前沿的封裝形式和技術。目前,帶有倒裝芯片(FC)結構封裝、晶圓級封裝(WLP)、系統級封裝(SiP)、硅通孔技術(TSV)、2.5D封裝、3D 封裝等均被認為屬于先進封裝范疇 2.5D 封裝 指 在兩片晶圓間通過添加再分布層和導電層使之互通互聯的封裝。再
58、分布層是指在原本晶圓上沉積一層或多層電介質材料用于隔離,再令原本晶圓上的觸點裸露,再淀積新的金屬層來實現重新布局布線 3D封裝、TSV先進封裝 指 采用 TSV(Through-siliconvia,硅通孔)技術的封裝,又稱 TSV 先進封裝,目前被認為是半導體行業最先進的技術之一。TSV 可以將芯片堆疊起來使三維空間被利用起來。更重要的是,TSV 實現了貫穿整個芯片厚度的電氣連接,更開辟了芯片上下表面之間的最短通路。芯片之間連接的長度變短也意味著更低的功耗和更大的帶寬。3D 存儲芯片封裝也會在將來大量的用到 TSV 封裝技術 光刻 指 利用光學-化學反應原理將電路圖形傳遞到光掩模上,形成有效
59、圖形窗口或功能圖形的工藝技術 刻蝕、Etch 指 用化學或物理方法有選擇地在硅表面去除不需要的材料的過程,是與光刻相聯系的圖形化處理的一種主要工藝,是半導體制造工藝的關鍵步驟 薄膜沉積 指 半導體制造中任何在硅片襯底上沉積一層膜的工藝。這層膜可以是導體、絕緣物質或者半導體材料。沉積膜可以是二氧化硅、氮化硅、多晶硅以及金屬。薄膜沉積設備在半導體的前段工序 FEOL(制作晶體管等部件)和后段布線工序 BEOL(將在 FEOL 制造的各部件與金屬材料連接布線以形成電路)均有多處應用。CVD 指 Chemical Vapor Deposition,化學氣相沉積法。是指化學氣體或蒸汽在基底表面反應合成涂
60、層或納米材料的方法,是半導體工業中應用最為廣泛的用來沉積多種材料的技術,包括大范圍的絕緣材料,大多數金屬材料和金屬合金材料 PECVD 指 Plasma Enhanced Chemical Vapor Deposition,等離子體增強化學氣相沉積法 ALD 指 Atomic Layer Deposition,原子層沉積 PE-ALD 指 Plasma Enhanced Atomic Layer Deposition,等離子體增強原子層沉積 Thermal-ALD 指 Thermal Atomic Layer Deposition,熱處理原子層沉積 SACVD 指 Sub-atmospheri
61、c Pressure Chemical Vapor Deposition,次大氣壓化學氣相沉積法 UV Cure 指 紫外固化,紫外固化是輻射固化的一種,是利用紫外線 UV 產生輻射聚合、輻射交聯等作用,可以有效改善薄膜的物理性能和化學性能 LPCVD 指 Low Pressure Chemical Vapor Deposition,低壓力化學氣相沉積 PVD 指 Physical Vapor Deposition,物理氣相沉積 加熱盤 指 薄膜沉積設備的反應腔體中的一個關鍵部件,其主要作用是對工藝過程中支撐晶圓,對晶圓進行加熱,并可以提供射頻功率的通道 噴淋頭 指 薄膜沉積設備的反應腔體中的
62、一個關鍵部件,主要作用是在按設計提供反應氣體和其他氣體,同時可以作為射頻回路中的上極板 射頻、射頻系統 指 PECVD 設備的反應腔體中的一個關鍵部件,通過提供穩定的射頻輸出源、快速的匹配系統及低損耗的射頻回路來激發工藝氣體成為高活性、高能量的等離子體 EFEM 指 Equipment front-end module,一種晶圓傳輸系統,可用于制造設備與晶圓產線的晶圓傳輸模塊 介質 指 電介質,亦稱絕緣體,是一種不導電的物質 拓荊科技股份有限公司 招股說明書(上會稿)1-1-22 通用介質薄膜 指 在集成電路制造過程中使用的 SiO2、SiN、SiON 等介質薄膜 SiO2 指 硅與氧的化合物
63、二氧化硅,可以作為一種電介質 TEOS 指 Tetraethyl orthosilicate,正硅酸乙酯,可作為 SiO2薄膜的反應源 SAF 指 極高深寬比氧化硅薄膜工藝 BPSG 指 Boro-phospho-silicate Glass,即摻雜了硼和磷的二氧化硅 SiN 指 氮化硅,可以用作芯片制造中的阻擋層、鈍化層 SiON、DARC 指 Silicon Oxynitride,即氮氧化硅,主要用于光刻過程中的消光作用,提高曝光效果 PSG 指 Phospho-silicate Glass,即摻雜磷的二氧化硅,可用于金屬布線層間的絕緣層、回流介質層和表面鈍化保護層 先進介質薄膜、先進材料
64、工藝 指 在集成電路制造過程中使用的 Lok、Lok、ADC、ADC、ACHM、-Si 等介質薄膜 ACHM 指 非晶碳硬掩膜,該薄膜能夠提供良好的刻蝕選擇性 Lok 指 摻碳氧化硅薄膜,是低介電常數薄膜,主要應用于集成電路芯片后段互連層間介導層,通過超低介電常數,降低電路的漏電電流,降低導線之間的電容效應,提高芯片性能 Lok 指 超低介電常數薄膜,為 Lok的下一代新型介質薄膜,通過相對于 Lok更低的超低介電常數,降低電路的漏電電流,降低導線之間的電容效應,提高芯片性能 ADC 指 Nitrogen Doped Carbide,先進摻氮碳化硅薄膜,主要應用于擴散阻擋層以及刻蝕阻擋層,由于
65、較低的介電常數,可以降低了導線間的電容效率,提升了芯片整體的傳輸性能 ADC 指 Oxygen-doped Silicon Carbide,先進摻氧碳化硅薄膜,下一代低介電阻擋層薄膜-Si 指 Amorphous Silicon,非晶硅,主要應用在硬掩膜以實現小尺寸高深寬比的圖形傳遞 ONON 疊層、NO stack、No stack 工藝 指 氧化物-氮化物-氧化物-氮化物交替的膜堆疊件,沉積 SiO2、SiN 制作的方法中的一個步驟,主要用于 3D NAND 閃存芯片制造 Thick TEOS 指 微米級 TEOS 薄膜 SADP 指 Self-Aligned Double Pattern
66、ing,自對準雙重成像技術??捎糜?FinFET工藝中 Fin 的制造 淺溝槽隔離、STI 指 Shallow Trench Isolation,通常用于 0.25um 以下工藝,通過圖形化工藝在晶體管結構之間形成槽填充絕緣層,以達到晶圓表面器件之間隔離 BSI、BSI 工藝 指 Back Side Illumination,背照式圖像傳感器,一種 CMOS 圖像傳感器制造工藝 前段、FEOL 指 前段(FEOL)指對芯片有源部分的制造工序,即位于芯片硅襯底上的晶體管 后段、BEOL 指 后段(BEOL)指在晶體管上部建立若干層的導電金屬線,不同層金屬線之間由柱狀金屬相連的制造 層間介質、IL
67、D 指 Inter Layer Dielectric,是在晶體管與第一層金屬之間形成的介質材料層 金屬絕緣層、指 Inter Metal Dielectric,是金屬導線之間的介電材料層 拓荊科技股份有限公司 招股說明書(上會稿)1-1-23 IMD 鈍化層 指 晶圓表面起到保護功能的一層薄膜 硬掩模 指 先進制程晶圓制造光刻工藝的中間層,利用光刻膠將圖形轉移到硬掩模,再通過硬掩模將圖形轉移到待刻蝕的底層薄膜材料上 刻蝕阻擋層 指 在多層介質膜刻蝕時,利用刻蝕速率的差異進而對刻蝕具有選擇性,起到了阻擋刻蝕繼續進行以及刻蝕工藝終止的作用 金屬前絕緣層、PMD 指 Pre-Metal Dielec
68、tric,是沉積金屬前的介質層,其界于柵極與第一層金屬之間,起到絕緣介質的作用 NFDARC、SiOC 指 Nitrogen Free Dielectric anti-reflective coating,即無氮元素的介電抗反射涂層,在光刻機曝光時起到抗反射的作用,從而實現理想圖形的刻蝕 本招股說明書除特別說明外,所有數值保留 2 位或 4 位小數,若出現總數與各分項數值之和尾數不符的情況,均為四舍五入原因造成。拓荊科技股份有限公司 招股說明書(上會稿)1-1-24 第二節第二節 概覽概覽 本概覽僅針對招股說明書全文做扼要提示。投資者作出投資決策前,應認真閱讀招股說明書全文。一、發行人及本次發
69、行的中介機構基本情況一、發行人及本次發行的中介機構基本情況(一一)發行人基本情況發行人基本情況 發行人名稱發行人名稱 拓荊科技股份有限公司 成立日期成立日期 2010 年 4 月 28 日 注冊資本注冊資本 9,485.8997 萬元 法定代表人法定代表人 呂光泉 注冊地址注冊地址 遼寧省沈陽市渾南區水家 900 號 主要主要生產生產 經營地址經營地址 遼寧省沈陽市渾南區水家 900 號 控股股東控股股東 無 實際控制人實際控制人 無 行業分類行業分類 專用設備制造業(C35)在其他交易場所在其他交易場所(申請申請)掛牌或掛牌或上市的情況上市的情況 無(二二)本次發行的有關中介機構本次發行的有
70、關中介機構 保薦人保薦人 招商證券股份有限公司 主承銷商主承銷商 招商證券股份有限公司 發行人律師發行人律師 北京市中倫律師事務所 其他承銷機構其他承銷機構 無 審計機構審計機構 天健會計師事務所(特殊普通合伙)評估機構評估機構 北京中企華資產評估有限責任公司、沃克森(北京)國際資產評估有限公司 驗資機構驗資機構 天健會計師事務所(特殊普通合伙)二、本次發行概況二、本次發行概況(一一)本本次發行的基本情況次發行的基本情況 股票種類股票種類 人民幣普通股(A 股)每股面值每股面值 人民幣 1.00 元 發行股數發行股數 不超過 3,161.9800 萬股 其中:發行新股數量其中:發行新股數量 不
71、超過3,161.9800 萬股 占發行后總股本比例占發行后總股本比例 不低于 25%股東公開發售股份數量股東公開發售股份數量 無 占發行后總股本比例占發行后總股本比例 無 發行后總股本發行后總股本 不超過 12,647.8797 萬元 每股發行價格每股發行價格【】元 拓荊科技股份有限公司 招股說明書(上會稿)1-1-25 定價方式定價方式 由發行人與主承銷商自行協商確定,包括但不限于通過向詢價對象詢價并結合當時市場情況確定發行價格 發行市盈率發行市盈率【】倍(發行價格除以每股收益,每股收益按發行前一年度經審計的扣除非經常性損益前后孰低的歸屬于母公司股東的凈利潤除以發行后總股本計算)發行前每股凈
72、資產發行前每股凈資產【】元 發行前每股收益發行前每股收益【】元 發行后每股凈資產發行后每股凈資產【】元 發行后每股收益發行后每股收益【】元 發行市凈率發行市凈率【】倍(發行價格除以每股凈資產,每股凈資產按截至報告期末經審計的歸屬于母公司股東的權益與本次募集資金凈額之和除以發行后總股本計算)發行方式發行方式 網下向詢價對象詢價配售和網上資金申購定價發行相結合的方式或中國證監會、上海證券交易所認可的其他發行方式 發行對象發行對象 符合資格的詢價對象和符合條件的在上海證券交易所開戶的境內自然人、法人等投資者(國家法律、法規禁止購買者除外)或證券監管部門規定的其他對象 承銷方式承銷方式 余額包銷 擬公
73、開發售股份股東擬公開發售股份股東 名稱名稱 無 發行費用的分攤原則發行費用的分攤原則 無 募集資金總額募集資金總額【】萬元 募集資金凈額募集資金凈額【】萬元 募集資金投資項目募集資金投資項目 高端半導體設備擴產項目、先進半導體設備技術研發與改進項目、ALD 設備研發和產業化項目、補充流動資金 發行費用概算發行費用概算 本次發行費用總額為【】萬元,其中主要包括保薦及承銷費【】萬元;審計及驗資費【】萬元;律師費【】萬元;用于本次發行的信息披露費用【】萬元;發行手續費【】萬元(二二)本次發行上市的重要日期本次發行上市的重要日期 序號序號 內容內容 日期日期 1 刊登發行公告日期【】2 開始詢價推介時
74、間【】3 刊登定價公告日期【】4 申購日期和繳款日期【】5 股票上市日期【】三、主要財務數據和財務指標三、主要財務數據和財務指標 公司聘請天健會計師對本次申報的財務報表及財務報表附注進行了審計,本公司報告期主要財務數據和財務指標如下:拓荊科技股份有限公司 招股說明書(上會稿)1-1-26 項目項目 2021.3.31/2021 年年 1-3 月月 2020.12.31/2020 年度年度 2019.12.31/2019 年度年度 2018.12.31/2018 年度年度 資產總額(萬元)189,816.01 181,406.91 107,411.69 92,644.56 歸屬于母公司所有者權益
75、(萬元)111,251.72 112,220.97 81,426.96 69,415.35 資產負債率(母公司)39.82%36.41%24.19%25.07%營業收入(萬元)5,774.10 43,562.77 25,125.15 7,064.40 凈利潤(萬元)-1,058.92-1,169.99-1,936.64-10,322.29 歸屬于母公司所有者凈利潤(萬元)-1,032.66 -1,148.90 -1,936.64 -10,322.29 扣除非經常損益后歸屬于母公司所有者凈利潤(萬元)-2,400.90-5,711.62-6,246.63-14,993.05 基 本 每 股 收
76、益(元)-0.11/稀 釋 每 股 收 益(元)-0.11/加權平均凈資產收益率-0.92%-1.11%-2.83%-13.86%經營活動產生的現金流量凈額(萬元)-7,371.04 30,891.27-2,175.61-12,801.52 現金分紅(萬元)研發投入占營業收入的比例 47.02%28.19%29.58%152.84%注:上述財務指標的計算方法參見本招股說明書“第八節 財務會計信息與管理層分析”之“九、主要財務指標”的注釋 四、發行人的主營業務經營情況四、發行人的主營業務經營情況(一一)主營業務主營業務 拓荊科技主要從事高端半導體專用設備的研發、生產、銷售和技術服務。公司聚焦的半
77、導體薄膜沉積設備與光刻機、刻蝕機共同構成芯片制造三大主設備。公司主要產品包括等離子體增強化學氣相沉積(PECVD)設備、原子層沉積(ALD)設備和次常壓化學氣相沉積(SACVD)設備三個產品系列,已廣泛應用于國內晶圓廠 14nm 及以上制程集成電路制造產線,并已展開 10nm 及以下制程產品驗證測試。拓荊科技是國內唯一一家產業化應用的集成電路 PECVD、SACVD 設備廠拓荊科技股份有限公司 招股說明書(上會稿)1-1-27 商,以前后兩任董事長為核心的五名國家級海外高層次專家組建起一支國際化的技術團隊,形成了三大類半導體薄膜設備產品系列,先后四次承擔國家重大科技專項/課題,被中國半導體行業
78、協會評為 2016 年度、2017 年度、2019 年度“中國半導體設備五強企業”。截至本招股說明書簽署日,公司已獲授權專利 177 項(境內 156 項,其他國家或地區 21 項),其中發明專利 96 項(境內 75 項,其他國家或地區 21 項)。公司獲得 2017 年遼寧省政府頒發的“遼寧省科學技術進步一等獎”,中國電子專用設備工業協會 2016 年度“中國半導體創新產品”認證,2019 年國家知識產權局頒發的“國家知識產權示范企業”稱號,2021 年中國集成電路創新聯盟頒發的“技術創新獎”。(二二)主要經營模式主要經營模式 1、盈利模式、盈利模式 公司主要從事高端半導體專用設備的研發、
79、生產、銷售及技術服務,通過向下游集成電路制造企業、其他泛半導體行業企業和科研院所等客戶,銷售PECVD、ALD 和 SACVD 設備并提供備品備件及技術服務來實現收入和利潤。報告期內,公司主營業務收入來源于半導體設備的銷售,其他業務收入主要來源于設備有關的備品備件銷售及技術服務。2、研發模式、研發模式 公司主要采用自主研發的模式。公司建成了一支國際化、專業化的半導體薄膜沉積設備研發技術團隊。公司的研發技術團隊結構合理,分工明確,專業知識儲備深厚,產線驗證經驗豐富,是公司自主研發能力的基石。公司根據客戶需求、半導體專用設備技術動態和國家重大科技專項目標為導向,研發設計新產品、新工藝,制造研發機臺
80、,調試性能參數,在通過公司測試之后,送至客戶實際生產環境中進行產業化驗證,通過驗證后產品正式定型。此外,公司會根據客戶不同的工藝應用需求,持續豐富、完善量產產品功能。3、采購模式、采購模式 公司采購主要分為標準件采購和非標件采購。對于標準件采購,公司面向市拓荊科技股份有限公司 招股說明書(上會稿)1-1-28 場供應商進行直接采購。非標件主要為公司研發生產中,根據特定技術需求,自行設計的零部件。對于非標件采購,公司主要通過向供應商提供設計圖紙、明確參數要求,由供應商自行采購原材料進行加工并完成定制;針對特定零部件,公司存在提供圖紙及參數,并向供應商提供原材料,委托供應商完成定制化加工的情形。4
81、、生產模式、生產模式 公司的產品主要根據客戶的差異化需求和采購意向,進行定制化設計及生產制造。公司主要采用庫存式生產和訂單式生產相結合的生產模式。庫存式生產,指公司尚未獲取正式訂單便開始的生產活動,包括根據 Demo 訂單或較明確的客戶采購意向啟動的生產活動。訂單式生產,指公司與客戶簽署正式訂單后進行的生產活動。庫存式生產,適用于公司的 Demo 機臺和部分銷售機臺(非 Demo 機臺)。對于 Demo 機臺,通常在公司與客戶充分溝通產品型號、參數、配置等信息,便開始組織生產,完工后以 Demo 訂單的形式發往客戶端進行驗證。一般在 Demo機臺獲得客戶端驗證通過后,客戶才會下達正式訂單進行采
82、購。對于部分銷售機臺,公司在與客戶接洽過程中,若判斷客戶有較明確的采購預期,便安排銷售機臺的通用模塊的生產,如薄膜沉積設備的平臺部分,以縮短交貨時間。在生產通用模塊的過程中,公司繼續與客戶溝通相關的業務細節。部分銷售機臺,也可能在生產完成后,公司才獲取相應的正式訂單。訂單式生產,適用于公司的大部分的銷售機臺。對于該類的銷售,公司在與客戶溝通完所有相關業務條款后,便與客戶簽署正式訂單。此時,公司可能已完成部分或全部模塊的生產,或尚未開始生產活動。若尚有模塊未完成生產,公司便根據訂單中約定的具體參數、定制化配置等條款,完成剩余模塊的生產,該部分生產活動即為訂單式生產。最后,公司完成總裝及測試,發運
83、至客戶指定地點。5、銷售和服務模式、銷售和服務模式 公司以直銷為主,結合少量經銷的模式開展銷售活動。直銷模式下,公司通過與潛在客戶商務談判、招投標、委托第三方推廣等方式獲取客戶訂單。公司采用自上而下的市場開拓策略,首先從行業知名企業客戶拓荊科技股份有限公司 招股說明書(上會稿)1-1-29 切入市場,取得知名企業對公司技術和產品的認可,樹立公司的市場聲譽和品牌形象,開拓更多半導體行業客戶。經過多年的努力,公司已與中芯國際、華虹集團、長江存儲等國內半導體行業企業形成了較為穩定的合作關系。公司具體的銷售流程一般包括市場調查與推介、獲取客戶需求及公司內部討論、產品報價、投標操作與管理(如適用)、銷售
84、洽談、合同評審、銷售訂單(或Demo 訂單)簽訂與執行、產品安裝調試、合同回款、客戶驗收及售后服務等步驟。公司的設備發運至客戶指定地點后,需要在客戶的生產線上進行安裝調試,主要流程包括:設備初始設置和功能性動力連接、基本硬件測試、基本工藝驗證測試、批量產品工藝驗證測試(穩定性測試)。通??蛻粼谕瓿梢陨蠝y試后,對設備進行驗收,公司在客戶驗收完成后確認收入。經銷模式下,公司將產品出售給經銷商后,由經銷商自主定價后銷售給下游終端客戶;公司向終端客戶提供技術支持和售后服務。公司在終端客戶對設備完成驗收后確認收入。經銷模式下,終端客戶的驗收流程與直銷模式的客戶驗收流程無明顯差異。(三三)競爭地位競爭地位
85、 拓荊科技是國內半導體設備行業重要的領軍企業之一,三次(2016 年、2017年、2019 年)獲得中國半導體行業協會頒發的“中國半導體設備五強企業”稱號。公司專注的薄膜沉積設備領域系半導體晶圓制造三大核心設備種類之一。公司是國內唯一一家產業化應用的集成電路 PECVD、SACVD 設備廠商,發行人產品已成功應用于中芯國際、華虹集團、長江存儲、廈門聯芯、燕東微電子等行業領先集成電路制造企業產線,產品技術參數已達到國際同類設備水平。五、發行人技術先進性、模式創新性、研發技術產業化情況以及未來五、發行人技術先進性、模式創新性、研發技術產業化情況以及未來發展戰略發展戰略 公司自成立以來,始終專注于半
86、導體薄膜沉積設備的研發。公司先后承擔“90-65nm 等離子體增強化學氣相沉積設備研發與應用”“1x nm 3D NAND PECVD 研發及產業化”等四項國家重大科技專項/課題?;趪抑卮罂萍紝m椦芯砍晒凸に嚱涷?,公司面向集成電路各類芯片晶圓制造大規模產線的現實需求,不斷攻克技術難點、提升設備機械性能、優化薄膜工藝性能,在新產品新工拓荊科技股份有限公司 招股說明書(上會稿)1-1-30 藝型號研發、生產工藝改進、技術節點升級等方面形成了一系列的技術成果,解決了半導體制造中納米級厚度薄膜均勻一致性、薄膜表面顆粒數量少、快速成膜、設備產能穩定高速等關鍵難題,在保證實現薄膜工藝性能的同時,提升
87、客戶產線的產能,減少客戶產線的生產成本。公司的產品已適配國內最先進的 28/14nm 邏輯芯片、19/17nm DRAM 芯片和64/128 層 3D NAND FLASH 晶圓制造產線,2.5D、3D 先進封裝及其他泛半導體領域。報告期內,公司客戶需求及數量快速增長,銷售收入分別為 7,064.40 萬元、25,125.15 萬元、43,562.77 萬元和 5,774.10 萬元,亦呈現快速增長的趨勢??蛻魯盗亢弯N售收入的快速增長說明公司的科技成果成功轉化為市場產品,得到下游客戶認可。公司未來將繼續致力于高端半導體設備的研發生產,擴大現有設備市場占有率,提高公司設備的技術先進性,豐富公司設
88、備種類,拓展技術應用領域,并開拓中國臺灣市場。六、發行人選擇的具體上市標準六、發行人選擇的具體上市標準 發行人選擇的上市標準為上海證券交易所科創板股票發行上市審核規則第三章第二十二條第(四)項:預計市值不低于人民幣 30 億元,且最近一年營業收入不低于人民幣 3 億元。七、發行人符合科創板定位的說明七、發行人符合科創板定位的說明(一一)公司符合行業領域要求公司符合行業領域要求 公司所屬 行業領域 新一代信息技術 根據證監會 上市公司行業分類指引(2012 年修訂),公司隸屬于專用設備制造業(行業代碼:C35)。根據國民經濟行業分類(GB/T4754-2017),公司隸屬于專用設備制造業下的半導
89、體器件專用設備制造(行業代碼:C3562)。根據國家統計局頒布的 戰略性新興產業分類(2018),公司從事的半導體器件專用設備制造為戰略性新興產業,被納入戰略性新興產業“1 新一代信息技術產業-1.2 電子核心產業-1.2.1 新型電子元器件及設備制造-半導體器件專用設備制造”。高端裝備 新材料 新能源 節能環保 生物醫藥 符合科創板定位的其他領域 拓荊科技股份有限公司 招股說明書(上會稿)1-1-31(二二)公司符合科創屬性要求公司符合科創屬性要求 1、科創屬性評價標準一、科創屬性評價標準一 科創屬性評價標準一科創屬性評價標準一 是否符合是否符合 指標情況指標情況 最近三年累計研發投入占最近
90、三年累計營業收入比例5%,或最近三年累計研發投入金額6,000萬元 是 否 公 司 最 近 三 年 累 計 研 發 投 入 金 額 為30,507.37 萬元,大于 6,000.00 萬元;公司近三年累計實現營業收入 75,752.32 萬元,累計研發投入占累計營業收入的比例為40.27%,大于 5%。研發人員占當年員工總數的比例不低于 10%是 否 截至 2021 年 3 月 31 日,發行人研發人員142 名,員工總人數 326 名,研發人員占當年員工總數的比例為 43.56%,大于10%。形成主營業務收入的發明專利(含國防專利)5 項 是 否 截至本招股說明書簽署日,公司已獲與主營業務收
91、入相關的境內境外發明專利 96項,大于 5 項。最近三年營業收入復合增長率20%,或最近一年營業收入金額3 億 是 否 2018 至 2020 年,公司營業收入分別為7,064.40 萬元、25,125.15 萬元、43,562.77萬元,營業收入的復合增長率為 148.32%,大于 20%;公司近一年營業收入金額為4.36 億元,大于 3 億元。2、科創屬性評價標準二、科創屬性評價標準二 科創屬性評價標準二科創屬性評價標準二 是否符合是否符合 主要依據主要依據 獨立或者牽頭承擔與主營業務和核心技術相關的“國家重大科技專項”項目 是 否 公司創立以來始終專注于半導體薄膜沉積設備領域,先后四次承
92、擔國家重大科技專項/課題。依靠核心技術形成的主要產品(服務),屬于國家鼓勵、支持和推動的關鍵設備、關鍵產品、關鍵零部件、關鍵材料等,并實現了進口替代 是 否 公司的PECVD系列產品已經在中芯國際、華虹集團、長江存儲等國內集成電路生產制造企業實現產業應用,國內唯一一家產業化應用的集成電路 PECVD、SACVD 設備廠商,突破了歐美及日本企業對同類產品的長期壟斷,實現了集成電路領域核心設備的自主可控,增強了集成電路產業供應鏈的自主可控能力。形成核心技術和主營業務收入相關的發明專利(含國防專利)合計 50 項以上 是 否 截至本招股說明書簽署日,公司已獲與主營業務收入相關的境內境外發明專利共計9
93、6 項。半導體的應用涉及計算、通訊、工業控制等多個領域,半導體行業越來越成為經濟發展的基礎行業,保障國家安全的戰略性、基礎性和先導性產業,大國競爭的焦點。半導體專用設備業是半導體行業的重要支撐,屬于國家高度重視和重點支持的戰略新興行業。公司聚焦的薄膜沉積設備與光刻設備、刻蝕設備共同構成晶圓制造三大核心設備,決定了芯片制造工藝的先進程度。公司符合中國證監拓荊科技股份有限公司 招股說明書(上會稿)1-1-32 會科創屬性評價指引(試行)制定的科創屬性評價標準,符合科創板定位。八、公司治理特殊安排八、公司治理特殊安排 截至本招股說明書簽署日,公司不存在公司治理特殊安排等重要事項。九、募集資金用途九、
94、募集資金用途 本次發行并上市的募集資金扣除發行費用后,將投資于以下項目:單位:萬元 項目名稱項目名稱 投資總額投資總額 利用募集資金投資額利用募集資金投資額 占比占比 高端半導體設備擴產項目 7,986.46 7,986.46 7.98%先進半導體設備的技術研發與改進項目 39,948.34 39,948.34 39.94%ALD 設備研發與產業化項目 27,094.85 27,094.85 27.09%補充流動資金 25,000.00 25,000.00 24.99%合計合計 100,029.65 100,029.65 100.00%若本次實際募集資金(扣除發行費用后)少于上述投資項目所需資
95、金,公司將通過自籌資金的方式解決;若本次實際募集資金(扣除發行費用后)超過上述投資項目所需,則剩余部分將根據公司實際經營需要,并根據中國證監會及上海證券交易所的有關規定用于公司主營業務的發展。募集資金投資項目在本次發行募集資金到位前必須進行先期投入的,公司將以自籌資金先期進行投入,待本次發行募集資金到位后,公司將以募集資金置換、償還先期自籌資金投入。拓荊科技股份有限公司 招股說明書(上會稿)1-1-33 第三節第三節 本次發本次發行概況行概況 一、本次發行基本情況一、本次發行基本情況 股票種類股票種類 人民幣普通股(A 股)每股面值每股面值 人民幣 1.00 元 發行股數及占發行后總發行股數及
96、占發行后總股本的比例股本的比例 本次擬公開發行股票數量為不超過 3,161.9800 萬股,且不低于發行后公司總股本的 25%每股發行價格每股發行價格【】元 發行人高級管理人員、員發行人高級管理人員、員工擬參與戰略配售情況工擬參與戰略配售情況 若公司決定實施高管及員工戰略配售,則將在本次公開發行股票注冊后、發行前,履行內部程序審議該事項具體方案,并依法進行披露 保薦人相關子公司擬參保薦人相關子公司擬參與戰略配售情況與戰略配售情況 保薦機構將安排相關子公司參與本次發行戰略配售,具體按照上交所相關規定執行。保薦機構及其相關子公司后續將按要求進一步明確參與本次發行戰略配售的具體方案,并按規定向上交所
97、提交相關文件 發行市盈率發行市盈率【】倍(發行價格除以每股收益,每股收益按發行前一年度經審計的扣除非經常性損益前后孰低的歸屬于母公司股東的凈利潤除以發行后總股本計算)預測凈利潤及發行后每預測凈利潤及發行后每股收益股收益 不適用 發行前每股凈資產發行前每股凈資產【】元(按截至【】經審計的歸屬于母公司所有者權益除以本次發行前總股本計算)發行后每股凈資產發行后每股凈資產【】元(根據截至報告期末經審計的歸屬于母公司股東權益加上本次預計募集資金凈額之和除以本次發行后總股本計算)發行市凈率發行市凈率【】倍(發行價格除以每股凈資產,每股凈資產按截至報告期末經審計的歸屬于母公司股東的權益與本次募集資金凈額之和
98、除以發行后總股本計算)發行方式發行方式 網下向詢價對象詢價配售和網上資金申購定價發行相結合的方式或中國證監會、上海證券交易所認可的其他發行方式 發行對象發行對象 符合資格的詢價對象和符合條件的在上海證券交易所開戶的境內自然人、法人等投資者(國家法律、法規禁止購買者除外)或證券監管部門規定的其他對象 承銷方式承銷方式 余額包銷 發行費用概算發行費用概算 本次發行費用總額為【】萬元,其中主要包括保薦及承銷費【】萬元;審計及驗資費【】萬元;律師費【】萬元;用于本次發行的信息披露費用【】萬元;發行手續費【】萬元(以上費用均為含稅價格)擬公開發售股份的股東擬公開發售股份的股東名稱名稱 無 二、本次發行的
99、有關當事人二、本次發行的有關當事人(一一)發行人發行人 拓荊科技股份有限公司 招股說明書(上會稿)1-1-34 名稱 拓荊科技股份有限公司 住所 遼寧省沈陽市渾南區水家 900 號 法定代表人 呂光泉 聯系人 趙 曦 聯系電話 024-24188000 傳真 024-24188000-8080(二二)保薦機構保薦機構(主承銷商主承銷商)名稱 招商證券股份有限公司 住所 深圳市福田區福田街道福華一路 111 法定代表人 霍 達 保薦代表人 劉憲廣、張 賀 項目協辦人 胡明勇 項目經辦人 包曉磊、戴于淳、楊 凌、夏 楓 聯系電話 0755-82943666 傳真 0755-82943121(三三)
100、發行人律師發行人律師 名稱 北京市中倫律師事務所 住所 北京市朝陽區金和東路 20 號院正大中心 3 號樓南塔 23-31 層 負責人 張學兵 經辦律師 都 偉、劉 佳、姚騰越 聯系電話 010-59572288 傳真 010-65681022(四四)審計審計機構機構、驗資機構、驗資機構、驗資復核機構、驗資復核機構 名稱 天健會計師事務所(特殊普通合伙)住所 杭州市江干區錢江路 1366 號華潤大廈 B 座 負責人 王國海 簽字注冊會計師 陳焱鑫、徐 銀 聯系電話 0571-88216888 拓荊科技股份有限公司 招股說明書(上會稿)1-1-35 傳真 0571-88216999(五五)資產評
101、估機構資產評估機構 名稱 北京中企華資產評估有限責任公司 住所 北京市東城區青龍胡同 35 號 負責人 權忠光 經辦評估師 王晨煜、王少巖 聯系電話 010-65881818 傳真 010-65882651(六六)資產評估機構資產評估機構 名稱 沃克森(北京)國際資產評估有限公司 住所 北京市海淀區車公莊西路 19 號 37 幢三層 305-306 負責人 徐偉建 經辦評估師 王海鵬 陶二朋 聯系電話 010-52596085 傳真 010-88019300(七七)申請上市的證券交易所申請上市的證券交易所 名稱 上海證券交易所 地址 上海市浦東新區楊高南路 388 號 聯系電話 021-688
102、08888 傳真 021-68804868(八八)股票登記機構股票登記機構 名稱 中國證券登記結算有限責任公司上海分公司 地址 上海市浦東新區楊高南路 188 號 聯系電話 021-58708888 傳真 021-58899400(九九)主承銷商收款銀行主承銷商收款銀行 名稱 招商銀行深圳分行深紡大廈支行 拓荊科技股份有限公司 招股說明書(上會稿)1-1-36 住所 深圳市福田區華強北路 3 號深紡大廈 AB 座首層 賬號 819589015710001 戶名 招商證券股份有限公司 三、發行人與中介機構關系三、發行人與中介機構關系 截至 2021 年 8 月 26 日,招商證券的衍生品業務持有
103、發行人股東中微公司(688012.SH)2.5823 萬股 A 股股份,占其總股本約 0.0042%;間接持有發行人股份約為 0.00047%。除上述情況外,本次發行前,發行人與本次發行有關的中介機構及其負責人、高級管理人員、經辦人員之間不存在直接或間接的股權關系或其他權益關系。四、有四、有關本次發行并上市的重要日期關本次發行并上市的重要日期 序號序號 內容內容 日期日期 1 刊登發行公告日期【】2 開始詢價推介日期【】3 刊登定價公告日期【】4 申購日期和繳款日期【】5 股票上市日期【】拓荊科技股份有限公司 招股說明書(上會稿)1-1-37 第四節第四節 風險因素風險因素 投資者在評價公司本
104、次發行的股票時,除本招股說明書提供的其他各項資料外,應特別認真地考慮下述各項風險因素。下述各項風險主要根據重要性原則或可能影響投資決策的程度大小排序,該排序并不表示風險因素依次發生。一一、技術技術風險風險(一一)技術人員流失及無法持續引入高端技術人才技術人員流失及無法持續引入高端技術人才的風險的風險 詳見本招股說明書“重大事項提示”之“一、重大風險要素”之“(一)技術人員流失及無法持續引入高端技術人才的風險”。(二二)技術創新風險)技術創新風險 詳見本招股說明書“重大事項提示”之“一、重大風險要素”之“(六)技術創新風險”。(三)核心技術失密風險(三)核心技術失密風險 自成立以來,公司高度重視
105、對核心技術的保護,與全體員工簽署保密協議,與核心人員簽署競業禁止協議,但仍不排除因核心技術人員流失、員工個人工作疏漏、外界竊取等原因導致公司核心技術失密的風險。若上述情形發生,會導致公司競爭力下降,進而影響公司未來經營發展。二二、經營經營風險風險(一一)收入依賴)收入依賴 PECVD 系列產品,系列產品,ALD 產品及產品及 SACVD 產品尚未得到大產品尚未得到大規模驗證的風險規模驗證的風險 詳見本招股說明書“重大事項提示”之“一、重大風險要素”之“(四)收入依賴 PECVD 系列產品,ALD 產品及 SACVD 產品尚未得到大規模驗證的風險”。(二二)Demo 機臺無法實現最終銷售的風險機
106、臺無法實現最終銷售的風險 詳見本招股說明書“重大事項提示”之“一、重大風險要素”之“(五)Demo 機臺無法實現最終銷售的風險”。拓荊科技股份有限公司 招股說明書(上會稿)1-1-38(三)市場競爭風險(三)市場競爭風險 詳見本招股說明書“重大事項提示”之“一、重大風險要素”之“(七)市場競爭風險”。(四四)客戶相對集中客戶相對集中的的風險風險 詳見本招股說明書“重大事項提示”之“一、重大風險要素”之“(八)客戶相對集中的風險”。(五五)國際貿易摩擦加劇影響公司供應鏈安全的風險)國際貿易摩擦加劇影響公司供應鏈安全的風險 近年來,美國和中國之間互相在特定領域加征關稅或設置其他貿易壁壘。由于國內半
107、導體產業起步較晚,半導體設備上游零部件行業與海外同行業先進水平存在一定差距。國際知名半導體零部件供應商在產品機械精度、產品使用壽命等方面較國內零部件供應商更為成熟。目前,公司部分零部件的最優選擇仍為美國、英國、日本、韓國等國外供應商。如果國際貿易摩擦進一步加劇,可能出現上述國外供應商受相關政策影響減少或者停止對公司零部件的供應,進而影響公司產品生產能力、生產進度和交貨時間,降低公司的市場競爭力。(六)收入實現受下游晶圓廠投資周期影響較大(六)收入實現受下游晶圓廠投資周期影響較大 晶圓廠系半導體專用設備的下游客戶,晶圓廠產能投資規模決定了半導體專用設備的市場空間。晶圓廠的擴產投資受到集成電路終端
108、產品銷售市場變動、晶圓廠新技術導入計劃、晶圓廠對于未來行業發展判斷的影響,具有一定的周期性。如果下游晶圓廠的產能投資強度降低,公司將面臨市場需求下降的情況,對于公司的經營業績會造成不利影響。三三、財務風險、財務風險(一一)尚未盈利及持續虧損尚未盈利及持續虧損的風險的風險 詳見本招股說明書“重大事項提示”之“一、重大風險要素”之“(二)尚未盈利及持續虧損風險”。(二二)產品驗收周期較長風險)產品驗收周期較長風險 詳見本招股說明書“重大事項提示”之“一、重大風險要素”之“(三)產拓荊科技股份有限公司 招股說明書(上會稿)1-1-39 品驗收周期較長風險”。(三三)毛利率水平波動的風險)毛利率水平波
109、動的風險 報告期內,公司主營業務毛利率分別為 33.00%、31.99%、34.12%和 27.07%,呈小幅波動趨勢。公司產品毛利率對售價、產品結構、原材料價格等因素變化較為敏感。不同客戶的產品配置、性能要求以及議價能力可能有所不同,對相同客戶的首臺訂單和重復訂單價格也可能存在差異,從而導致公司產品毛利率存在一定差異。如果未來下游客戶需求下降、行業競爭加劇等可能導致的產品價格下降,或者公司未能有效控制產品成本,則不能排除公司毛利率水平波動甚至開始出現下降的可能性,給公司的經營帶來一定風險。(四四)應收賬款回收的風險)應收賬款回收的風險 報告期各期末,公司應收賬款余額分別為 6,540.84
110、萬元、13,476.81 萬元、7,406.55 萬元和 7,678.15 萬元,2018-2020 年,公司應收賬款余額占對應年度的營業收入的比例分別為 92.59%、53.64%和 17.00%,公司應收賬款金額較大。隨著公司經營規模的擴大,應收賬款金額將可能進一步增加,公司面臨資產周轉率下降、營運資金占用增加的風險。如果未來公司應收賬款催收不力或主要客戶自身發生重大經營困難導致無法及時收回貨款,將對公司生產經營產生不利影響。(五五)存貨跌價風險)存貨跌價風險 公司的薄膜沉積設備產品進入市場需要經歷較長的驗證過程。在生產階段主要根據客戶的差異化需求和采購意向,進行定制化設計及生產制造。在產
111、品交付后需要安裝調試并運行一段時間后,客戶才能完成驗收,因此公司的原材料及發出商品隨著業務規模擴張、產品種類的增加、在手訂單規模的擴大而增加。報告期各期末,公司的存貨余額分別為 33,052.11 萬元、35,782.99 萬元、52,381.17萬元、65,935.62萬元,占流動資產的比例分別為47.61%、41.78%、32.55%和 38.98%。如果未來產品銷售價格發生重大不利變化,可能導致存貨可變現凈值低于賬面凈值,而需要計提存貨跌價準備,從而影響公司的盈利水平。在公司的存貨中,發出商品是最主要的組成部分。報告期各期末,公司的發出商品賬面余額分別為 17,016.48 萬元、23,
112、503.18 萬元、36,746.35 萬元、46,148.64萬元,占存貨余額的比例分別為 51.48%、65.68%、70.15%和 69.99%,賬面余額拓荊科技股份有限公司 招股說明書(上會稿)1-1-40 較高且在報告期內隨公司業務發展逐年增加。如果未來這些發出商品在客戶端試運行未能驗收通過而被退回,可能導致存貨積壓,以及出現補充計提存貨跌價準備的情況,從而影響公司的流動資金及盈利水平。(六六)經營活動現金流量凈額波動的風險)經營活動現金流量凈額波動的風險 報告期內,公司經營活動產生的現金流量凈額分別為-12,801.52 萬元、-2,175.61 萬元、30,891.27 萬元和-
113、7,371.04 萬元,報告期內前兩年經營性現金流量為負。由于薄膜沉積設備產品前期生產需墊付大量資金且公司未來將繼續保持較高的研發投入,隨著經營規模的不斷擴大,營運資金需求日益增加。如果客戶不能按時結算或及時付款,或出現外部融資不暢,政府補助政策發生變化等因素,將影響公司的資金周轉及使用效率,可能導致公司出現流動性風險,進而對公司的經營業績產生不利影響。(七七)收入季節性波動風險)收入季節性波動風險 受下游客戶年度預算、資本性支出波動及客戶驗收周期等因素的影響,公司主營業務收入呈現一定的季節性特征,每年第四季度產品銷售金額及占比較高。報告期各年度,公司四季度主營業務收入合計金額分別為 2,47
114、5.84 萬元、21,344.45萬元和26,997.70萬元,占當期主營業務收入總額的比例分別為37.34%、86.16%、62.97%。公司上述收入季節性波動特征與同行業季節性波動趨勢較為接近,符合行業特征。未來一定期間,影響收入季節性波動的因素預計將持續存在,收入的季節性波動仍會導致公司各季度業績、現金流情況產生相應波動。發行人提示投資者,不能簡單以單個季度或半年的經營業績推測全年的業績水平。(八八)政府補助政策)政府補助政策變動變動風險風險 報告期內,公司收到的政府補助款項分別為 4,221.41 萬元、3,686.86 萬元、25,114.58 萬元和 180.03 萬元,占各期經營
115、活動現金流入小計的 19.79%、13.50%、27.61%和 1.10%。報告期內,公司計入其他收益的政府補助金額分別為 4,691.53萬元、4,326.27 萬元、5,096.14 萬元、1,427.83 萬元,占當期營業收入的比重分別為 66.41%、17.22%、11.70%和 24.73%。公司在報告期內收到的政府補助主要是對公司研發投入的支持。如果未來政拓荊科技股份有限公司 招股說明書(上會稿)1-1-41 府部門對公司所處產業的政策支持力度有所減弱,或者其他補助政策發生不利變化,公司取得的政府補助金額將會有所減少,公司將需要自籌更多資金用于研發,進而影響公司現金流。此外,政府補
116、助的減少,也會對公司的經營業績產生一定的不利影響。(九九)稅收優惠風險)稅收優惠風險 報告期內,公司享受的稅收優惠政策包括高新技術企業所得稅優惠。報告期內,公司尚未盈利,稅收優惠政策尚未對公司的經營業績和現金流量造成影響。若未來公司實現盈利,但國家有關稅收優惠的法律、法規、政策等發生重大調整,或者由于公司未來不能持續取得國家高新技術企業資格等原因而無法享受相關稅收優惠,將對公司的經營業績造成不利影響。四四、內控風險、內控風險(一一)無控股股東及實際控制人風險)無控股股東及實際控制人風險 詳見本招股說明書“重大事項提示”之“一、重大風險要素”之“(九)無控股股東及實際控制人風險”。(二)管理水平
117、未能適應規模擴張的需要(二)管理水平未能適應規模擴張的需要 報告期內,公司營業規??焖僭鲩L。隨著公司業務的發展及募集資金投資項目的實施,公司的業務和資產規模將進一步擴張,相應的在研發、采購、生產、銷售等環節的資源配置和內控管理的復雜程度也將不斷上升。公司管理的廣度和深度在不斷增加,對管理層的挑戰和能力要求也在不斷加強,公司內部管理的復雜程度也不斷上升。若公司的組織模式和經營管理制度未能隨著公司業務規模的擴大及時調整與完善,管理水平未能適應規模擴張的需要,公司將面臨規模擴張導致的管理和內控風險,從而給公司的穩定發展帶來不利影響。五、五、知識產權爭議風險知識產權爭議風險 半導體設備行業屬于典型的技
118、術密集型行業,從業者通常擁有大量知識產權并構建專利壁壘,知識產權的維護亦需要投入大量的資源。公司在產品開發中,雖然一直重視自主知識產權的研發,建立了科學的研發體系、獨立完整的技術體系和完善的知識產權保護體系,但仍不能排除存在與競爭對手產生知識產權糾紛拓荊科技股份有限公司 招股說明書(上會稿)1-1-42 的可能,亦不能排除公司知識產權存在被侵權的可能。知識產權爭端將對公司的正常經營活動產生不利影響。此外,半導體產業鏈上下游供應商與客戶的經營也可能會受知識產權爭議、訴訟等因素的影響,進而間接影響公司正常的生產經營。六六、其他風險、其他風險(一一)新冠肺炎疫情對公司生產經營的影響新冠肺炎疫情對公司
119、生產經營的影響 2020 年初以來,全球范圍內爆發新冠疫情,全球半導體產業的生產經營受到一定影響。由于部分地區采取的隔離、封城等防疫措施,導致半導體產業供應鏈較不穩定。如果新冠疫情出現惡化或長期持續,將可能對半導體及集成電路產業制造等造成負面影響,進而對公司的經營發展、財務狀況產生不利影響。(二二)預測性陳述存在不確定性的風險)預測性陳述存在不確定性的風險 本招股說明書列載有若干預測性的陳述,涉及公司所處行業的未來市場需求、公司未來發展規劃、業務發展目標、財務狀況、盈利能力、現金流量等方面的預期或相關的討論。盡管公司及公司管理層相信,該等預期或討論所依據的假設是審慎、合理的,但亦提醒投資者注意
120、,該等預期或討論是否能夠實現仍然存在較大不確定性。鑒于該等風險及不確定因素的存在,本招股說明書所列載的任何前瞻性陳述,不應視為本公司的承諾或聲明。拓荊科技股份有限公司 招股說明書(上會稿)1-1-43 第五節第五節 發行人基本情況發行人基本情況 一、發行人基本情況一、發行人基本情況 中文名稱 拓荊科技股份有限公司 英文名稱 Piotech Inc.注冊資本 9,485.8997 萬元 法定代表人 呂光泉 有限公司成立日期 2010 年 04 月 28 日 股份公司成立日期 2021 年 1 月 12 日 住 所 遼寧省沈陽市渾南區水家 900 號 郵 編 110168 電 話 024-2418
121、8000 傳 真 024-24188000-8080 網 址 http:/ 電子郵箱 負責信息披露和投資者關系的部門 董事會辦公室 信息披露負責人和電話號碼 趙 曦,024-24188000-8089 二、發行人設立情況二、發行人設立情況(一一)設立過程設立過程 發行人前身沈陽拓荊科技有限公司成立于 2010年4月 28日,注冊資本1,000萬元;中國科學院沈陽科學儀器股份有限公司、孫麗杰分別出資 600 萬元、400萬元,持有拓荊有限 60%、40%的股權。拓荊科技股份有限公司于 2021 年 1 月 8 日召開創立大會,審議通過關于沈陽拓荊科技有限公司整體變更為股份有限公司的議案,以有限公
122、司經天健會計師審計報告(天健審202010046 號)審計的截至 2020 年 5 月 31 日凈資產賬面值 1,161,768,550.19 元折為 94,858,997 股股份,每股面值 1 元,凈資產超過注冊資本的 1,066,909,553.19 元計入股份公司資本公積。拓荊科技股份有限公司 招股說明書(上會稿)1-1-44 2021 年 1 月 12 日,拓荊有限完成整體變更設立為股份有限公司工商登記。因會計差錯更正事項,2021 年 6 月 15 日,發行人股東大會審議通過關于確認對沈陽拓荊科技有限公司整體變更為股份有限公司時的凈資產折股方案調整情況的議案,對拓荊有限整體變更設立為
123、發行人時的凈資產折股方案進行調整,調整后發行人的股本總額仍為人民幣 94,858,997 元。根據天健會計師事務所(特殊普通合伙)于 2021 年 5 月 21 日出具的審計報告(天健審20217249號)、北京中企華資產評估有限責任公司于 2021 年 5 月 21 日出具的追溯資產評估報告,拓荊有限會計差錯更正后的凈資產賬面值、評估值均高于折股數。會計差錯更正事項的主要情況如下:2018 年度:單位:萬元 項目項目 資產資產 負債負債 所有者權益所有者權益 營業收入營業收入 凈利潤凈利潤 調整前報表金額 106,378.37 19,122.40 87,255.97 10,436.79-4,
124、696.17 主要調整事項:研發樣機費用化調整:調減存貨、固定資產等、調增研發費用等-8,318.81-8,318.81-2,057.36 收入跨期調整:調減應收賬款、營業收入、營業成本等,調增存貨、預收賬款等-4,224.60 3,000.62-7,225.22-3,366.87-1,935.38 根據部門職能重新厘定工資分配口徑:調整存貨、營業成本、期間費用等-1,976.82-1,976.82-1,184.49 預繳及留抵增值稅由應交稅費改列至其他流動資產 1,427.02 1,427.02-贈送機臺一次性費用化調整:將長期待攤費用調整至銷售費用-685.99-685.99-685.99
125、 其他調整事項 45.39-320.83 366.22-5.52 237.10 調整金額合計-13,733.80 4,106.81-17,840.62-3,372.39-5,626.12 調整后報表金額 92,644.56 23,229.21 69,415.35 7,064.40-10,322.29 2019 年度:拓荊科技股份有限公司 招股說明書(上會稿)1-1-45 單位:萬元 項目項目 資產資產 負債負債 所有者權益所有者權益 營業收入營業收入 凈利潤凈利潤 調整前報表金額 114,281.27 25,851.77 88,429.50 25,125.15-661.87 主要調整事項:研發
126、樣機費用化調整:調減存貨、固定資產等、調增研發費用等-7,615.41-7,615.41-896.07 存貨跌價準備轉回調整:調增存貨、調減資產減值損失等 416.40-416.40-166.23 原材料暫估調整:調減預付賬款,調整應付賬款、存貨 329.42 329.42-政府補助跨期調整:調減其他收益,調增期初未分配利潤-390.48 其他調整事項 -196.46 196.46 -154.46 調整金額合計-6,869.58 132.96-7,002.55-1,274.78 調整后報表金額 107,411.69 25,984.73 81,426.96 25,125.15-1,936.64
127、本次會計差錯更正對 2020 年度和 2021 年 1-3 月的財務報表無影響。天健會計師對股份公司注冊資本進行驗證,并于 2021 年 5 月 21 日出具驗資報告(天健驗2021120 號)。拓荊科技股份有限公司成立時,股本結構如下:序號序號 發起人發起人 持股數額持股數額(萬股萬股)持股比例持股比例(%)1 國家集成電路產業投資基金股份有限公司 2,512.1755 26.4833 2 國投(上海)科技成果轉化創業投資基金企業(有限合伙)1,729.7297 18.2347 3 中微半導體設備(上海)股份有限公司 1,062.2547 11.1982 4 嘉興君勵投資合伙企業(有限合伙)
128、701.2105 7.3921 5 青島潤揚嘉禾投資合伙企業(有限合伙)623.3158 6.5710 6 中國科學院沈陽科學儀器股份有限公司 300.0000 3.1626 7 沈陽信息產業創業投資有限公司 297.0297 3.1313 8 蘇州聚源東方投資基金中心(有限合伙)180.0180 1.8977 9 中車國華(青島)股權投資合伙企業(有限合伙)162.1622 1.7095 10 宿遷渾璞渾金二號投資中心(有限合伙)150.0000 1.5813 拓荊科技股份有限公司 招股說明書(上會稿)1-1-46 序號序號 發起人發起人 持股數額持股數額(萬股萬股)持股比例持股比例(%)1
129、1 鹽城經濟技術開發區燕舞半導體產業基金(有限合伙)150.0000 1.5813 12 共青城芯鑫和投資合伙企業(有限合伙)138.0996 1.4558 13 共青城芯鑫全投資合伙企業(有限合伙)138.0546 1.4554 14 共青城芯鑫龍投資合伙企業(有限合伙)138.0416 1.4552 15 共青城芯鑫成投資合伙企業(有限合伙)138.0205 1.4550 16 共青城芯鑫旺投資合伙企業(有限合伙)138.0186 1.4550 17 共青城芯鑫盛投資合伙企業(有限合伙)137.9725 1.4545 18 共青城芯鑫陽投資合伙企業(有限合伙)137.7926 1.4526
130、 19 姜謙 123.4290 1.3012 20 沈陽科技風險投資有限公司 99.0099 1.0438 21 沈陽盛騰投資管理中心(有限合伙)78.7500 0.8302 22 共青城盛夏股權投資管理合伙企業(有限合伙)77.8947 0.8212 23 沈陽盛旺投資管理中心(有限合伙)62.7900 0.6619 24 呂光泉 50.0000 0.5271 25 劉憶軍(YIJUN LIU)28.0000 0.2952 26 凌復華(FUHUA LING)25.5000 0.2688 27 沈陽盛全投資管理中心(有限合伙)23.7450 0.2503 28 吳飚(BIAO WU)17.5
131、000 0.1845 29 周仁(REN ZHOU)17.5000 0.1845 30 沈陽盛龍投資管理中心(有限合伙)16.8850 0.1780 31 張先智(SIAN CHE CYNTHIA CHANG)16.0000 0.1687 32 張孝勇 15.0000 0.1581 合計合計 9,485.8997 100.0000 注:以上非自然人股東在下文中分別簡稱為“國家集成電路基金、國投上海、中微公司、嘉興君勵、潤揚嘉禾、中科儀、沈陽創投、蘇州聚源、中車國華、宿遷渾璞、鹽城燕舞、芯鑫和、芯鑫全、芯鑫龍、芯鑫成、芯鑫旺、芯鑫盛、芯鑫陽、沈陽風投、沈陽盛騰、共青城盛夏、沈陽盛旺、沈陽盛全、沈
132、陽盛龍”。截至本招股說明書簽署日,發行人股東共青城盛夏尚未簽署發行人整體變更所涉發起人協議、創立大會暨 2021 年第一次臨時股東大會決議及股份公司章程。拓荊科技股份有限公司 招股說明書(上會稿)1-1-47 但是,共青城盛夏當時的執行事務合伙人委派代表已參加公司創立大會暨 2021年第一次臨時股東大會并對所審議的議案表決同意,并出具書面文件確認同意拓荊有限整體變更為股份有限公司,對該事宜無異議,且發行人已完成整體變更設立股份公司的工商變更登記手續,發行人與發起人之間就整體變更事項不存在爭議或糾紛。因此,共青城盛夏未簽署前述文件不影響拓荊有限整體變更設立為股份公司的法律效力,不會對本次發行上市
133、產生實質性不利影響。(二二)整體變更設立股份有限公司整體變更設立股份有限公司的未彌補的未彌補虧損情況虧損情況 1、整體變更設立股份有限公司時累計未彌補虧損形成原因整體變更設立股份有限公司時累計未彌補虧損形成原因 拓荊有限整體變更為股份有限公司時,改制基準日 2020 年 5 月 31 日的財務報表未分配利潤為-28,126.78 萬元,存在累計未彌補虧損。半導體專用設備行業具有技術壁壘高、研發投入大、產品驗證周期長的特點。在公司業務發展初期,公司需要對產品進行大額的投入。在產品研發完成后,公司需要將產品發運至客戶端進行驗證,驗證完成后才能形成銷售,而客戶端的驗證周期通常較長。因此,公司在前期產
134、品技術研發、市場培育、客戶導入的過程中,需要較高的投入,卻不能在短期內轉化為公司的銷售收入,從而形成了整體變更設立股份有限公司時的累計未彌補虧損。2、整體變更后的變化情況和發展趨勢情況整體變更后的變化情況和發展趨勢情況 通過整體變更,公司消除了改制基準日母公司賬面的累計未彌補虧損。公司在改制基準日前,已通過數十家客戶的前期工藝驗證,因此在改制基準日后,公司收入規模較改制前同期大幅上升。2020 年 6 月 1 日至 2020 年 12 月 31 日,公司實現營業收入 41,991.94 萬元,歸屬于母公司所有者的凈利潤為 2,650.28 萬元。整體變更后,公司經營狀況已逐漸好轉,公司整體變更
135、后的經營發展趨勢良好。3、與報告期內盈利水平變動的匹配關系與報告期內盈利水平變動的匹配關系 公司累計未彌補虧損與其報告期內盈利水平變動相匹配,具體如下:單位:萬元 項目項目 2021 年年1-3 月月 2020 年年 6-12 月月 2020 年年 1-5 月月 2019 年度年度 2018 年度年度 期初未分配利潤-25,476.50-28,126.78-24,327.60-22,390.96-12,068.67 拓荊科技股份有限公司 招股說明書(上會稿)1-1-48 項目項目 2021 年年1-3 月月 2020 年年 6-12 月月 2020 年年 1-5 月月 2019 年度年度 20
136、18 年度年度 加:本期歸屬于母公司所有者的凈利潤-1,032.66 2,650.28-3,799.18-1,936.64-10,322.29 減:整體變更設立股份公司凈資產折股減少股改基準日 母公司累計未彌補虧損數-28,126.78-期末未分配利潤期末未分配利潤 1,617.61-25,476.50-28,126.78-24,327.60-22,390.96 4、對未來盈利能力對未來盈利能力的影響分析的影響分析 相關影響分析詳見本招股說明書“第八節 財務會計信息與管理層分析”之“十一、經營成果分析”之“(十)尚未盈利或存在累計未彌補虧損的影響”。相關風險分析詳見本招股說明書“第四節 風險因
137、素”之“三、財務風險”之“(一)尚未盈利及持續虧損的風險”。5、整體變更的具體方案及相應的會計處理整體變更的具體方案及相應的會計處理 拓荊有限以 2020 年 5 月 31 日經審計后的凈資產 1,088,954,920.92 元(其中:實收資本 94,858,997.00 元,資本公積 1,274,060,433.49 元,盈余公積 1,303,267.08元,未分配利潤-281,267,776.65 元)折為整體變更后股份有限公司股份 94,858,997股(每股面值 1 元),資本公積-股本溢價 994,095,923.92 元。此次凈資產折股業經天健會計師事務所(特殊普通合伙)審驗,并
138、由其出具驗資報告(天健驗2021120 號)。相關會計處理如下:單位:元 借:借:實收資本 94,858,997.00 資本公積 1,274,060,433.49 盈余公積 1,303,267.08 未分配利潤 -281,267,776.65 貸:貸:股本 94,858,997.00 資本公積-股本溢價 994,095,923.92 三、發行人三、發行人報告期內報告期內股本和股東變化情況股本和股東變化情況(一)報告期初發行人股權結構情況(一)報告期初發行人股權結構情況 拓荊科技股份有限公司 招股說明書(上會稿)1-1-49 截至 2018 年 1 月 1 日,發行人為中外合資企業,股權結構如下
139、:序號序號 出資人出資人 出資額(萬元)出資額(萬元)出資比例(出資比例(%)1 國家集成電路基金 2,512.1755 35.2959 2 國投上海 1,729.7297 24.3026 3 中微公司 780.0765 10.9600 4 中 科 儀 600.0000 8.4300 5 沈陽創投 297.0297 4.1732 6 大連港航清潔能源創業投資基金(有限合伙)282.1782 3.9646 7 蘇州聚源 180.0180 2.5292 8 中車國華 162.1622 2.2784 9 姜 謙 123.4290 1.7342 10 沈陽風投 99.0099 1.3911 11 沈陽
140、盛騰 78.7500 1.1064 12 沈陽盛旺 62.7900 0.8822 13 呂光泉 50.0000 0.7025 14 劉憶軍 28.0000 0.3934 15 凌復華 25.5000 0.3583 16 沈陽盛全 23.7450 0.3336 17 吳 飚 17.5000 0.2459 18 周 仁 17.5000 0.2459 19 沈陽盛龍 16.8850 0.2372 20 張先智 16.0000 0.2248 21 張孝勇 15.0000 0.2107 合計合計 7,117.4787 100.0000 注:大連港航清潔能源創業投資基金(有限合伙)下文簡稱“大連港航”(二
141、二)2019 年年 5 月,發行人報告期內第一次股權轉讓月,發行人報告期內第一次股權轉讓 2019 年 5 月,經拓荊有限董事會決議,大連港航向中微公司轉讓其所持拓荊有限 3.9646%股權,轉讓價格為 19 元/元注冊資本。2019 年 5 月 6 日,沈陽市渾南區市場監督管理局核準拓荊有限的本次變更。拓荊科技股份有限公司 招股說明書(上會稿)1-1-50(三)(三)2019 年年 5 月,發行人報告期內第二次股權轉讓月,發行人報告期內第二次股權轉讓 經拓荊有限董事會 2019 年 5 月作出決議,中科儀擬對外轉讓所持拓荊有限4.215%的股權。中科儀為中國科學院下屬企業,本次轉讓采用進場交
142、易。2019 年 5 月,宿遷渾璞通過公開摘牌方式,在北京產權交易所受讓中科儀所持拓荊有限 4.215%的股權,成交價格為 5,700 萬元。根據北京產權交易所 2019年 4 月 23 日出具的企業國有資產交易憑證,該次股權轉讓行為符合有關法律法規規定及該所交易規則。2019 年 5 月 17 日,沈陽市渾南區市場監督管理局核準拓荊有限的本次變更。(四)(四)2019 年年 7 月,發行人報告期內第三次股權轉讓月,發行人報告期內第三次股權轉讓 依據摘牌前與鹽城燕舞達成的協議,宿遷渾璞于 2019 年 7 月向鹽城燕舞轉讓所持拓荊有限 2.1075%的股權,轉讓價格為宿遷渾璞在北京產權交易所掛
143、牌交易中取得標的股權的交易本金及資金占用成本,轉讓價格為 19.18 元/元注冊資本,轉讓價款共計 2,887.0245 萬元。2019 年 7 月 12 日,沈陽市渾南區市場監督管理局核準拓荊有限的本次變更。(五)(五)2019 年年 12 月,發行人報告期內第一次增資月,發行人報告期內第一次增資 經拓荊有限董事會決議,嘉興君勵、潤揚嘉禾、共青城盛夏分別對公司增資701.2105 萬元、623.3158 萬元、77.8947 萬元,由員工持股平臺芯鑫和、芯鑫全、芯鑫龍、芯鑫成、芯鑫旺、芯鑫盛、芯鑫陽合計增資 966 萬元。2019 年 12 月 27 日,沈陽市渾南區市場監督管理局核準拓荊有
144、限的本次變更。根據中興財光華會計師事務所(特殊普通合伙)遼寧分所于 2020 年 5 月 19日出具的驗資報告(中興財光華(遼)審驗字(2020)第 03003 號),以及天健會計師事務所(特殊普通合伙)于 2021 年 1 月 14 日出具的實收資本復核報告(天健驗2021116 號),拓荊有限截至 2020 年 5 月 18 日收到前述股東繳納的新增貨幣出資 2,368.421 萬元,累計實收注冊資本 9,485.8997 萬元。(六六)2021 年年 1 月,發行人整體變更設立為股份有限公司月,發行人整體變更設立為股份有限公司 拓荊科技股份有限公司 招股說明書(上會稿)1-1-51 詳見
145、本招股說明書“第五節 發行人基本情況”之“二、發行人設立情況”部分。發行人整體變更設立為股份有限公司后,未再發生股本及股東變化。四、發行人歷史沿革中股權代持及四、發行人歷史沿革中股權代持及股東股東無形資產出資的情況無形資產出資的情況(一)(一)2010 年年 4 月,公司設立月,公司設立時的時的股權代持股權代持 1、基本情況、基本情況 拓荊有限成立時注冊資本 1,000 萬元,中科儀、孫麗杰分別出資 60%、40%。其中,孫麗杰以“半導體基板加工設備”技術經評估出資 400 萬元。2、孫麗杰系代姜謙出資、孫麗杰系代姜謙出資 2010 年 3 月 26 日,中科儀、姜謙與孫麗杰簽署了委托持股(代
146、持股)協議,各方同意由孫麗杰代姜謙出資并持有拓荊有限股權,并約定姜謙在條件具備時,經拓荊有限股東會同意,將相關股東權益轉移到自己或自己指定的任何第三人名下,孫麗杰須無條件同意。3、孫麗杰代持股權的解除、孫麗杰代持股權的解除 孫麗杰于 2014 年 1 月將其持有的拓荊有限 40%股權轉讓給姜謙等外籍專家及公司員工持股平臺,實現了代持股權的解除;代持及解除不存在糾紛或潛在糾紛。詳見本招股說明書本節“(二)2014 年 1 月,第一次股權轉讓,變更為中外合資企業”部分。(二)(二)2014 年年 1 月,第一次股權轉讓,變更為中外合資企業月,第一次股權轉讓,變更為中外合資企業 經拓荊有限股東會議決
147、議,孫麗杰于 2014 年 1 月將其持有的拓荊有限 40%股權轉讓給姜謙、凌復華、張孝勇、劉憶軍、張先智五名外籍專家,以及公司四個員工持股平臺沈陽盛騰、沈陽盛旺、沈陽盛全、沈陽盛龍。本次轉讓完成后,孫麗杰代持股權情形被解除。(三)(三)2014 年年 5 月,第一次增資月,第一次增資 1、基本情況基本情況 2014 年 5 月,大連港航以 2,750 萬元認繳新增注冊資本 272.2772 萬元、沈拓荊科技股份有限公司 招股說明書(上會稿)1-1-52 陽創投以 3,000 萬元認繳新增注冊資本 297.0297 萬元、沈陽風投以 1,000 萬元認繳新增注冊資本 99.0099 萬元,王祥
148、慧以“單腔 ALD 設備”、“生產型 ALD 設備”、“多列晶圓直接傳輸機構”三項專有技術評估作價 1,010 萬元認繳新增注冊資本 100 萬元。2、王祥慧系代姜謙出資王祥慧系代姜謙出資 王祥慧用于出資的專有技術的實際發明人為姜謙。姜謙擬將自有的 ALD 技術出資所獲得的股權,作為激勵股權分配給符合公司考核條件的員工,而其本人亦為潛在的激勵和考核對象,為公平起見,不以自己名義而委托其友人王祥慧出資及持股。3、王祥慧代持股權的解除、王祥慧代持股權的解除 2015 年 7 月,王祥慧將其所持拓荊有限全部股權轉讓給呂光泉、吳飚、周仁及沈陽盛旺,實現了股權代持的解除;代持及解除不存在糾紛或潛在糾紛。
149、詳見本招股說明書本節“(四)2015 年 7 月,第三次股權轉讓”部分。(四)(四)2015 年年 7 月,第三次股權轉讓月,第三次股權轉讓 2015 年 7 月,王祥慧將所持公司 2.8276%、0.9896%、0.9896%、0.8483%的股權以 10.10 元/元注冊資本的價格,分別轉讓給呂光泉、吳飚和周仁三位外籍專家,以及拓荊科技員工持股平臺沈陽盛旺。本次轉讓完成后,王祥慧代持股權情形被解除。五五、發行人報告期內重大資產重組情況、發行人報告期內重大資產重組情況 報告期內,發行人不存在重大資產重組情況。六六、發行人在其他證券市場的掛牌情況、發行人在其他證券市場的掛牌情況 發行人自成立以
150、來不存在在其他證券市場掛牌的情況。七七、發行人股權結構及重要關聯方股權結構、發行人股權結構及重要關聯方股權結構(一一)發行人股權結構發行人股權結構 截至本招股說明書簽署日,發行人的股權結構如下圖所示:拓荊科技股份有限公司 招股說明書(上會稿)1-1-53 (二二)發行人控股股東、實際控制人所控制的其他企業股權結構發行人控股股東、實際控制人所控制的其他企業股權結構 2019 年 1 月至本招股說明書簽署日,發行人無控股股東和實際控制人,不存在發行人控股股東、實際控制人控制其他企業的情況。八八、發行人控股子公司及對發行人有重大影響的參股公司的情況、發行人控股子公司及對發行人有重大影響的參股公司的情
151、況(一一)發行人控股子公司情況發行人控股子公司情況 1、拓荊科技、拓荊科技(北京北京)有限公司有限公司(1)基本情況 公司名稱公司名稱 拓荊科技(北京)有限公司 法定代表人法定代表人 呂光泉 注冊資本注冊資本 5,000.00 萬元(實繳 200.00 萬元)成立日期成立日期 2020 年 12 月 11 日 注冊地址注冊地址 北京市北京經濟技術開發區宏達北路 16 號 6 號樓 2 層 217 股權結構股權結構 拓荊科技持股 100.00%業務定位業務定位 為客戶華北區各產線提供所需高端薄膜裝備研發、設備供應以及零部件備貨、生產技術支持等服務。目前尚未實際開展經營。(2)最近一年及一期主要財
152、務數據 單位:萬元 期間期間 總資產總資產 凈資產凈資產 凈利潤凈利潤 2020.12.31/2020 年度-拓荊科技股份有限公司 招股說明書(上會稿)1-1-54 期間期間 總資產總資產 凈資產凈資產 凈利潤凈利潤 2021.3.31/2021 年 1-3 月-9.31-9.31 注:以上財務數據已經天健會計師審計。2、拓荊科技、拓荊科技(上海上海)有限公司有限公司(1)基本情況 公司名稱公司名稱 拓荊科技(上海)有限公司 法定代表人法定代表人 呂光泉 注冊資本注冊資本 5,000.00 萬元(實繳 5000.00 萬元)成立日期成立日期 2020 年 12 月 25 日 注冊地址注冊地址
153、中國(上海)自由貿易試驗區臨港新片區鴻音路 1211 號 10 幢 304 室 股權結構股權結構 拓荊科技持股 100.00%業務定位業務定位 擬作為募集資金投資項目“ALD 設備研發與產業化項目”的實施主體,開展國際國內技術交流合作。目前尚未實際開展經營。(2)最近一年及一期主要財務數據 單位:萬元 期間期間 總資產總資產 凈資產凈資產 凈利潤凈利潤 2020.12.31/2020 年度-2021.3.31/2021 年 1-3 月-注:以上財務數據已經天健會計師審計。3、拓荊鍵科、拓荊鍵科(海寧海寧)半導體設備有限公司半導體設備有限公司(1)基本情況 2020 年 8 月 21 日,拓荊有
154、限董事會同意公司與海寧君鑫、海寧展陽、海寧展博、海寧經開公司合資設立拓荊鍵科及股權設置方案。拓荊鍵科成立于 2020 年 9 月 30 日,基本情況如下:公司名稱公司名稱 拓荊鍵科(海寧)半導體設備有限公司 法定代表人法定代表人 呂光泉 注冊資本注冊資本 1,000.00 萬元(實繳 600.00 萬元)成立日期成立日期 2020 年 9 月 30 日 注冊地址注冊地址 浙江省嘉興市海寧市海寧經濟開發區芯中路 8 號 3 幢 拓荊科技股份有限公司 招股說明書(上會稿)1-1-55 股權結構股權結構 拓荊科技持股 55.00%;海寧君鑫持股 20.00%;海寧展陽持股 10.00%;海寧展博持股
155、 10.00%;海寧經開公司持股 5.00%。業務定位業務定位 高端半導體新興工藝設備研發與生產制造。(2)最近一年及一期主要財務數據 單位:萬元 期間期間 總資產總資產 凈資產凈資產 凈利潤凈利潤 2020.12.31/2020 年度 5,566.58 515.63-84.37 2021.3.31/2021 年 1-3 月 5,440.83 410.61-105.02 注:以上財務數據已經天健會計師審計。(二二)對發行人有重大影響的參股公司的情況對發行人有重大影響的參股公司的情況 報告期初至本招股說明書簽署日,發行人無對其有重大影響的參股公司。九九、持有發行人、持有發行人 5%以上股份或表決
156、權的以上股份或表決權的主要股東及實際控制人的基主要股東及實際控制人的基本情況本情況(一一)控股股東、實際控制人的基本情況控股股東、實際控制人的基本情況 最近兩年及截至本招股說明書簽署日,發行人不存在控股股東或實際控制人。1、公司無控股股東、公司無控股股東 最近兩年,公司第一大股東所持股股權比例不足控股,其他股東持股比例相對分散,使得公司不存在控股股東。2、公司無實際控制人、公司無實際控制人(1)2019 年 1 月 1 日至 2021 年 1 月 12 日期間,公司無實際控制人 公司于 2021 年 1 月 12 日整體變更為股份有限公司前為中外合資企業,董事會系最高權力機構。自 2019 年
157、 1 月 1 日至 2019 年 5 月 5 日期間,拓荊有限董事會由 9 名董事組成,其中,姜謙及其一致行動人共同提名 2 名董事,國家集成電路基金提名 2 名董事,國投上海、中微公司、中科儀、大連港航清潔能源創業投資基金(有限合拓荊科技股份有限公司 招股說明書(上會稿)1-1-56 伙)、沈陽創投各提名 1 名董事。2019 年 5 月 6 日至拓荊有限整體變更為發行人前,拓荊有限董事會由 8 名董事組成,其中,姜謙及其一致行動人共同提名 2名董事,國家集成電路基金提名 2 名董事,國投上海、中微公司、中科儀、沈陽創投各提名 1 名董事。依據拓荊有限當時適用的公司章程,2019 年 1 月
158、 1 日至 2021 年 1 月 12 日期間,拓荊有限董事會會議應在三分之二以上董事出席的情況下才能召開;對修改章程等重大事項作出決議,須經出席會議董事全體一致通過;對對外投資等重大事項作出決議,需經出席會議董事五分之四以上通過;對任免高級管理人員等重大事項作出決議,需經出席會議董事三分之二以上通過;對于上述重大事項以外的其他事項作出決議,需經出席會議董事二分之一以上通過。因此,2019 年 1 月 1 日至 2021 年 1 月 12 日期間,拓荊有限不存在單一股東決定拓荊有限董事會半數以上成員選任的情形,任何股東均無法控制拓荊有限董事會,并進而控制公司。(2)2021 年 1 月 12
159、日以來,公司亦無實際控制人 2021 年 1 月 12 日拓荊有限整體變更為股份公司后,股東大會成為發行人最高權力機構。如前所述,自 2021 年 1 月 12 日以來,發行人第一大股東所持股股權比例不足控股,其他股東持股比例相對分散,不存在單一股東可以單獨依其可實際支配的股份所享有的表決權對公司股東大會決議產生重大影響的情形。公司 2021 年 1 月 12 日至今的公司章程規定,董事會由 9 名董事組成,包括3名獨立董事。根據中國證監會 關于在上市公司建立獨立董事制度的指導意見、發行人章程、董事會議事規則、獨立董事制度等文件,發行人獨立董事獨立履行職責,不受發行人主要股東或者其他與發行人存
160、在利害關系的單位或個人的影響。發行人的 6 名非獨立董事中,國家集成電路基金有權提名 2 名,國投上海、中微公司分別有權提名 1 名,姜謙及其一致行動人有權提名 2 名。董事會會議應有過半數的董事出席方可舉行;董事會決議的表決,實行一人一票;董事會作出決議,必須經全體董事的過半數通過。據此,2021 年 1 月 12 日至今,公司任何單一股東及其一致行動人提名的非獨立董事人數均不足董事會席位數的二分之一,不存在對公司董事會形成控制的拓荊科技股份有限公司 招股說明書(上會稿)1-1-57 股東。因此,2021 年 1 月 12 日至今,公司不存在任何單一股東及其一致行動人能夠通過投資關系、協議或
161、其他安排對發行人董事會實施控制的情形。綜上,2019 年 1 月 1 日至本招股說明書簽署日,發行人不存在實際控制人,最近兩年內發行人無實際控制人的情形未發生變化。(二二)控股股東和實際控制人直接或間接持有發行人的股份是否存在質押或控股股東和實際控制人直接或間接持有發行人的股份是否存在質押或其他有爭議的情況其他有爭議的情況 發行人不存在控股股東和實際控制人直接或間接持有發行人的股份質押或其他有爭議的情況。(三三)其他持有發行人其他持有發行人 5%以上股份或表決權的主要股東的基本情況以上股份或表決權的主要股東的基本情況 1、其他主要股東基本情況、其他主要股東基本情況 截至本招股說明書簽署日,控制
162、發行人 5%以上股份或表決權的股東,包括國家集成電路基金、國投上海、中微公司、嘉興君勵及其關聯方鹽城燕舞、潤揚嘉禾,姜謙及其一致行動人。(1)國家集成電路基金 截至本招股說明書簽署日,國家集成電路基金直接持有發行人 2,512.1755 萬股股份,占總股本的 26.4833%;其基本情況如下:公司名稱公司名稱 國家集成電路產業投資基金股份有限公司 法定代表人法定代表人 樓宇光 注冊資本注冊資本 9,872,000.00 萬元 經營期限經營期限 2014 年 9 月 26 日至 2024 年 9 月 25 日 注冊地址注冊地址 北京市北京經濟技術開發區景園北街 2 號 52 幢 7 層 718
163、室 國家集成電路基金主營業務為股權投資及投資咨詢,與公司主營業務無關聯。(2)國投上海 截至本招股說明書簽署日,國投上海持有公司 1,729.7297 萬股股份,占總股本的 18.2347%;其基本情況如下:公司名稱公司名稱 國投(上海)科技成果轉化創業投資基金企業(有限合伙)拓荊科技股份有限公司 招股說明書(上會稿)1-1-58 執行事務合伙人執行事務合伙人 國投(上海)創業投資管理有限公司(委派代表:高愛民)出資額出資額 1,000,000.00 萬元 經營期限經營期限 2016 年 3 月 4 日至 2024 年 3 月 3 日 注冊地址注冊地址 上海市楊浦區控江路 1142 號 23
164、幢 4064-31 室 國投上海的主營業務為創業投資及投資管理,與發行人主營業務無關聯。(3)中微公司 截至本招股說明書簽署日,中微公司持有公司 1,062.2547 萬股股份,占總股本的 11.1982%;其基本情況如下:公司名稱公司名稱 中微半導體設備(上海)股份有限公司(股票代碼 688012.SH)法定代表人法定代表人 尹志堯(GERALD ZHEYAO YIN)注冊資本注冊資本 53,486.2237 萬元 經營期限經營期限 2004 年 5 月 31 日至無固定期限 注冊地址注冊地址 上海市浦東新區金橋出口加工區(南區)泰華路 188 號 中微公司的主營業務為專用設備制造業,目前主
165、營產品為刻蝕機、MOCVD等半導體設備,產品類別與公司不同。(4)嘉興君勵及其關聯方鹽城燕舞 截至本招股說明書簽署日,嘉興君勵與鹽城燕舞的基金管理人均為上海臨芯投資管理有限公司,屬于關聯方;共計持有公司 851.2105 萬股股份,占總股本的 8.9734%,其中嘉興君勵持有公司 701.2105 萬股股份,占總股本的 7.3921%;鹽城燕舞持有公司 150.0000 萬股股份,占總股本的 1.5813%。嘉興君勵基本情況如下:公司名稱公司名稱 嘉興君勵投資合伙企業(有限合伙)執行事務合伙人執行事務合伙人 上海臨芯投資管理有限公司(委派代表:宋延延)出資額出資額 14,220.00 萬元 經
166、營期限經營期限 2018 年 8 月 3 日至 2038 年 8 月 2 日 注冊地址注冊地址 浙江省嘉興市南湖區南江路 1856 號基金小鎮 1 號樓 120 室-69 嘉興君勵的主營業務為實業投資及投資咨詢,與發行人主營業務無關聯。拓荊科技股份有限公司 招股說明書(上會稿)1-1-59 鹽城燕舞基本情況如下:公司名稱公司名稱 鹽城經濟技術開發區燕舞半導體產業基金(有限合伙)執行事務合伙人執行事務合伙人 上海臨芯投資管理有限公司(委派代表:李亞軍)出資額出資額 10,000.00 萬元 經營期限經營期限 2018 年 6 月 9 日至 2023 年 6 月 8 日 注冊地址注冊地址 鹽城經濟
167、技術開發區希望大道南路 5 號國際軟件園 6 號樓 B 座1002 室(X)鹽城燕舞的主營業務為股權投資、創業投資,與發行人主營業務無關聯。(5)潤揚嘉禾 截至本招股說明書簽署日,潤揚嘉禾持有公司 623.3158 萬股股份,占總股本的 6.5710%;其基本情況如下:公司名稱公司名稱 青島潤揚嘉禾投資合伙企業(有限合伙)執行事務合伙人執行事務合伙人 中合盛資本管理有限公司、中仁匯銀(北京)投資基金管理有限公司(委派代表:任耀)出資額出資額 13,200.00 萬元 經營期限經營期限 2019 年 10 月 14 日至 2027 年 10 月 14 日 注冊地址注冊地址 山東省青島市黃島區長江
168、中路 519 號建國大廈 2710 室 潤揚嘉禾的主營業務為股權投資、企業管理以及商務信息咨詢,與發行人主營業務無關聯。(6)姜謙及其一致行動人 截至本招股說明書簽署日,發行人股東呂光泉、劉憶軍、凌復華、吳飚、周仁、張先智、張孝勇,以及沈陽盛騰、芯鑫和等發行人的 11 個員工持股平臺,均系發行人股東姜謙的一致行動人,合計持有發行人 15.1920%的股份。劉憶軍、凌復華、張孝勇、張先智、呂光泉、吳飚、周仁、沈陽盛騰、沈陽盛旺、沈陽盛全、沈陽盛龍,分別與姜謙于 2014 年 5 月 27 日、2015 年 4 月 20 日通過協議結成一致行動關系,在公司董事會、股東會/大會上行使表決權、提案權、
169、董事監事候選人提名權等權利時,無條件且不可撤銷地遵從姜謙的意見,與姜謙做出相同的意思表示;一致行動的有效期至各協議簽署方協商一致解除之日。2021 年 9月 24 日,姜謙、劉憶軍、凌復華、張孝勇、張先智、沈陽盛旺、沈陽盛全、沈陽拓荊科技股份有限公司 招股說明書(上會稿)1-1-60 盛龍、沈陽盛騰于分別出具承諾,自本承諾函出具之日至拓荊科技股份有限公司首次公開發行股票并上市之日起滿 36 個月期間內,不會要求解除上述一致行動關系。2019 年 12 月 18 日,劉憶軍、凌復華、張孝勇、張先智、呂光泉、吳飚、周仁與姜謙簽署表決權委托協議,將提名、選舉董事、監事、高級管理人員,股東會/股東大會
170、表決等股東權利委托姜謙行使。據此,姜謙及上述當事人一致行動關系穩定,至少在發行人首次公開發行股票并上市之日起滿 36 個月期間內不會被解除。2021 年 3 月 30 日,芯鑫和、沈陽盛騰等 11 個發行人員工持股平臺與姜謙簽署一致行動協議,約定為姜謙的一致行動人,并約定如下事項:芯鑫和等各方就公司經營管理事項行使提案、建議、表決權等股東權利時,與姜謙保持一致,以姜謙的意見行使股東權利;協議有效期從簽署之日起至公司首發上市后滿3 年之日;有效期屆滿后,除非各方協商一致解除該協議,否則協議持續有效;在協議有效期內,只要姜謙、芯鑫和等各方為公司的直接或間接股東,無論所持股權增加或減少,各方都將按姜
171、謙的意見行使股東權利。姜謙、呂光泉、張孝勇的基本情況見本招股說明書“第五節 發行人基本情況”之“十一、發行人董事、監事、高級管理人員及核心技術人員情況”之“(一)董事、監事、高級管理人員及核心技術人員簡介”部分。劉憶軍先生,1967 年 1 月生,美國國籍。直接持有發行人 28.00 萬股股份,占總股本 0.2952%。劉憶軍曾于 2014 年至 2017 年期間在發行人任副總經理。凌復華先生,1942 年 2 月生,美國國籍。直接持有發行人 25.5000 萬股股份,占總股本 0.2688%。凌復華曾于 2014 年至 2017 年期間在發行人任副總經理。吳飚先生,1962 年 5 月生,美
172、國國籍。直接持有發行人 17.5000 萬股股份,占總股本 0.1845%。吳飚曾于 2014 年至 2020 年期間在發行人任副總經理。周仁先生,1963 年 1 月生,美國國籍。直接持有發行人 17.5000 萬股股份,占總股本 0.1845%。周仁曾于 2014 年至 2020 年期間在發行人任副總經理。張先智女士,1950 年 7 月生,美國國籍。直接持有發行人 16.00 萬股股份,占總股本 0.1687%。張先智目前為公司顧問。發行人的 11 個員工持股平臺均與姜謙保持一致行動,各員工持股平臺基本情況如下:拓荊科技股份有限公司 招股說明書(上會稿)1-1-61 沈陽盛騰 沈陽盛騰為
173、發行人員工持股平臺,直接持有發行人 78.7500 萬股股份,占本次發行前總股本的 0.8302%,基本情況如下:公司名稱公司名稱 沈陽盛騰投資管理中心(有限合伙)執行事務合伙人執行事務合伙人 劉靜 出資額出資額 88.9125 萬元 經營期限經營期限 2013 年 10 月 18 日至 2028 年 10 月 17 日 注冊地址注冊地址 遼寧省沈陽市渾南區水家 900 號 401 室 截至本招股說明書簽署日,沈陽盛騰合伙人均為發行人的在職或離職員工。沈陽盛旺 沈陽盛旺為發行人員工持股平臺,直接持有發行人 62.7900 萬股股份,占本次發行前總股本的 0.6619%,基本情況如下:公司名稱公
174、司名稱 沈陽盛旺投資管理中心(有限合伙)執行事務合伙人執行事務合伙人 孫麗杰 出資額出資額 206.0469 萬元人民幣 經營期限經營期限 2013 年 10 月 21 日至 2028 年 10 月 20 日 注冊地址注冊地址 遼寧省沈陽市渾南區水家 900 號 417 室 截至本招股說明書簽署日,沈陽盛旺合伙人均為發行人的在職或離職員工。沈陽盛全 沈陽盛全為發行人員工持股平臺,直接持有發行人 23.7450 萬股股份,占本次發行前總股本的 0.2503%,基本情況如下:公司名稱公司名稱 沈陽盛全投資管理中心(有限合伙)執行事務合伙人執行事務合伙人 劉靜 出資額出資額 27.8569 萬元人民
175、幣 經營期限經營期限 2013 年 10 月 18 日至 2028 年 10 月 17 日 注冊地址注冊地址 遼寧省沈陽市渾南區水家 900 號 406 室 截至本招股說明書簽署日,沈陽盛全的合伙人均為發行人的在職或離職員拓荊科技股份有限公司 招股說明書(上會稿)1-1-62 工。沈陽盛龍 沈陽盛龍為發行人員工持股平臺,直接持有發行人 16.8850 萬股股份,占發行前總股本的 0.1780%,基本情況如下:公司名稱公司名稱 沈陽盛龍投資管理中心(有限合伙)執行事務合伙人執行事務合伙人 孫麗杰 出資額出資額 20.2423 萬元人民幣 經營期限經營期限 2013 年 10 月 18 日至 20
176、28 年 10 月 17 日 注冊地址注冊地址 遼寧省沈陽市渾南區水家 900 號 419 室 截至本招股說明書簽署日,沈陽盛龍的合伙人均為發行人的在職或離職員工。芯鑫和 芯鑫和為發行人員工持股平臺,直接持有發行人 138.0996 萬股股份,占本次發行前總股本的 1.4558%,基本情況如下:公司名稱公司名稱 共青城芯鑫和投資合伙企業(有限合伙)執行事務合伙人執行事務合伙人 劉靜 出資額出資額 15.1915 萬元 經經營期限營期限 2019 年 10 月 9 日至 2034 年 10 月 8 日 注冊地址注冊地址 江西省九江市共青城市基金小鎮內 截至本招股說明書簽署日,芯鑫和的合伙人均為發
177、行人的在職或離職員工。芯鑫全 芯鑫全為發行人員工持股平臺,直接持有發行人 138.0546 萬股股份,占本次發行前總股本的 1.4554%,基本情況如下:公司名稱公司名稱 共青城芯鑫全投資合伙企業(有限合伙)執行事務合伙人執行事務合伙人 孫麗杰 出資額出資額 15.1862 萬元人民幣 經營期限經營期限 2019 年 10 月 9 日至 2034 年 10 月 8 日 拓荊科技股份有限公司 招股說明書(上會稿)1-1-63 注冊地址注冊地址 江西省九江市共青城市基金小鎮內 截至本招股說明書簽署日,芯鑫全的合伙人均為發行人的在職或離職員工。芯鑫龍 芯鑫龍為發行人員工持股平臺,直接持有發行人 13
178、8.0416 萬股股份,占本次發行前總股本的 1.4552%,基本情況如下:公司名稱公司名稱 共青城芯鑫龍投資合伙企業(有限合伙)執行事務合伙人執行事務合伙人 劉靜 出資額出資額 15.1848 萬元人民幣 經營期限經營期限 2019 年 10 月 9 日至 2034 年 10 月 8 日 注冊地址注冊地址 江西省九江市共青城市基金小鎮內 截至本招股說明書簽署日,芯鑫龍的合伙人均為發行人的在職或離職員工。芯鑫成 芯鑫成為發行人員工持股平臺,直接持有發行人 138.0205 萬股股份,占發行前總股本的 1.4550%,基本情況如下:公司名稱公司名稱 共青城芯鑫成投資合伙企業(有限合伙)執行事務合
179、伙人執行事務合伙人 孫麗杰 出資額出資額 15.1824 萬元人民幣 經營期限經營期限 2019 年 10 月 9 日至 2034 年 10 月 8 日 注冊地址注冊地址 江西省九江市共青城市基金小鎮內 截至本招股說明書簽署日,芯鑫成的合伙人均為發行人的在職或離職員工。芯鑫旺 芯鑫旺為發行人員工持股平臺,直接持有發行人 138.0186 萬股股份,占本次發行前總股本的 1.4550%,基本情況如下:公司名稱公司名稱 共青城芯鑫旺投資合伙企業(有限合伙)執行事務合伙人執行事務合伙人 孫麗杰 出資額出資額 15.1824 萬元人民幣 拓荊科技股份有限公司 招股說明書(上會稿)1-1-64 經營期限
180、經營期限 2019 年 10 月 9 日至 2034 年 10 月 8 日 注冊地址注冊地址 江西省九江市共青城市基金小鎮內 芯鑫旺的合伙人林忠明、許福男、鄭博丞,是為發行人臺灣客戶提供服務的臺灣勞務派遣公司委派人員。除上述情況外,截至本招股說明書簽署日,芯鑫旺的其他合伙人均為發行人的在職或離職員工。芯鑫盛 芯鑫盛為發行人員工持股平臺,直接持有發行人 137.9725 萬股股份,占本次發行前總股本的 1.4545%,基本情況如下:公司名稱公司名稱 共青城芯鑫盛投資合伙企業(有限合伙)執行事務合伙人執行事務合伙人 孫麗杰 出資額出資額 15.1770 萬元人民幣 經營期限經營期限 2019 年
181、10 月 9 日至 2034 年 10 月 8 日 注冊地址注冊地址 江西省九江市共青城市基金小鎮內 截至本招股說明書簽署日,芯鑫盛的合伙人均為發行人的在職或離職員工。芯鑫陽 芯鑫陽為發行人員工持股平臺,直接持有發行人 137.7926 萬股股份,占本次發行前總股本的 1.4526%,基本情況如下:公司名稱公司名稱 共青城芯鑫陽投資合伙企業(有限合伙)執行事務合伙人執行事務合伙人 劉靜 出資額出資額 15.1577 萬元人民幣 經營期限經營期限 2019 年 10 月 9 日至 2034 年 10 月 8 日 注冊地址注冊地址 江西省九江市共青城市基金小鎮內 截至本招股說明書簽署日,芯鑫陽的合
182、伙人均為發行人的在職或離職員工。2、持有發行人、持有發行人 5%以上股份或表決權的主要股東所持發行人股份質押或其以上股份或表決權的主要股東所持發行人股份質押或其他有爭議的情況他有爭議的情況 截至本招股說明書簽署日,除以下情形外,直接持有發行人 5%以上股份或表決權的主要股東所持的發行人股份不存在質押情形。拓荊科技股份有限公司 招股說明書(上會稿)1-1-65(1)姜謙的一致行動人,發行人七家共青城員工持股平臺所持發行人股份質押情況 2019 年 12 月拓荊有限增資時,發行人員工持股平臺芯鑫和、芯鑫全、芯鑫龍、芯鑫成、芯鑫旺、芯鑫盛、芯鑫陽以 19 元/元注冊資本的價格認繳拓荊有限新增注冊資本
183、合計 966 萬元,增資價款 18,354 萬元。員工持股平臺為籌措出資款,向參與本次增資的機構投資人或投資人關聯方借款,并于 2020 年 5 月 20 日將所持發行人股份予以質押。截至本招股說明書簽署日,上述質押事項尚未解除。員工持股平臺質押股份數額以及取得的借款數額情況如下:序號序號 出質人出質人/借款人借款人 質權人質權人/出借人出借人 出質出質發行人股數發行人股數(股股)借款借款金額金額(元元)1 芯鑫和 共青城盛夏 1,380,996 26,238,924.00 2 芯鑫龍 上海鋆赫 1,380,416 26,227,904.00 3 芯鑫成 上海鋆赫 1,380,205 26,2
184、23,895.00 4 芯鑫旺 潤揚嘉木 1,380,186 26,223,534.00 5 芯鑫盛 上海鋆赫 1,379,725 26,214,775.00 6 芯鑫陽 上海鋆赫 689,654 13,103,426.00 7 芯鑫陽 潤揚嘉木 688,272 13,077,168.00 8 芯鑫全 共青城盛夏 536,842 10,199,998.00 9 芯鑫全 共青城盛夏 516,821 9,819,601.00 10 芯鑫全 潤揚嘉木 326,883 6,210,775.00 合計合計 9,660,000 183,540,000.00 注:上海鋆赫指上海鋆赫商務咨詢合伙企業(有限合伙
185、),嘉興君勵關聯方;潤揚嘉木指青島潤揚嘉木投資合伙企業(有限合伙),潤揚嘉禾關聯方。共青城盛夏本身系本次增資的投資人。出借人上海鋆赫的有限合伙人及出資比例與本次增資的投資人嘉興君勵的有限合伙人及出資比例一致,上海鋆赫的普通合伙人深圳臨芯投資有限公司和嘉興君勵的普通合伙人上海臨芯投資管理有限公司的實際控制人均為李亞軍。本次增資的投資人潤揚嘉禾系出借人潤揚嘉木的有限合伙人,且潤揚嘉禾的其他合伙人均為潤揚嘉木的合伙人。借貸雙方簽署的借款協議及質押協議中不存在流質約定。借貸雙方借款關系拓荊科技股份有限公司 招股說明書(上會稿)1-1-66 真實,不存在股份代持安排,不存在出借方通過借款安排間接投資發行
186、人等“明債實股”的情形。根據借款協議約定,借款人有權選擇將其所持有并質押的發行人股份轉讓給出借人以抵償其所負借款本息,符合我國民法典的規定,不因此構成借款方對發行人的股權投資。(2)持有發行人 5%以上股份或表決權的主要股東潤揚嘉禾,與共青城盛夏所持發行人股份爭議及凍結情況 關于潤揚嘉禾與共青城盛夏之間的糾紛和訴訟以及股份凍結的具體情況,請參見本招股說明書“重大事項提示”之“五、其他重大事項”之“(二)股東糾紛及股份凍結”。十十、發行人股本情況、發行人股本情況(一一)本次發行前的總股本、本次發行及公開發售的股份,以及本次發行的本次發行前的總股本、本次發行及公開發售的股份,以及本次發行的股份占發
187、行后總股本的比例股份占發行后總股本的比例 本次發行前,公司總股本為 9,485.8997 萬股;本次擬公開發行人民幣普通股不超過 3,161.9800 萬股,占發行后總股本的比例不低于 25.00%。(二二)本次發行前的前十名股東本次發行前的前十名股東 序號序號 股東名稱股東名稱 所持股份所持股份(萬股萬股)比例比例(%)1 國家集成電路基金 2,512.1755 26.4833 2 國投上海 1,729.7297 18.2347 3 中微公司 1,062.2547 11.1982 4 嘉興君勵 701.2105 7.3921 5 潤揚嘉禾 623.3158 6.5710 6 中科儀 300.
188、00 3.1626 7 沈陽創投 297.0297 3.1313 8 蘇州聚源 180.0180 1.8977 9 中車國華 162.1622 1.7095 10-1 宿遷渾璞 150.00 1.5813 10-2 鹽城燕舞 150.00 1.5813(三三)本次發行前的前十名自然人股東及其在發行人處擔任的職務本次發行前的前十名自然人股東及其在發行人處擔任的職務 拓荊科技股份有限公司 招股說明書(上會稿)1-1-67 截至本招股說明書簽署日,公司全部八名自然人股東持股及任職情況如下:序號序號 股東姓名股東姓名 所持股份所持股份(萬股萬股)比例比例(%)在公司任職情況在公司任職情況 1 姜 謙
189、123.4290 1.3012 董事 2 呂光泉 50.00 0.5271 董事長 3 劉憶軍 28.00 0.2952 無 4 凌復華 25.5000 0.2688 無 5 吳 飚 17.5000 0.1845 無 6 周 仁 17.5000 0.1845 無 7 張先智 16.00 0.1687 顧問 8 張孝勇 15.00 0.1581 副總經理(四四)股東中的國有或外資股份情況股東中的國有或外資股份情況 1、發行人國有股份情況、發行人國有股份情況 截至本招股說明書簽署日,國家集成電路基金、沈陽創投、沈陽風投和中科儀屬于上市公司國有股權監督管理辦法規定的國有股東。根據發行人第一大國有股東
190、國家集成電路基金于 2021 年 9 月 10 日出具的 國家集成電路產業投資基金股份有限公司關于確認拓荊科技股份有限公司國有股權管理方案的函(國集投函202178 號),拓荊科技國有股份情況如下:序號序號 股東名稱股東名稱 持股數量持股數量(萬股萬股)持股比例持股比例(%)1 國家集成電路基金 SS 2,512.1755 26.48 2 沈陽創投 SS 297.0297 3.13 3 沈陽風投 SS 99.0099 1.04 4 中科儀 CS 300.00 3.16 合計合計 3,208.2151 33.81 2、發行人、發行人外資外資股份情況股份情況 截至本招股說明書簽署日,拓荊科技外資股
191、份情況如下:序號序號 股東姓名股東姓名 所持股份所持股份(萬股萬股)比例比例(%)1 姜 謙(美籍)123.4290 1.3012 拓荊科技股份有限公司 招股說明書(上會稿)1-1-68 序號序號 股東姓名股東姓名 所持股份所持股份(萬股萬股)比例比例(%)2 呂光泉(美籍)50.00 0.5271 3 劉憶軍(美籍)28.00 0.2952 4 凌復華(美籍)25.5000 0.2688 5 吳 飚(美籍)17.5000 0.1845 6 周 仁(美籍)17.5000 0.1845 7 張先智(美籍)16.00 0.1687 8 張孝勇(美籍)15.00 0.1581 合計合計 292.92
192、90 3.0881(五五)最近一年發行人新增股東的持最近一年發行人新增股東的持股數量及變化情況、取得股份的時間、股數量及變化情況、取得股份的時間、價格和定價依據價格和定價依據 截至本招股說明書簽署日前一年內,發行人無新增股東,股東持股數量未發生變化。(六六)本次發行前各股東間的關聯關系及關聯股東的各自持股比例本次發行前各股東間的關聯關系及關聯股東的各自持股比例 本次發行前各股東間的關聯關系,以及關聯股東的各自持股比例如下:序號序號 關聯方名稱關聯方名稱/姓名姓名 持股比例(持股比例(%)關聯關系關聯關系 1 姜 謙 1.3012 一致行動 呂光泉 0.5271 劉憶軍 0.2952 凌復華 0
193、.2688 張先智 0.1687 張孝勇 0.1581 吳 飚 0.1845 周 仁 0.1845 沈陽盛騰 0.8302 沈陽盛旺 0.6619 沈陽盛全 0.2503 沈陽盛龍 0.1780 拓荊科技股份有限公司 招股說明書(上會稿)1-1-69 序號序號 關聯方名稱關聯方名稱/姓名姓名 持股比例(持股比例(%)關聯關系關聯關系 芯鑫和 1.4558 芯鑫全 1.4554 芯鑫龍 1.4552 芯鑫成 1.4550 芯鑫旺 1.4550 芯鑫盛 1.4545 芯鑫陽 1.4526 2 嘉興君勵 7.3921 基金管理人均為上海臨芯投資管理有限公司 鹽城燕舞 1.5813 3 沈陽盛騰 0.
194、8302 執行事務合伙人均為劉靜 沈陽盛全 0.2503 芯鑫和 1.4558 芯鑫龍 1.4552 芯鑫陽 1.4526 4 沈陽盛旺 0.6619 執行事務合伙人均為孫麗杰 沈陽盛龍 0.1780 芯鑫全 1.4554 芯鑫成 1.4550 芯鑫旺 1.4550 芯鑫盛 1.4545 5 中微公司 11.1982 中微公司董事張亮控制的企業上海岳橙科技有限公司擔任共青城盛夏執行事務合伙人 共青城盛夏 0.8212(七七)本次公開發售股份對發行人的控制權、治理結構及生產經營產生的影本次公開發售股份對發行人的控制權、治理結構及生產經營產生的影響響 本次發行股份全部為新股,不涉及公開發售股份的情
195、形,對發行人的控制權、治理結構及生產經營不會產生影響。(八八)私募基金產品持股情況私募基金產品持股情況 1、發行人、發行人直接股東中直接股東中不存在不存在“三類股東三類股東”持股的情形持股的情形 拓荊科技股份有限公司 招股說明書(上會稿)1-1-70 截至本招股說明書簽署日,發行人直接股東中不存在契約性基金、信托計劃、資產管理計劃“三類股東”持股的情形。2、發行人私募基金產品類股東情況、發行人私募基金產品類股東情況 截至本招股說明書簽署日,發行人股東中的私募基金產品類股東共計 9 名,均在基金業協會完成備案及管理人登記,均在基金業協會完成備案及管理人登記,持股情況如下:序號序號 持有人名稱持有
196、人名稱 持有數量持有數量(萬股萬股)持有比例持有比例(%)1 國家集成電路基金 2,512.18 26.4833 2 國投上海 1,729.73 18.2347 3 嘉興君勵 701.2105 7.3921 4 潤揚嘉禾 623.3158 6.5710 5 沈陽創投 297.0297 3.1313 6 蘇州聚源 180.018 1.8977 7 中車國華 162.1622 1.7095 8 宿遷渾璞 150.00 1.5813 9 鹽城燕舞 150.00 1.5813 3、發行人股東共青城盛夏的情況、發行人股東共青城盛夏的情況 共青城盛夏原為私募基金產品,2019 年 12 月 16 日完成私
197、募基金備案,備案編號:SJJ396;其原基金管理人上海興橙投資管理有限公司于 2015 年 12 月 2日完成私募基金管理人登記,登記編號:P1028590。2019 年 12 月,共青城盛夏向基金業協會申請提前清算,并于同月完成了基金產品清算,目前基金運作狀態為“提前清算”,基金信息最后更新時間為 2019年 12 月 31 日。此后,共青城盛夏僅作為有限合伙企業存續。十十一一、發行人董事、監事、高級管理人員及核心技術人員情況、發行人董事、監事、高級管理人員及核心技術人員情況(一)董事、監事、高級管理人員及核心技術人員簡介(一)董事、監事、高級管理人員及核心技術人員簡介 1、董事會成員簡介、
198、董事會成員簡介 截至本招股說明書簽署日,公司董事會成員名單及其簡歷如下:拓荊科技股份有限公司 招股說明書(上會稿)1-1-71 序號序號 姓名姓名 職務職務 提名人提名人 任期任期 1 呂光泉 董事長 芯鑫龍、芯鑫全 2021 年 1 月至 2024 年 1 月 2 姜 謙 董事 芯鑫和、芯鑫成 2021 年 1 月至 2024 年 1 月 3 楊征帆 董事 國家集成電路基金 2021 年 1 月至 2024 年 1 月 4 王 梁 董事 國家集成電路基金 2021 年 1 月至 2024 年 1 月 5 齊 雷 董事 國投上海 2021 年 1 月至 2024 年 1 月 6 尹志堯 董事
199、中微公司 2021 年 1 月至 2024 年 1 月 7 吳漢明 獨立董事 芯鑫盛 2021 年 1 月至 2024 年 1 月 8 黃宏彬 獨立董事 芯鑫旺 2021 年 1 月至 2024 年 1 月 9 趙國慶 獨立董事 芯鑫陽 2021 年 1 月至 2024 年 1 月 呂光泉先生,1965 年出生,美國國籍,美國加州大學圣地亞哥分校博士。1994 年 8 月至 2014 年 8 月,先后任職于美國科學基金會尖端電子材料研究中心、美國諾發、德國愛思強公司美國 SSTS 部,歷任副研究員、工程技術副總裁等職。2014 年 9 月至今就職于公司,曾任技術總監、總經理、董事,現任公司董事
200、長。姜謙先生,1952 年出生,美國國籍,美國布蘭迪斯大學博士。1982 年 1 月至 2005 年 10 月,先后任職于麻省理工學院、英特爾公司、美國諾發,歷任研究員、研發副總裁等職;2006 年 4 月至 2010 年 3 月,任欣欣科技(沈陽)有限公司執行董事。2010 年 4 月至今就職于公司,曾任總經理、董事長,現任公司董事。楊征帆先生,1981 年出生,中國國籍,無境外永久居留權,英國布里斯托大學碩士。2004 年 12 月至今,先后任職于清華同方威視技術股份有限公司、中國人民銀行沈陽分行、開元(北京)城市發展基金有限公司、華芯投資管理有限責任公司(以下簡稱“華芯投資”),歷任軟件
201、工程師、投資部門副總經理等職。2015 年 12 月至今,任公司董事。王梁先生,1989 年出生,中國國籍,無境外永久居留權,英國巴斯大學碩士。2014 年 12 月至 2021 年 8 月,任華芯投資投資經理。2015 年 12 月至 2017年 4 月,曾任拓荊有限監事;2021 年 1 月至今,任公司董事。齊雷先生,1979 年出生,中國國籍,無境外永久居留權,中國人民解放軍拓荊科技股份有限公司 招股說明書(上會稿)1-1-72 信息工程大學碩士。2004 年 8 月年至今,先后任職于中國人民解放軍某部隊、中國國投高新產業投資有限公司、國投創業投資管理有限公司,歷任助理研究員、執行總經理
202、等職。2017 年 9 月至今,任公司董事。尹志堯先生,1944 年出生,美國國籍,加州大學洛杉磯分校博士。1984 年3 月至今,先后任職于英特爾中心、泛林半導體、應用材料、中微公司,歷任工藝工程師、董事長等職。2015 年 12 月至 2017 年 9 月,曾任拓荊有限董事;2021年 1 月至今,任公司董事。吳漢明先生,1952 年出生,中國國籍,無境外永久居留權,工學博士,中國工程院院士。1997 年 10 月至今,先后任職于美國諾發、英特爾公司、中芯國際集成電路制造有限公司、浙江大學微納電子學院,歷任工程師、院長等職。2021年 1 月至今,任公司獨立董事。黃宏彬先生,1971 年出
203、生,中國國籍,無境外永久居留權,上海財經大學工商管理碩士。1994 年 7 月至今,先后任職于上海萬國證券公司、上海證券交易所、金浦產業投資基金管理有限公司、京通智匯資產管理有限公司、金圓國際有限公司、上海斐君投資管理中心(有限合伙),歷任稽核總部經理、創始合伙人等職。2021 年 1 月至今,任公司獨立董事。趙國慶先生,1979 年出生,中國國籍,無境外永久居留權,南京大學會計學博士,具有中國注冊會計師資格。2002 年 8 月至今,先后任職于南京市江寧地方稅務局、國家稅務總局稅務干部學院、中匯江蘇稅務師事務所有限公司、上海易宏人力資源服務有限公司,歷任副科長、業務總監等職。2021 年 1
204、 月至今,任公司獨立董事。2、監事會成員簡介、監事會成員簡介 截至本招股說明書簽署日,公司監事會成員名單及其簡歷如下:序號序號 姓名姓名 職務職務 提名人提名人/選舉機構選舉機構 任期任期 1 葉五毛 監事會主席 職工代表大會 2021 年 1 月至 2024 年 1 月 2 郭 郢 監事 國家集成電路基金 2021 年 4 月至 2024 年 1 月 3 曹 陽 監事 國投上海 2021 年 1 月至 2024 年 1 月 4 許榮偉 監事 嘉興君勵、鹽城燕舞 2021 年 1 月至 2024 年 1 月 拓荊科技股份有限公司 招股說明書(上會稿)1-1-73 序號序號 姓名姓名 職務職務
205、提名人提名人/選舉機構選舉機構 任期任期 5 苑 雪 監事 沈陽創投 2021 年 1 月至 2024 年 1 月 6 劉忠武 職工代表監事 職工代表大會 2021 年 1 月至 2024 年 1 月 葉五毛先生,1961 年出生,美國國籍,加州大學伯克利分校博士;1990 年9 月至 2013 年 9 月,先后任職于 Nashua Computer Products、Western Digital,Santa Clara,CA、美國諾發、NegevTech,Inc.、Hitachi High-Technologies America、Honeywell International,先后任工藝
206、工程師、產品經理等職。2017 年 8 月至今就職于公司,現任資深技術總監;2021 年 1 月至今,任公司監事會主席。郭郢女士,1983 年出生,中國國籍,無境外永久居留權,英國伯明翰大學碩士。2008 年 9 月至今,先后任職于普華永道(深圳)有限公司、華芯投資,歷任高級咨詢顧問、風險管理部經理等職。2021 年 4 月至今,任公司監事。曹陽先生,1982 年出生,中國國籍,無境外永久居留權,清華大學碩士。2008 年 9 月至今,先后任職于安永華明會計師事務所、國家開發投資公司、國投礦業投資有限公司、國投創業投資管理有限公司,歷任審計助理、投資總監等職。2018 年 11 月至今,任公司
207、監事。許榮偉先生,1977 年出生,中國國籍,無境外永久居留權,華東理工大學碩士。1996 年 12 月至今,先后任職于鹽城市鹽都區鞍湖鎮和龍岡鎮財政所、鹽城市亭湖區財政局、鹽城經濟技術開發區財政局和大豐港產業園、鹽城經濟技術開發區住房保障和建設局、鹽城東方投資開發集團有限公司、??诤惚娦畔⒖萍己匣锲髽I,歷任辦事員、執行事務合伙人等職。2021 年 1 月至今,任公司監事。苑雪女士,1985 年出生,中國國籍,無境外永久居留權,遼寧大學碩士。2011 年 8 月至今,先后任職于沈陽達銳投資管理有限公司、沈陽信息產業創業投資管理有限公司,歷任副總經理等職;2019 年 11 月至今,兼任沈陽創投
208、總經理。2019 年 11 月至 2021 年 1 月,曾任拓荊有限董事;2021 年 1 月至今,任公司監事。劉忠武先生,1974 年出生,中國國籍,無境外永久居留權,哈爾濱工業大學碩士。1998 年 10 月至 2010 年 4 月,先后任職于北京豪儀測控工程有限公司、哈爾濱新一方科技開發有限公司、中科儀,歷任工程師、電氣部部長等職。2010拓荊科技股份有限公司 招股說明書(上會稿)1-1-74 年 4 月至今就職于公司,現任電氣電子工程部部長、職工代表監事。3、高級管理人員簡介、高級管理人員簡介 截至本招股說明書簽署日,公司高級管理人員名單及其簡歷如下:序號序號 姓名姓名 職務職務 任職
209、期間任職期間 1 田曉明 總經理 2021 年 1 月至 2024 年 1 月 2 張孝勇 副總經理 2021 年 1 月至 2024 年 1 月 3 周 堅 副總經理 2021 年 1 月至 2024 年 1 月 4 劉 靜 副總經理、財務負責人 2021 年 1 月至 2024 年 1 月 5 孫麗杰 副總經理 2021 年 1 月至 2024 年 1 月 6 趙 曦 董事會秘書 2021 年 1 月至 2024 年 1 月 田曉明先生,1956 年出生,美國國籍,美國東北大學電子工程學碩士,新加坡南洋理工大學工商管理碩士。1982 年 2 月至 2018 年 2 月,先后任職或就讀于江西
210、景光電子有限公司、美國東北大學、美國 Codi Semiconductor,Inc.、泛林半導體、尼康精機(上海)有限公司,歷任設計工程師、資深副總裁等職。2018年 2 月至今就職于公司,現任公司總經理。張孝勇先生,1971 年出生,美國國籍,美國馬里蘭大學化學工程博士。2000年 9 月至 2011 年 2 月,就職于美國諾發,在 PECVD 及 ALD 產品部歷任工藝開發工程師、資深工藝開發工程師、超低介電質工藝開發經理、資深重要客戶經理。2011 年 3 月至今就職于公司,現任公司副總經理。周堅先生,1963 年出生,美國國籍,美國德克薩斯 A&M 大學電氣工程碩士。1984 年 8
211、月至 2018 年 10 月,先后任職或就讀于江西郵電科研所、美國德克薩斯 A&M 大學、Nonometrics Inc.、Mattson Technology,Inc.、Nonometrics Inc.、Ecovoltz Inc.、睿勵科學儀器(上海)有限公司,歷任工程師、軟件部總監等職。2018 年 11 月至今就職于公司,現任公司副總經理。劉靜女士,1971 年出生,中國國籍,無境外永久居留權,畢業于東北財經大學會計學專業,具備中國注冊會計師資格。1993 年 5 月至 2010 年 4 月,先后任職于沈陽紡織廠、沈陽北泰方向集團有限公司下屬公司、遼寧中天華程科技有限公司,歷任財務主管、
212、財務總監、副總經理等職。2010 年 4 月至今就職于公拓荊科技股份有限公司 招股說明書(上會稿)1-1-75 司,曾任財務經理,現任公司副總經理、財務負責人。孫麗杰女士,1968 年出生,中國國籍,無境外永久居留權,北京航空航天大學學士,東北大學工商管理碩士(EMBA),高級經濟師。1992 年 12 月至 2009年 9 月,先后任職于遼寧經濟技術交流館、遼寧展覽貿易集團有限公司、沈陽芯源微電子設備有限公司,歷任總經理助理、行政總監等職。2010 年 4 月至今就職于公司,曾任董事會秘書,現任公司副總經理。趙曦女士,1983 年出生,中國國籍,無境外永久居留權,遼寧大學國際法學碩士,具有中
213、國注冊會計師資格、上海證券交易所董事會秘書資格(主板)、法律職業資格、(準)保薦代表人資格。2009 年 3 月至 2019 年 11 月,先后任職于北京金誠同達律師事務所、中信證券股份有限公司、網信證券有限責任公司,歷任專職律師、高級業務總監等職。2019 年 12 月至今任職于公司,現任公司董事會秘書。4、核心技術人員簡介、核心技術人員簡介 截至本招股說明書簽署日,公司共有 7 名核心技術人員,名單、研發貢獻及簡歷如下:序號序號 姓名姓名 職務職務 研發貢獻研發貢獻 1 姜 謙 董事 成功領導研發團隊完成“90-65nm 等離子體增強化學氣相沉積設備研發與應用”研發,參與“1x nm 3D
214、 NAND PECVD研發及產業化”國家重大科技專項及多項產品研發。2 呂光泉 董事長 成功領導研發團隊完成“1x nm 3D NAND PECVD 研發及產業化”國家重大科技專項,領導團隊研發“國家科技重大專項課題 A(ALD 相關)”、“國家集成電路裝備項目 A(介質薄膜先進工藝相關)”等國家重大科技項目/課題,成功領導完成 ALD、SACVD 設備研發并產業化應用。3 田曉明 總經理 參與領導“國家科技重大專項課題 A(ALD 相關)”、“國家科技重大專項課題 B(先進工藝 PECVD 相關)”、“國家集成電路裝備項目 A(介質薄膜先進工藝相關)”等國家重大科技項目/課題研發,參與領導
215、SACVD 設備并產業化應用。4 張孝勇 副總經理 參與公司 12 英寸 PECVD 設備生產型號 PF-300T 的研發及產業化應用,負責“國家科技重大專項課題 A(ALD 相關)”及公司先進工藝 PECVD 設備研發。5 周 堅 副總經理 負責領導公司半導體設備軟件開發及優化,設備電氣、系統平臺的設計及優化。6 葉五毛 監事會主席、資深技術總監 負責公司 HTM PECVD 工藝技術開發及優化改進,完成 12英寸 ACHM 工藝 PECVD 設備研發及產業化應用。拓荊科技股份有限公司 招股說明書(上會稿)1-1-76 序號序號 姓名姓名 職務職務 研發貢獻研發貢獻 7 寧建平 產品部總監
216、作為研發骨干參與多項國家重大科技專項的研發,負責“國家科技重大專項課題 B(先進工藝 PECVD 相關)”及先進制程 PECVD 設備的研發及產業化。姜謙、呂光泉、田曉明、張孝勇、周堅的個人簡介請參見本節“十一、發行人董事、監事、高級管理人員及核心技術人員情況”之“(一)董事、監事、高級管理人員及核心技術人員簡介”之“1、董事會成員簡介”、“2、監事會成員簡介”、“3、高級管理人員簡介”。寧建平女士,1983 年出生,中國國籍,無境外永久居留權,貴州大學碩士,大連理工大學博士在讀。2010 年 7 月開始任職于公司及子公司拓荊鍵科,歷任產品部部長、研發部總監等職,現任公司產品部總監。(二)董事
217、、監事、高級管理人員及核心技術人員兼職情況(二)董事、監事、高級管理人員及核心技術人員兼職情況 截至 2021 年 10 月 20 日,公司董事、監事、高級管理人員及核心技術人員在發行人及其子公司以外的單位任職情況如下:姓名姓名 公司職公司職務務 其他任職單位其他任職單位 任職職務任職職務 其他任職單位其他任職單位與公司的關系與公司的關系 楊征帆 董事 華芯投資 投資三部副總經理 公司股東國家集成電路基金的基金管理人 中微公司 董事 關聯方 湖北鑫鏵股權投資管理有限公司 董事 關聯方 江蘇雅克科技股份有限公司 董事 關聯方 上海精測半導體技術有限公司 董事 關聯方 睿勵科學儀器(上海)有限 公
218、司 董事 關聯方 杭州長川科技股份有限公司 董事 關聯方 江蘇鑫華半導體材料科技 有限公司 董事 關聯方 中巨芯科技有限公司 副董事長 關聯方 北方華創 董事 關聯方 上海硅產業集團股份有限 公司 董事 關聯方 煙臺德邦科技股份有限公司 董事 關聯方 拓荊科技股份有限公司 招股說明書(上會稿)1-1-77 姓名姓名 公司職公司職務務 其他任職單位其他任職單位 任職職務任職職務 其他任職單位其他任職單位與公司的關系與公司的關系 上海萬業企業股份有限公司 董事 關聯方 上海半導體裝備材料產業投資管理有限公司 投資決策委員會委員 無 王 梁 董事 北方華創 董事 關聯方 華天科技(西安)有限公司 董
219、事 關聯方 中科儀 董事 關聯方 齊 雷 董事 國投創業投資管理有限公司 執行總經理 關聯方 唐山英萊科技有限公司 董事 關聯方 江蘇長虹智能裝備股份有限公司 董事 關聯方 蘇州焜原光電有限公司 董事 關聯方 蘇州長光華芯光電技術股份有限公司 董事 關聯方 上海矽??萍加邢薰?董事 關聯方 沈陽富創 董事 關聯方 蘇州景昱醫療器械有限公司 監事 無 尹志堯 董事 中微公司 董事長、總經理 關聯方 睿勵科學儀器(上海)有限公司 董事長 關聯方 中微科技投資管理(上海)有限公司 董事長、總經理 關聯方 南昌中微半導體設備有限 公司 董事長 關聯方 中微半導體設備(廈門)有限公司 執行董事 關聯方
220、 中微惠創科技(上海)有限 公司 執行董事 關聯方 Advanced Micro-Fabrication Equipment International Pte.Ltd.董事 關聯方 Advanced Micro-Fabrication Equipment Korea Ltd.董事 關聯方 PDF Solution Inc.獨立董事 關聯方 瀾起科技股份有限公司 獨立董事 關聯方 普迪飛半導體技術(上海)有限公司 獨立董事 關聯方 吳漢明 獨立董事 浙江大學 微納電子學院院長 無 中國科學技術大學 國家示范性微電子學院院長 無 拓荊科技股份有限公司 招股說明書(上會稿)1-1-78 姓名姓名
221、公司職公司職務務 其他任職單位其他任職單位 任職職務任職職務 其他任職單位其他任職單位與公司的關系與公司的關系 芯創智(北京)微電子有限公司 董事長 無 燦芯創智微電子技術(北京)有限公司 董事長、總經理 無 浙江創芯集成電路有限公司 董事長 無 北方華創 獨立董事 關聯方 比亞迪半導體股份有限公司 獨立董事 無 睿力集成電路有限公司 獨立董事 無 黃宏彬 獨立董事 上海斐昱投資管理有限公司 執行董事、總經理 關聯方 上海斐君投資管理中心(有限合伙)執行事務合伙人委派代表 關聯方 合肥晟泰克汽車電子股份有限公司 董事 無 紫博藍網絡科技(北京)股份有限公司 董事 無 浙江天正電氣股份有限公司
222、董事 無 浙江德馬科技股份有限公司 董事 無 天合光能股份有限公司 獨立董事 無 共青城斐君鉭晟投資管理合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 共青城斐君銥晟投資管理合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 共青城斐昱丹瑄投資管理合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 廣州黃埔斐君產業投資基金合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 嘉興斐樂股權投資合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 嘉興斐欣股權投資合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 嘉興斐昱武勝投資管理合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 嘉興斐昱永淳
223、投資管理合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 嘉興斐昱永徽投資管理合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 嘉興斐昱悅柏投資管理合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 嘉興永彥股權投資合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 寧波斐君元貝股權投資合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 拓荊科技股份有限公司 招股說明書(上會稿)1-1-79 姓名姓名 公司職公司職務務 其他任職單位其他任職單位 任職職務任職職務 其他任職單位其他任職單位與公司的關系與公司的關系 寧波斐君元浩股權投資合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 寧波斐
224、君元順股權投資合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 上海斐君鋇晟投資管理合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 上海斐君鋯晟投資管理合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 上海斐君鈷晟投資管理合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 上海斐君鈮晟投資管理合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 上海斐君潤澤投資管理合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 上海斐君鍺晟投資管理合伙企業(有限合伙)執行事務合伙人委派代表 關聯方 趙國慶 獨立董事 上海易宏人力資源服務有限公司 業務總監 無 南京迪威爾高端制造股份有限公司 獨立董事
225、 無 希諾股份有限公司 獨立董事 無 浙江峻和科技股份有限公司 獨立董事 無 郭 郢 監事 華芯投資 風險管理部經理 公司股東國家集成電路基金的基金管理人 中科儀 監事 關聯方 北方華創 監事 關聯方 杭州長川科技股份有限公司 監事 關聯方 煙臺德邦科技股份有限公司 監事 關聯方 北京芯動能投資管理有限 公司 監事 無 曹 陽 監事 國投創業投資管理有限公司 投資總監 關聯方 金瑞泓微電子(衢州)有限 公司 董事 關聯方 廈門云天半導體科技有限公司 董事 關聯方 浙江金瑞泓科技股份有限公司 董事 關聯方 礪鑄智能設備(天津)有限公司 監事 無 許榮偉 監事 ??诤惚娦畔⒖萍己匣锲髽I 執行事務合
226、伙人 關聯方 拓荊科技股份有限公司 招股說明書(上會稿)1-1-80 姓名姓名 公司職公司職務務 其他任職單位其他任職單位 任職職務任職職務 其他任職單位其他任職單位與公司的關系與公司的關系 Oriental Capital Company Limited 執行董事、總經理 關聯方 長三角動力電源鹽城有限 公司 董事 關聯方 江蘇立霸實業股份有限公司 監事 無 苑 雪 監事 沈陽創投 總經理 關聯方 沈陽信息產業創業投資管理有限公司 副總經理 公司股東沈陽創投的基金管理人;關聯方 沈陽麥克奧迪能源科技有限公司 董事 關聯方 遼寧維頂智能科技有限公司 董事 關聯方 沈陽維頂機器人有限公司 董事
227、關聯方 沈陽東寶海星金屬材料科技有限公司 董事 關聯方 沈陽硅基科技有限公司 監事會主席 報告期內曾存在的關聯方 劉 靜 副總經理、財務負責人 芯鑫和 執行事務合伙人 關聯方 芯鑫龍 執行事務合伙人 關聯方 芯鑫陽 執行事務合伙人 關聯方 沈陽盛騰 執行事務合伙人 關聯方 沈陽盛全 執行事務合伙人 關聯方 海寧展陽 執行事務合伙人 關聯方 孫麗杰 副總經理 芯鑫全 執行事務合伙人 關聯方 芯鑫成 執行事務合伙人 關聯方 芯鑫旺 執行事務合伙人 關聯方 芯鑫盛 執行事務合伙人 關聯方 沈陽盛旺 執行事務合伙人 關聯方 沈陽盛龍 執行事務合伙人 關聯方 海寧展博 執行事務合伙人 關聯方 截至 20
228、21 年 10 月 20 日,除上述人員外,公司其他董事、監事、高級管理拓荊科技股份有限公司 招股說明書(上會稿)1-1-81 人員及核心技術人員未有在其他單位擔任職務的情況。(三)董事、監事、高級管理人員及核心技術人員相互之間存在的親屬關系(三)董事、監事、高級管理人員及核心技術人員相互之間存在的親屬關系 截至本招股說明書簽署日,公司董事、監事、高級管理人員及核心技術人員之間不存在親屬關系。十十二二、發行人與董事、監事、高級管理人員及核心技術人員簽署協議、發行人與董事、監事、高級管理人員及核心技術人員簽署協議及履行情況及履行情況 截至本招股說明書簽署日,發行人與在公司任職的董事、監事、高級管
229、理人員及核心技術人員分別簽訂了專家聘用協議或勞動合同、員工保密合同、競業限制協議或競業禁止承諾書,與獨立董事簽訂了獨立董事聘任合同書。截至本招股說明書簽署日,前述相關協議都得到了有效執行。除上述情形外,報告期內,公司不存在與董事、監事、高級管理人員及核心技術人員簽訂對投資者作出價值判斷和投資決策有重大影響協議的情形。十三、十三、發行人董事、監事、高級管理人員及核心技術人員變動情況發行人董事、監事、高級管理人員及核心技術人員變動情況(一)(一)最近最近兩兩年內董事變動情況年內董事變動情況 2019 年 1 月 1 日,拓荊有限董事會成員為姜謙、楊征帆、楊璐、齊雷、杜志游、李昌龍、王海濤、蘇慶祥、
230、呂光泉 9 人,其中姜謙為董事長。2019 年 4 月 3 日,大連港航將其持有的全部拓荊有限的股份轉讓給中微公司,大連港航原委派董事王海濤不再擔任董事。2019 年 11 月 21 日,沈陽創投原委派董事蘇慶祥變更為苑雪。2021 年 1 月 8 日,發行人召開創立大會暨 2021 年第一次臨時股東大會,選舉呂光泉、姜謙、楊征帆、王梁、齊雷、尹志堯、吳漢明、黃宏彬、趙國慶等 9人擔任董事。其中,吳漢明、黃宏彬、趙國慶為獨立董事。最近兩年,公司董事變動系因股東變動及股東委派董事發生變化,或建立獨立董事制度等原因,發行人董事會構成、董事人選雖發生變更,但未對公司造成重大不利影響。(二)(二)最近
231、最近兩兩年內監事變動情況年內監事變動情況 拓荊科技股份有限公司 招股說明書(上會稿)1-1-82 2019 年 1 月 1 日,拓荊有限監事會成員為陳偉文、呂玉梅、曹陽、張素梅、劉忠武 5 人。其中,陳偉文為監事會主席,張素梅、劉忠武為職工代表監事。2020 年 12 月 11 日,拓荊有限職工代表大會選舉葉五毛、劉忠武為公司職工代表監事。2021 年 1 月 8 日,發行人召開創立大會暨 2021 年第一次臨時股東大會,選舉余峰、曹陽、許榮偉和苑雪為股份公司非職工代表監事。2021 年 4 月 8 日,余峰因個人原因辭去公司監事職務;2021 年 4 月 30 日,發行人股東大會選舉郭郢為新
232、任監事,任期至 2024 年 1 月 7 日。(三)最近(三)最近兩兩年內高級管理人員變動情況年內高級管理人員變動情況 2019 年 1 月 1 日,拓荊有限高級管理人員包括總經理呂光泉、副總經理田曉明、張孝勇、吳飚、周仁、孫麗杰,以及副總經理兼財務負責人劉靜 7 人。2020 年 5 月 29 日,拓荊有限董事會同意聘用周堅為副總經理,同意吳飚、周仁辭去副總經理職務。2021 年 1 月 8 日,發行人董事會同意聘任田曉明為總經理,張孝勇、周堅、孫麗杰為副總經理,劉靜為副總經理兼財務負責人,趙曦為董事會秘書。報告期內,發行人高級管理人員吳飚、周仁離職。吳飚于 2014 年 5 月至 2020
233、年 5 月擔任公司副總經理,前期主要負責公司制造部門相關工作,后期主要負責市場開發及市場調研等工作。周仁于 2014 年 9 月至 2020 年 5 月擔任公司副總經理,前期主要負責工程部門相關工作,后期負責公司知識產權管理、質量管理等工作。最近兩年,除吳飚、周仁離職及發行人為滿足業務發展需要、完善公司治理增聘高級管理人員外,發行人高級管理人員保持穩定,未發生重大不利變化。(四)最近(四)最近兩兩年內核心技術人員變動情況年內核心技術人員變動情況 發行人綜合考慮任職情況、教育背景、工作履歷、技術經驗、主要知識產權、科研成果、研發統籌能力等情況認定核心技術人員。2021 年 3 月,發行人董事會將
234、姜謙、呂光泉、田曉明、張孝勇、周堅、葉五毛、寧建平 7 人認定為核心技術人員。最近兩年內,發行人核心技術人員均在公司任職,未發生重大變化。拓荊科技股份有限公司 招股說明書(上會稿)1-1-83 十十四四、董事、監事、高級管理人員及核心技術人員與發行人及其業務董事、監事、高級管理人員及核心技術人員與發行人及其業務相關的對外投資情況相關的對外投資情況 截至 2021 年年 10 月月 20 日日,發行人董事、監事、高級管理人員及核心技術人員與發行人及其業務相關的對外投資情況如下:姓名姓名 公司職務公司職務 對外投資對外投資 單位名稱單位名稱 出資金額出資金額/持股持股數量數量 出資出資 比例比例
235、通過員工持股平通過員工持股平臺間接持有的拓臺間接持有的拓荊股份數(股)荊股份數(股)呂光泉 董事長、核心技術人員 芯鑫龍 69,300.00 元 45.64%630,000 沈陽盛騰 27,750.00 元 3.12%25,000 姜 謙 董事、核心技術人員 芯鑫盛 97,229.00 元 64.06%883,900 沈陽盛騰 44,400.00 元 4.99%40,000 沈陽盛旺 66,600.00 元 3.23%60,000 齊 雷 董事 屹新(上海)企業管理中心(有限合伙)200,000.00 元 1.33%尹志堯 董事 中微公司 6,200,266 元 1.01%Grenade Pt
236、e.Ltd.174,277 股 Futago Pte.Ltd.6,875 股 葉五毛 監事會主席、核心技術人員 芯鑫成 36,300.00 元 23.91%330,000 沈陽盛騰 44,400.00 元 4.99%40,000 劉忠武 職工代表監事 芯鑫成 12,287.00 元 8.09%111,700 沈陽盛旺 234,190.00 元 11.37%49,000 沈陽盛騰 3,330.00 元 0.38%3,000 田曉明 總經理、核心技術人員 芯鑫全 44,000.00 元 28.97%400,000 沈陽盛騰 111,000.00 元 12.48%100,000 張孝勇 副總經理、核
237、心技術人員 芯鑫成 84,150.00 元 55.43%765,000 沈陽盛騰 155,400.00 元 17.48%140,000 周 堅 副總經理、核心技術人員 芯鑫龍 27,500.00 元 18.11%250,000 沈陽盛旺 404,000.00 元 19.61%40,000 劉 靜 副總經理、財務負責人 海寧展陽 4,900.00 元 98.00%芯鑫全 33,110.00 元 21.80%301,000 拓荊科技股份有限公司 招股說明書(上會稿)1-1-84 姓名姓名 公司職務公司職務 對外投資對外投資 單位名稱單位名稱 出資金額出資金額/持股持股數量數量 出資出資 比例比例
238、通過員工持股平通過員工持股平臺間接持有的拓臺間接持有的拓荊股份數(股)荊股份數(股)芯鑫陽 26,663.00 元 17.59%242,386 芯鑫龍 14.00 元 0.01%126 芯鑫和 14.00 元 0.01%126 沈陽盛旺 557,840.00 元 27.07%94,000 沈陽盛全 11,000.00 元 3.95%沈陽盛騰 14,219.00 元 1.60%2,900 孫麗杰 副總經理 海寧展博 4,900.00 元 98.00%芯鑫和 36,663.00 元 24.13%333,300 芯鑫旺 13,984.00 元 9.21%127,126 芯鑫全 14.00 元 0.0
239、1%126 芯鑫成 14.00 元 0.01%125 芯鑫盛 14.00 元 0.01%125 沈陽盛騰 90,687.00 元 10.20%81,700 沈陽盛龍 22,189.00 元 10.96%10,080 沈陽盛旺 11,000.00 元 0.53%趙 曦 董事會秘書 芯鑫盛 6,600.00 元 4.35%60,000 寧建平 核心技術 人員 芯鑫龍 19,140.00 元 12.60%174,000 沈陽盛旺 309,915.00 元 15.04%36,230 沈陽盛全 18,870.00 元 6.77%17,000 注:發行人副總經理、財務負責人劉靜在沈陽盛全和沈陽盛騰的各 1
240、.10 萬元出資額、在沈陽盛旺的 4,000 元出資額,以及發行人副總經理孫麗杰在沈陽盛龍、沈陽盛旺的各 1.10 萬元出資額,為合伙企業管理費,根據合伙企業約定不享有權益。呂光泉等公司部分董事、監事、高級管理人員及核心技術人員通過員工持股平臺間接持有發行人股份。董事齊雷持有屹新(上海)企業管理中心(有限合伙)份額,并因此間接持有發行人股東國投上海的部分份額,進而間接持有發行人股份。董事尹志堯持有發行人股東中微公司股份,進而間接持有發行人股份。截至 2021 年年 10 月月 20 日日,除上述情況外,發行人董事、監事、高級管理人員及核心技術人員不存在其他與發行人及其業務相關的對外投資,上述人
241、員的其他對外投資與發行人不存在利益沖突情形。拓荊科技股份有限公司 招股說明書(上會稿)1-1-85 十十五五、發行人董事、監事、高級管理人員、核、發行人董事、監事、高級管理人員、核心技術人員及其近親屬心技術人員及其近親屬持股情況持股情況 截至本招股說明書簽署日,發行人董事、監事、高級管理人員、核心技術人員及其近親屬直接或間接持有發行人股份情況如下:姓名姓名 職務職務/親屬關系親屬關系 直接持股直接持股數量(股)數量(股)間接持股間接持股數量(股)數量(股)合計持股合計持股數量(股)數量(股)合計持股數合計持股數量占發行前量占發行前股本比例股本比例 質押股數質押股數(股)(股)呂光泉 董事長、核
242、心技術人員 500,000 655,000 1,155,000 1.2176%630,000 姜 謙 董事、核心技術人員 1,234,290 983,900 2,218,190 2.3384%883,900 齊 雷 董事 1,959 1,959 0.0021%尹志堯 董事 110,868 110,868 0.1169%葉五毛 監事會主席、核心技術人員 370,000 370,000 0.3901%330,000 劉忠武 職工代表監事 163,700 163,700 0.1726%111,700 田曉明 總經理、核心技術人員 500,000 500,000 0.5271%400,000 張孝勇
243、副總經理、核心技術人員 150,000 905,000 1,055,000 1.1122%765,000 周 堅 副總經理、核心技術人員 290,000 290,000 0.3057%250,000 劉 靜 副總經理、財務負責人 640,538 640,538 0.6753%543,638 孫麗杰 副總經理 552,582 552,582 0.5825%460,802 趙 曦 董事會秘書 60,000 60,000 0.0633%60,000 寧建平 核心技術人員 227,230 227,230 0.2395%174,000 注:截至本招股說明書出具日,董事齊雷通過屹新(上海)企業管理中心(有
244、限合伙)間接持有發行人股東國投上海的部分份額,并因此間接持有發行人約 1,959 股股份。截至2021 年 6 月 30 日,中微公司總股本為 615,091,572 元,尹志堯直接持有中微公司 6,200,266股股份,約占總股本的 1.0080%;此外,尹志堯通過 Grenade Pte.Ltd.、Futago Pte.Ltd.間接持有中微公司約 0.0357%股份;經計算,尹志堯合計持有發行人股東中微公司約 1.0437%的股份,并因此間接持有發行人約 110,868 股股份。部分公司董事、監事、高級管理人員及核心技術人員通過 7 個共青城員工持股平臺間接持有公司股份,存在股權質押情況,
245、質押股數詳見上表。十十六六、發行人董事、監事、高級管理人員及核心技術人員的薪酬情況、發行人董事、監事、高級管理人員及核心技術人員的薪酬情況 拓荊科技股份有限公司 招股說明書(上會稿)1-1-86(一)發行人董事、監事、高級管理人員及核心技術人員的薪酬組成、確認(一)發行人董事、監事、高級管理人員及核心技術人員的薪酬組成、確認依據及所履行的程序依據及所履行的程序 在公司擔任具體管理職務的非獨立董事,以及職工代表監事、高級管理人員、核心技術人員自發行人處領取的薪金包括基本工資、個人績效工資和年終獎金;獨立董事領取固定津貼;未在公司擔任具體管理職務的非獨立董事,以及非職工代表監事不在公司領取報酬。公
246、司董事會下設薪酬與考核委員會,主要負責制訂公司董事及高級管理人員的薪酬政策和考核標準,人力資源部門負責薪酬與考核委員會決策前的準備工作。薪酬與考核委員會提出的公司董事薪酬計劃,報經董事會同意后,提交股東大會審議通過后方可實施;公司高級管理人員的薪酬分配方案須報董事會批準。(二)發行人董事、監事、高級管理人員及核心技術人員的薪酬領取情況(二)發行人董事、監事、高級管理人員及核心技術人員的薪酬領取情況 1、報告期內董事、監事、高級管理人員及核心技術人員的薪酬總額情況、報告期內董事、監事、高級管理人員及核心技術人員的薪酬總額情況 報告期內,現任董事、監事、高級管理人員及核心技術人員自發行人領取薪酬情
247、況如下:單位:萬元 項目項目 2021 年年 1-3 月月 2020 年度年度 2019 年度年度 2018 年度年度 現任董監高及核心技術人員總體薪酬 273.46 1,350.10 980.84 884.00 利潤總額-1,058.92 -1,169.99 -1,936.64 -10,322.29 占比-注:發行人報告期內利潤總額均為負數。2、最近一年董事、監事、高級管理人員及核心技術人員領取收入情況、最近一年董事、監事、高級管理人員及核心技術人員領取收入情況 公司現任董事、監事、高級管理人員及核心技術人員,2020 年薪酬情況如下表所示:單位:萬元 序號序號 姓名姓名 職位職位 2020
248、 年從發行人處領取薪酬情況年從發行人處領取薪酬情況 1 呂光泉 董事長、核心技術人員 219.14 2 姜 謙 董事、核心技術人員 59.34 3 楊征帆 董事-拓荊科技股份有限公司 招股說明書(上會稿)1-1-87 序號序號 姓名姓名 職位職位 2020 年從發行人處領取薪酬情況年從發行人處領取薪酬情況 4 王 梁 董事-5 齊 雷 董事-6 尹志堯 董事-7 吳漢明 獨立董事-8 黃宏彬 獨立董事-9 趙國慶 獨立董事-10 葉五毛 監事會主席、核心技術人員 173.22 11 郭 郢 監事-12 曹 陽 監事-13 許榮偉 監事-14 苑 雪 監事-15 劉忠武 職工代表監事 37.59
249、 16 田曉明 總經理、核心技術人員 241.78 17 張孝勇 副總經理、核心技術人員 190.78 18 周 堅 副總經理、核心技術人員 197.54 19 劉 靜 副總經理、財務負責人 64.32 20 孫麗杰 副總經理 64.26 21 趙 曦 董事會秘書 62.00 22 寧建平 核心技術人員 40.13 合計合計 1,350.10 注:獨立董事吳漢明、黃宏彬、趙國慶 2020 年尚未從發行人處領取薪酬。除以上所列收入外,2020 年度在發行人處任職并領取薪酬的現任董事、監事、高級管理人員及核心技術人員未在發行人關聯方處取得收入,也未享受其他待遇和退休金計劃。十十七七、發行人已執行的
250、股權激勵及其他制度安排和執行情況、發行人已執行的股權激勵及其他制度安排和執行情況(一)股權激勵及相關安排(一)股權激勵及相關安排 截至本招股說明書簽署日,發行人不存在已經制定、上市后實施的期權激勵計劃,存在上市前已經執行完畢的股權激勵。拓荊科技股份有限公司 招股說明書(上會稿)1-1-88 1、發行人員工股權激勵及相關安排、發行人員工股權激勵及相關安排(1)發行人員工股權激勵的基本情況 發行人本次發行上市前已實施的員工股權激勵中,呂光泉等七名外籍專家直接持股并通過員工持股平臺間接持股,姜謙及其余員工通過員工持股平臺間接持有發行人激勵股份;合計持有發行人 15.1920%的股份。截至本招股說明書
251、簽署日,公司八名外籍專家獲得股權激勵及在公司任職情況如下:序號序號 姓名姓名 公司職務公司職務 直接持股股數直接持股股數(股)(股)間接持股股數間接持股股數(股)(股)合計持股股數合計持股股數(股)(股)1 呂光泉 現任董事長 500,000 655,000 1,155,000 2 姜 謙 現任董事-883,900 883,900 3 劉憶軍 曾任副總經理 280,000 140,000 420,000 4 凌復華 曾任副總經理 255,000 150,000 405,000 5 吳 飚 曾任副總經理 175,000 175,000 350,000 6 周 仁 曾任副總經理 175,000 1
252、75,000 350,000 7 張先智 現任公司顧問 160,000 345,000 505,000 8 張孝勇 現任副總經理 150,000 905,000 1,055,000 合計合計 1,695,000 3,428,900 5,123,900 截至本招股說明書簽署日,發行人 11 個員工持股平臺合計持有發行人11,481,700 股股份,占發行前股份的 12.1040%。(2)發行人員工股權激勵的制度安排 自 2010 年拓荊有限成立至 2020 年 4 月拓荊有限董事會審議通過 沈陽拓荊科技有限公司員工持股計劃之前,拓荊有限對于員工股權激勵按照沈陽拓荊科技有限公司股權激勵管理辦法及其
253、補充條款執行。2020 年 4 月,拓荊有限董事會審議通過了沈陽拓荊科技有限公司員工持股計劃(以下簡稱員工持股計劃),適用于芯鑫和等 11 個員工持股平臺。員工持股計劃規定公司設立員工持股管理委員會,由員工持股管理委員會依據該計劃行使員工獲授份額管理等相關職權。員工持股管理委員會的人數及成員構成由公司總經理確定。拓荊科技股份有限公司 招股說明書(上會稿)1-1-89 2、發行人持股員工的股份鎖定期、發行人持股員工的股份鎖定期(1)直接持股股東的鎖定期 詳見本招股說明書“第十節 投資者保護”之“五、重要承諾”之“(一)本次發行前股東所持股份的限售安排、自愿鎖定股份、延長鎖定期限的承諾”部分。(2
254、)所持員工持股平臺份額的管理 芯鑫和等 11 個員工持股平臺合伙協議約定,合伙所持發行人股份鎖定期內,員工持股平臺的合伙人只能向合伙企業內部的發行人員工或符合規定條件的發行人員工轉讓其財產份額,符合 上海證券交易所科創板股票發行上市審核問答問題 11 關于“閉環原則”的規定。公司持股平臺合伙協議均未約定服務期,股權激勵管理辦法中均未約定服務期,員工持股計劃中的鎖定期條款主要系根據閉環原則的要求針對持股平臺所具體制定,設置該等條款的目的只是為了保持員工團隊的穩定。員工離職股份是否回收不與公司上市掛鉤,離職員工所持股份經批準后可以繼續持有、全部轉讓或部分繼續持有,股權激勵管理辦法中的鎖定期條款不構
255、成實質上的服務期。3、對參與持股的員工離職所持股份的處理安排及執行情況、對參與持股的員工離職所持股份的處理安排及執行情況 直接持有發行人股份的公司員工離職不影響其對公司股份的持有。對于間接直接持有發行人股份的公司員工離職不影響其對公司股份的持有。對于間接持股的離職職工,具體安排如下:持股的離職職工,具體安排如下:離職原因離職原因 離職時的股權處理方離職時的股權處理方式式 因個人原因解除勞動合同 2020 年 4 月拓荊有限制定員工持股計劃之前,離職員工可自愿選擇繼續持有股權,或轉讓給符合條件的員工。員工持股計劃制定后,離職員工應當轉讓其所持部分或全部獲授份額給符合條件的員工。若公司知悉離職員工
256、從事與公司或其子公司相同或類似的業務,公司有權收回該離職員工的全部股權。退休或喪失勞動能力 持股對象可繼續持有獲授份額,但若此后未遵守與公司簽訂的保密協議或競業限制協議的要求,則應將份額轉讓給符合條件的員工。死亡或宣告死亡 此前指定的唯一法定繼承人/受遺贈人可在管理委員會同意的情況下選擇繼續持有獲授份額或出售給符合條件的員工。上表中符合條件的員工為公司或管理委員會指定的符合本計劃規定條件的公司員工,離職員工的股權轉讓價格為成本價格或由員工與公司協商確定。拓荊科技股份有限公司 招股說明書(上會稿)1-1-90 報告期內,公司收回離職人員間接所持公司股份共計 1,326,020 份,收回后全部重新
257、授予給其他符合條件的員工,屬于授予后立即可行權的股權激勵。公司根據授予日權益工具公允價值與激勵對象行權成本之差及股權激勵份額確認一次性股份支付費用,并計入相應年度的非經常性損益。截至本招股說明書簽署日,前述關于離職員工持有發行人股份的安排得到了有效執行,離職員工與發行人及其他相關方之間不存在糾紛或潛在糾紛。(二)股權激勵對發行人的影響(二)股權激勵對發行人的影響 1、對發行人經營狀況的影響、對發行人經營狀況的影響 發行人股權激勵覆蓋面大,且重點向研發技術人員、核心管理人員傾斜,符合半導體行業的行業特征。通過股權激勵,發行人建立健全了長效激勵機制,充分調動了高級管理人員與骨干員工的工作積極性,提
258、高了公司的凝聚力,增強了公司競爭力。2、對發行人財務狀況的影響、對發行人財務狀況的影響 為公允地反映股權激勵對公司財務狀況的影響,發行人已就上述股權激勵確認了股份支付。報告期內,發行人確認的股份支付金額分別為 192.96 萬元、198.25萬元、692.92 萬元和 63.41 萬元,未對公司財務狀況造成重大影響。3、對發行人控制權變化的影響、對發行人控制權變化的影響 股權激勵實施前后,不影響發行人控制權的認定。十十八八、發行人員工情況、發行人員工情況(一)員工人數及構成(一)員工人數及構成 1、員工人數及變化情況、員工人數及變化情況 報告期內,發行人及子公司員工人數和變化情況如下:日期日期
259、 2021.3.31 2020.12.31 2019.12.31 2018.12.31 人數(人)326 316 273 278 注:報告期各期末發行人員工不包括勞務派遣人員、兼職人員、實習生。2、員工專業結構、員工專業結構 拓荊科技股份有限公司 招股說明書(上會稿)1-1-91 截至 2021 年 3 月 31 日,發行人及子公司共有員工 326 人,各類員工專業結構如下:類別類別 人數(人)人數(人)占總人數比例占總人數比例 技術研發人員 142 43.56%技術支持人員 86 26.38%行政管理人員 33 10.12%財務人員 9 2.76%生產人員 32 9.82%采購人員 14 4
260、.29%銷售人員 10 3.07%合計合計 326 100.00%3、員工受教育程度、員工受教育程度 截至 2021 年 3 月 31 日,發行人及子公司各類員工受教育程度如下:類別類別 人數(人)人數(人)占總人數比例占總人數比例 碩士研究生及以上學歷 102 31.29%本科學歷 172 52.76%大專學歷 44 13.50%大專以下學歷 8 2.45%合計合計 326 100.00%4、員工年齡分布、員工年齡分布 截至 2021 年 3 月 31 日,發行人及子公司各類員工年齡分布如下:類別類別 人數(人)人數(人)占總人數比例占總人數比例 51 歲以上 16 4.91%41 至 50
261、 歲(含)35 10.74%31 至 40 歲 147 45.09%30 歲(含)以下 128 39.26%合計合計 326 100.00%(二)社會保障及福利情況(二)社會保障及福利情況 拓荊科技股份有限公司 招股說明書(上會稿)1-1-92 1、發行人的勞動合同、發行人的勞動合同制度制度 發行人實行勞動合同制,按照勞動法勞動合同法等有關規定與員工簽訂勞動合同或聘用協議,享受權利并承擔義務。2、發行人社會保險、住房公積金繳納情況、發行人社會保險、住房公積金繳納情況 報告期內,發行人員工繳納社會保險和住房公積金的具體情況如下:單位:人/%繳納情況繳納情況 2021.3.31 2020.12.3
262、1 2019.12.31 2018.12.31 人數人數 占比占比 人數人數 占比占比 人數人數 占比占比 人數人數 占比占比 實繳員工實繳員工 310 95.09 295 93.35 259 94.87 264 94.96 其中:第三方代繳社保和公積金員工 46 14.11 46 14.56 41 15.02 33 11.87 未繳納員工未繳納員工 16 4.91 21 6.65 14 5.13 14 5.04 其中:新入職員工 4 1.23 8 2.53 0 0.00 0 0.00 中國臺灣籍員工 1 0.31 1 0.32 2 0.73 2 0.72 外籍員工 10 3.07 11 3.
263、48 10 3.66 10 3.60 退休返聘員工 1 0.31 1 0.32 2 0.73 2 0.72 合計合計 326 100.00 316 100.00 273 100.00 278 100.00 報告期各期末,除少量中國臺灣籍、外籍員工自愿放棄繳納社會保險和住房公積金外,發行人不存在應為員工繳納社會保險和住房公積金而未繳的情況。此外,報告期內發行人在部分地區未設分支機構,因開展業務需要,部分員工的社會保險及住房公積金由發行人委托第三方人力資源服務機構代為繳納。報告期內,發行人沒有因違反社會保險法律法規及住房公積金法律法規而被社會保險主管部門和住房公積金主管部門處罰的情形。3、發行人勞
264、務派遣情況、發行人勞務派遣情況 由于在臺灣地區開展業務的需要,發行人與臺灣怡東人事顧問股份有限公司簽訂了人才派遣服務契約,由臺灣怡東人事顧問股份有限公司派遣員工為發行人提供勞務派遣服務。報告期各期末,發行人勞務派遣人數如下:項目項目 2021 年年 3 月末月末 2020 年末年末 2019 年末年末 2018 年末年末 勞務派遣人數 6 6 4 1 拓荊科技股份有限公司 招股說明書(上會稿)1-1-93 第六節第六節 業務與技術業務與技術 一、發行人主營業務、主要產品的基本情況一、發行人主營業務、主要產品的基本情況(一)主營業務、主要產品及收入構成(一)主營業務、主要產品及收入構成 1、主營
265、業務基本情況、主營業務基本情況 拓荊科技主要從事高端半導體專用設備的研發、生產、銷售和技術服務。公司聚焦的半導體薄膜沉積設備與光刻機、刻蝕機共同構成芯片制造三大主設備。公司主要產品包括等離子體增強化學氣相沉積(PECVD)設備、原子層沉積(ALD)設備和次常壓化學氣相沉積(SACVD)設備三個產品系列,已廣泛應用于國內晶圓廠 14nm 及以上制程集成電路制造產線,并已展開 10nm 及以下制程產品驗證測試。公司產品已廣泛用于中芯國際、華虹集團、長江存儲、長鑫存儲、廈門聯芯、燕東微電子等國內主流晶圓廠產線,打破國際廠商對國內市場的壟斷,與國際寡頭直接競爭。報告期內,公司在研產品已發往某國際領先晶
266、圓廠參與其先進制程工藝研發。公司的產品已適配國內最先進的 28/14nm 邏輯芯片、19/17nm DRAM 芯片和64/128 層 3D NAND FLASH 晶圓制造產線。其中,PECVD 設備已全面覆蓋邏輯電路、DRAM 存儲、FLASH 閃存集成電路制造各技術節點產線多種通用介質材料薄膜沉積工序,并研發了 Lok、Lok、ACHM、ADC等先進介質材料工藝,拓寬公司 PECVD 產品在晶圓制造產線薄膜沉積工序的應用。2、主要產品、主要產品 公司主要產品半導體薄膜沉積設備包括 PECVD 設備、ALD 設備及 SACVD設備三個系列。薄膜沉積設備作為集成電路晶圓制造的核心設備,沉積的薄膜
267、是芯片電路中的功能材料層。薄膜沉積是芯片制造的核心工藝環節。薄膜沉積技術是以各類適當化學反應源在外加能量(包括熱、光、等離子體等)的驅動下激活,將由此形成的原子、離子、活性反應基團等在襯底表面進行吸附,并在適當的位置發生化學反應或聚拓荊科技股份有限公司 招股說明書(上會稿)1-1-94 結,漸漸形成幾納米至幾微米不等厚度的金屬、介質、或半導體材料薄膜。芯片是微型結構體,其內部結構是 3D 立體式形態,襯底之上的微米或納米級薄膜構成了制作電路的功能材料層。薄膜沉積設備設計制造技術難度大,產業化驗證周期長。由于薄膜是芯片結構的功能材料層,在芯片完成制造、封測等工序后會留存在芯片中,薄膜的技術參數直
268、接影響芯片性能。生產中不僅需要在成膜后檢測薄膜厚度、均勻性、光學系數、機械應力及顆粒度等性能指標,還需要在完成晶圓生產流程及芯片封裝后,對最終芯片產品進行可靠性和生命周期測試,以衡量薄膜沉積設備是否最終滿足技術標準。因此,晶圓廠對薄膜沉積設備所需要的驗證時間較長。隨著集成電路制造不斷向更先進工藝發展,單位面積集成的電路規模不斷擴大,芯片內部立體結構日趨復雜,所需要的薄膜層數越來越多,對絕緣介質薄膜、導電金屬薄膜的材料種類和性能參數不斷提出新的要求。在 90nm CMOS 工藝,大約需要 40 道薄膜沉積工序。在 3nm FinFET 工藝產線,超過 100 道薄膜沉積工序,涉及的薄膜材料由 6
269、 種增加到近 20 種,對于薄膜顆粒的要求也由微米級提高到納米級。薄膜設備的發展支撐了集成電路制造工藝向更小制程發展。公司產品可以滿足下游集成電路制造客戶產線對于不同材料、不同芯片結構薄膜沉積工序的設備需求。(1)PECVD 設備 PECVD 設備系公司核心產品,是芯片制造的核心設備之一。主要功能是在將硅片控制到預定溫度后,使用射頻電磁波作為能量源在硅片上方形成低溫等離子體,通入適當的化學氣體,在等離子體的激活下,經一系列化學反應在硅片表面形成固態薄膜。相比傳統的 CVD 設備,PECVD 設備在相對較低的反應溫度下形成高致密度、高性能薄膜,不破壞已有薄膜和已形成的底層電路,實現更快的薄膜沉積
270、速度,是芯片制造薄膜沉積工藝中運用最廣泛的設備種類。拓荊科技股份有限公司 招股說明書(上會稿)1-1-95 公司是國內唯一一家產業化應用的集成電路 PECVD 設備廠商,已配適180-14nm 邏輯芯片、19/17nm DRAM 及 64/128 層 FLASH 制造工藝需求,產品能夠兼容 SiO2、SiN、SiON、BPSG、PSG、TEOS、Lok、Lok、ACHM、ADC等多種反應材料。公司已于 2018 年向某國際領先晶圓廠發貨一臺 PECVD設備用于其先進邏輯芯片制造研發產線,2020 年該廠向公司增訂了一臺 PECVD設備用于其上述先進制程試產線。公司 PECVD 設備介紹具體如下
271、:產品型號產品型號 產品圖片產品圖片 應用領域應用領域 研發研發/生產階段生產階段 12英寸PECVD設備PF-300T PF-300T型號主要應用于28nm以上邏輯芯片及FLASH、DRAM存儲芯片制造,TSV封裝和OLED制造領域??梢猿练eSiO2、SiN、SiON、BPSG、PSG、TEOS、Lok、Lok、ACHM、ADC 等介質材料薄膜。產業化 應用 12英寸PECVD設備PF-300T eX PF-300T eX 設 備 型 號 主 要 應 用 于14nm-28nm邏輯芯片及FLASH、DRAM存儲芯片制造??梢猿练eSiO2、SiN、SiON、BPSG、PSG、TEOS、Lok、L
272、ok、ACHM、ADC等介質材料薄膜。產業化 驗證 12英寸PECVD設備PF-300T pX PF-300T pX設備型號主要應用于10nm以下邏輯芯片制造??梢猿练e通用介質材料薄膜及先進介質材料薄膜。研發中 8英寸PECVD設備PF-200T PF-200T系列設備主要應用于90nm以上集成電路前道工藝及3D TSV先進封裝環節??梢猿练eSiO2、SiN、SiON、TEOS等介質材料薄膜。此設備可實現與12英寸PECVD設備兼容,具有高產能,低生產成本優勢。產業化 應用 12英寸 HTM PECVD設備NF-300H NF-300H系列設備主要應用于存儲芯片制造,目前可適用于 32-128
273、層3D NAND FLASH芯片、19nm以下DRAM芯片制造。NF-300H設備成功突破了存儲芯片制造工藝的顆粒度、均勻性、應力及產能是四大關鍵技術挑戰??梢猿练eNO stack、Thick TEOS等介質材料薄膜。產業化 驗證 拓荊科技股份有限公司 招股說明書(上會稿)1-1-96 產品型號產品型號 產品圖片產品圖片 應用領域應用領域 研發研發/生產階段生產階段 TFLITE TFLITE系列設備主要應用于LED芯片制造領域,該設備通過反應腔、沉積站的優化設計,具有高產能、高安全性優勢??梢猿练eSiO2、SiN材料薄膜。產業化 驗證 注:產業化,依據國家科技重大專項任務合同書,指設備已在晶
274、圓制造產線驗證及實現銷售。(2)ALD 設備 ALD 設備是一種可以將反應材料以單原子膜形式通過循環反應逐層沉積在基片表面,形成對復雜形貌的基底表面全覆蓋成膜的專用設備。由于 ALD 設備可以實現高深寬比、極窄溝槽開口的優異臺階覆蓋率及精確薄膜厚度控制,實現了芯片制造工藝中關鍵尺寸的精度控制,在結構復雜、薄膜厚度要求精準的先進邏輯芯片、DRAM 和 3D NAND 制造中,ALD 是必不可少的核心設備之一。ALD設備主要分為 PE-ALD 和 Thermal ALD。拓荊科技是國內領先的集成電路 ALD 設備廠商。公司的等離子體增強原子層沉積設備(PE-ALD),在公司 PECVD 設備核心技
275、術的基礎上,根據 ALD 反應原理,結合理論分析及仿真計算,對反應腔內的氣路、關鍵件、噴淋頭等進行創新設計公司的 ALD 設備可以沉積 SiO2和 SiN 材料薄膜,目前已適配 55-14nm邏輯芯片制造工藝需求。在 PE-ALD 設備成功量產基礎上,為滿足 28nm 以下芯片制造所需的 Al2O3、AlN 等金屬化合物薄膜的工藝需要,公司正在研發 Thermal ALD 設備。公司 ALD 設備介紹具體如下:產品型號產品型號 產品圖片產品圖片 應用領域應用領域 研發研發/生產生產階段階段 拓荊科技股份有限公司 招股說明書(上會稿)1-1-97 產品型號產品型號 產品圖片產品圖片 應用領域應用
276、領域 研發研發/生產生產階段階段 12英寸PEALD設備FT-300T FT-300T(PE)系列設備主要應用于邏輯芯片28-14nm納米SADP、STI Liner工藝,55-40nm BSI工藝的晶圓制造、2.5D、3D TSV先進封裝領域。FT-300T具有優異的薄膜均勻性和保形性,特別適合高深寬比晶圓孔洞的薄膜沉積??梢猿练eSiO2和SiN介質材料薄膜。產業化應用 12英寸Thermal-ALD設備FT-300T FT-300T(Thermal)系列設備主要應用于邏輯芯片28nm以下制程。FT-300T具有優異的薄膜均勻性和純度,薄膜內雜質含量少,刻蝕性能優越,同時也適合高深寬比晶圓孔
277、洞的薄膜沉積??梢猿练eAl2O3、AlN等多種金屬化合物薄膜材料。研發中 12英寸ALD設備FT-300H FT-300H系列設備主要應用于128層以上 3D NAND FLASH存儲芯片、19/17 nm DRAM存儲芯片晶圓制造,可以沉積SiO2和SiN介質材料薄膜。產業化 驗證(3)SACVD 設備 SACVD 設備的主要功能是在次常壓環境下,通過對反應腔內氣體壓力和溫度的精確控制,將氣相化學反應材料在晶圓表面沉積薄膜。SACVD 設備的高壓環境可以減小氣相化學反應材料的分子自由程,通過臭氧在高溫下產生高活性的氧自由基,增加分子之間的碰撞,實現優越的填孔(Gap fill)能力,是集成電
278、路制造的重要設備之一。拓荊科技是國內唯一一家產業化應用的集成電路 SACVD 設備廠商。公司的SACVD 設備可以沉積 BPSG、SAF 材料薄膜,適配 12 英寸 40/28nm 以及 8 英寸90nm 以上的邏輯芯片制造工藝需求。公司 SACVD 設備介紹具體如下:拓荊科技股份有限公司 招股說明書(上會稿)1-1-98 產品型號產品型號 產品圖片產品圖片 應用領域應用領域 研發研發/生產生產階段階段 12英寸SACVD設備SA-300T SA-300T設備主要應用于40-28nm制程STI、ILD工藝的晶圓制造,可以沉積BPSG、SAF等介質材料薄膜。產業化 驗證 8英寸SACVD設備SA
279、-200T SA-200T設備主要應用于90nm以上制程STI、ILD工藝的晶圓制造,可以沉積BPSG、SAF等介質材料薄膜。產業化 應用 3、主營業務收入構成、主營業務收入構成 報告期內,公司主營業務收入按產品構成情況如下:單位:萬元 類別類別 2021 年年 1-3 月月 2020 年度年度 2019 年度年度 2018 年度年度 金額金額 占比占比 金額金額 占比占比 金額金額 占比占比 金額金額 占比占比 PECVD設備 5,294.30 100%41,824.53 97.55%24,772.45 100%5,170.28 77.98%ALD 設備 0.00 0.00%184.48 0
280、.43%0.00 0.00%1,459.58 22.02%SACVD設備 0.00 0.00%867.26 2.02%0.00 0.00%0.00 0.00%合計合計 5,294.30 100%42,876.27 100%24,772.45 100%6,629.86 100%報告期內,公司 PECVD 設備收入占比較高且增長較快,為公司的主要收入來源。(二)主要經營模式(二)主要經營模式 發行人主要經營模式,詳見本招股說明書“第二節 概覽”之“四、發行人的主營業務經營情況”之“(二)主要經營模式”部分。報告期內,公司存在北京晶瑞科技有限公司和 Global fundamental limite
281、d 兩家經銷商客戶。公司采用經銷模式的背景和具體情況,詳見本節“三、發行人的銷售情況和主要客戶”之“(二)報告期內主要客戶”之“3、既有采購又有銷售的交易對手”之“(1)采購與銷售交易額均較大的交易對手”之“北京晶瑞科技有限公司和 Global fundamental limited”。報告期內,公司不同銷售模式下的主營業務收入情況,參見“第八節 財務會計信息與管理層分析”之“十一、經營成果分析”之“(二)營業收入分析”之“5、主營業務收入按銷售模式分析?!惫窘Y合國家產業政策、上下游發展情況、半導體專用設備行業自身特點、公司主營業務及公司自身研發生產能力等拓荊科技股份有限公司 招股說明書(上
282、會稿)1-1-99 因素,形成目前的經營模式。報告期內,公司經營模式的關鍵因素未發生重大變化,預計未來一定時間內公司的經營模式亦不會發生重大變化。(三三)公司設立以來主營業務、主要產品或服務、主要經營模式的演變情況公司設立以來主營業務、主要產品或服務、主要經營模式的演變情況 公司自 2010 年成立以來一直專注于半導體薄膜沉積設備業務,主要產品的演變和技術發展情況如下:(四四)主要產品的工藝流程主要產品的工藝流程 公司的三類產品均屬半導體薄膜沉積設備,其生產工藝流程亦有一定的相似性。產品工藝流程以模塊化生產為主,具有系列化、標準化、柔性化的特點。既能提高生產靈活性,縮短生產周期,也能夠快速響應
283、不同客戶不同配置的需求。具體的工藝流程如下:拓荊科技股份有限公司 招股說明書(上會稿)1-1-100 生產需求 技術評估 BOM 清單 采購訂單 方案設計 設計開發 標準件采購 非標件采購 物料入庫 生產領料 設備前端模塊 反應腔模塊 傳片腔模塊 遠程控制模塊 能量發生模塊 泵組模塊 模塊檢驗 整機組裝 整機檢驗 整機入庫 發貨驗收 新工藝新需求 拓荊科技股份有限公司 招股說明書(上會稿)1-1-101(五五)生產經營中涉及的主要環境污染物、主要處理設施及處理能力生產經營中涉及的主要環境污染物、主要處理設施及處理能力 公司高度重視環境保護的社會責任,配有完備的環保設施,配備專職環保管理人員,獲
284、得 ISO14000 認證,保證公司生產滿足環保要求。公司產品生產環節主要是外購部件進行組裝、檢測和工藝調試等,不存在重污染的情形。產品生產、研發環節中覆膜性能測試環節,會有少量廢氣、廢水、廢液等污染源產生。公司配備了尾氣處理裝置、堿洗塔、工業廢水處理裝置等環保設施,并于報告期內運行良好。對于危險廢物,公司委托有資質的第三方公司進行處理。同時,公司不斷優化新技術、改進工藝設計,積極推行清潔生產和各項環境保護制度的落實。報告期內,公司未發生過環保事故,未受到過環保處罰。二、發行人所處行業基本情況及其競爭狀況二、發行人所處行業基本情況及其競爭狀況(一一)公司所屬行業及確定所屬行業的依據公司所屬行業
285、及確定所屬行業的依據 公司所處的行業為半導體專用設備行業,根據證監會上市公司行業分類指引(2012 年修訂),公司隸屬于專用設備制造業(行業代碼:C35)。根據國民經濟行業分類(GB/T4754-2017),公司隸屬于專用設備制造業下的半導體器件專用設備制造(行業代碼:C3562)。根據國家統計局頒布的戰略性新興產業分類(2018),公司隸屬于新一代信息技術產業下的集成電路制造行業。(二二)行業主管部門、行業監管機制、行業主要法律法規政策及對發行人行業主管部門、行業監管機制、行業主要法律法規政策及對發行人經營發展的影響經營發展的影響 1、行業主管部門及監管體制、行業主管部門及監管體制 公司所處
286、的半導體設備行業政府主管部門為工信部、科技部,行業自律組織為中國半導體行業協會和中國電子專用設備工業協會。工信部主要負責擬訂實施行業規劃、產業政策和標準,監測工業行業日常運行,推動重大技術裝備發展和自主創新,管理通信業,指導推進信息化建設,協調維護國家信息安全等。拓荊科技股份有限公司 招股說明書(上會稿)1-1-102 科技部主要負責擬訂國家創新驅動發展戰略方針以及科技發展、引進國外智力規劃和政策并組織實施,牽頭科研項目資金協調、評估、監管機制,擬訂國家基礎研究規劃、政策和標準并組織實施,編制國家重大科技項目規劃并監督實施等。中國半導體行業協會和中國電子專用設備工業協會主要負責貫徹落實政府產業
287、政策;開展產業及市場研究,向會員單位和政府主管部門提供咨詢服務;行業自律管理;代表會員單位向政府部門提出產業發展建議和意見等。2、行業政策法規、行業政策法規 為推動半導體產業發展,增強產業創新能力和國際競爭力,我國近年來推出了一系列鼓勵和支持半導體產業發展的政策,為半導體產業的發展營造了良好的政策環境。主要的行業政策法規如下:政策名稱政策名稱 頒布時間頒布時間 頒布部門頒布部門 主要相關內容主要相關內容 國民經濟和社會發展第十四個五年規劃和 2035 年遠景目標綱要 2021 年 全國人大 制定實施戰略性科學計劃和科學工程,瞄準前沿領域。其中,在集成電路領域,關注集成電路設計工具、重點裝備和高
288、純靶材等關鍵材料研發、集成電路先進工藝和絕緣柵雙極型晶體管(IGBT)、微機電系統(MEMS)等特色工藝突破,先進存儲技術升級,碳化硅、氮化鎵等寬禁帶半導體發展。關于促進集成電路產業和軟件產業高質量發展企業所得稅政策的公告 2020 年 財政部、稅務總局 發展改革委、工業和信息化部 國家鼓勵的集成電路線寬小于 28 納米(含),且經營期在 15 年以上的集成電路生產企業或項目,第一年至第十年免征企業所得稅;國家鼓勵的集成電路線寬小于 65 納米(含),且經營期在 15 年以上的集成電路生產企業或項目,第一年至第五年免征企業所得稅,第六年至第十年按照 25%的法定稅率減半征收企業所得稅;國家鼓勵
289、的集成電路線寬小于 130 納米(含),且經營期在 10 年以上的集成電路生產企業或項目,第一年至第二年免征企業所得稅,第三年至第五年按照 25%的法定稅率減半征收企業所得稅。關于集成電力生產企業有關企業所得稅政策問題的通知 2018 年 財政部、稅務總局、國家發展改革委、工業和信息化部 2018 年 1 月 1 日后投資新設的集成電路線寬小于 130 納米,且經營期在 10 年以上的集成電路生產企業或項目,第一年至第二年免征企業所得稅,第三年至第五年按照 25%的法定稅率減半征收企業所得稅,并享受至期滿為止。2018 年 1 月 1 日后投資新設的集成電路線寬小于 65 納米或投資額超過 1
290、50 億元,且經營期在 15 年以上的集成電路生產企業或項目,第一年至第五年免征企業所得稅,第六年至第拓荊科技股份有限公司 招股說明書(上會稿)1-1-103 政策名稱政策名稱 頒布時間頒布時間 頒布部門頒布部門 主要相關內容主要相關內容 十年按照 25%的法定稅率減半征收企業所得稅,并享受至期滿為止。簡稱“五免五減半”。國家高新技術產業開發區“十三五”發展規劃 2017 年 科技部 優化產業結構,推進集成電路及專用裝備關鍵核心技術突破和應用。3、行業主要法律法規政策及對發行人經營發展的影響、行業主要法律法規政策及對發行人經營發展的影響 近年來,國家推出一系列財政、稅收等多方面的利好政策,為包
291、括設備在內的半導體產業的發展營造了良好的政策環境,為公司增強技術實力、擴大經營規模、提高市場占有率提供了有利支持。(三)發行人所屬行業在新技術、新產業、新業態、新模式等方面近三年(三)發行人所屬行業在新技術、新產業、新業態、新模式等方面近三年的發展情況和未來發展趨勢的發展情況和未來發展趨勢 1、半導體行業、半導體行業發展情況發展情況(1)半導體行業基本情況 半導體行業的發展水平和國家科技水平息息相關,其發展情況已成為全球各國經濟、社會發展的風向標,是衡量一個國家或地區現代化程度和綜合實力的重要標志。半導體行業產業鏈 半導體指常溫下導電性能介于導體與絕緣體之間的材料。按產品來劃分,半導體產品可分
292、為集成電路、分立器件、光電器件和傳感器,其中集成電路(integrated circuit)占 80%以上的份額,是絕大多數電子設備的核心組成部分,也是現代信息產業的基礎,下游應用最為廣泛。半導體產業鏈可按照主要生產過程進行劃分,整體可分為上游半導體支撐產業、中游晶圓制造產業、下游半導體應用產業。上游半導體材料、設備產業為中游晶圓制造產業提供必要的原材料與生產設備。半導體產品下游應用廣泛,涉及通訊技術、消費電子、工業電子、汽車電子、人工智能、物聯網、醫療、新能源、大數據等多個領域。下游應用行業的需求增長是中游晶圓制造產業快速發展的核心驅動力。拓荊科技股份有限公司 招股說明書(上會稿)1-1-1
293、04 半導體產業鏈圖半導體產業鏈圖 集成電路行業產業鏈 集成電路是半導體行業最重要的構成部分。集成電路是一種微型電子器件,一般是在單晶硅晶圓表面采用一系列氧化/擴散、薄膜沉積、光刻、刻蝕、離子注入、CMP 及金屬化等晶圓制造工藝流程,把一個電路中所需的晶體管、電阻、電容和電感等元件及布線互連在一起,制作在半導體晶片或介質基片上,然后封裝在一個管殼內,成為具有所需電路功能的微型結構。集成電路產業上游包括集成電路材料、集成電路設備、EDA、IP 核,中游包括設計、制造、封測三大環節,下游主要為終端產品的應用。(2)全球半導體行業發展情況及特點 市場規模穩步增長 根據 Gartner 的統計結果,全
294、球半導體行業銷售收入 2016 年至 2018 年一直保持增長趨勢,復合增長率達 17.34%。2019 年受全球宏觀經濟低迷影響,半導體行業景氣度有所下降。2020 年全球半導體收入恢復增長至 4,498.0 億美元,比2019 年增長 7.3%。半導體產業協會(SIA)的數據顯示,2021 年第一季度,全球半導體營收達到 1,231 億美元,超過了 2018 年第三季度的 1,227 億美元,創下單季度歷史新高。光電子 傳感器 分立器件 產品 半導體材料 半導體設備 芯片制造 芯片封測 集成電路 中游中游 半導體制造產業半導體制造產業 上游上游 半導體支撐產業半導體支撐產業 下游下游 半導
295、體應用產業半導體應用產業 IC 設計 通訊技術 消費電子 工業電子 汽車電子 人工智能 物聯網 新能源 醫療 大數據 光掩膜 電子特種氣體 拋光材料 濕電子化學品 封裝材料 濺射靶材 硅片 光刻膠 刻蝕設備 清洗設備 離子注入設備 薄膜沉積設備 檢測設備 機械拋光設備 擴散設備 光刻設備 拓荊科技股份有限公司 招股說明書(上會稿)1-1-105 全球半導體行業銷售收入全球半導體行業銷售收入 資料來源:Gartner 從地區發展來看,根據 SIA 2020 年數據顯示,亞太地區是全球最大的半導體消費市場,2019 年銷售額占比 62.50%,其中中國大陸市場占據全球 35.00%市場;美國為全球
296、半導體消費第二大市場,占比約為 19.10%;歐洲及日本市場份額分列為 9.70%和 8.70%。一超三強格局 目前集成電路產業世界格局呈現出一超三強的狀態。其中,美國產業鏈完善度、企業競爭力全面領先,其先進工藝、設計、設備和 EDA 工具最為突出;日本依靠半導體材料占據一強席位,不過總體競爭力呈下降態勢;韓國通過存儲器的發展,拉動了技術水平的突飛猛進,并拓展到代工領域,提高其全產業鏈的競爭力;中國臺灣地區在集成電路制造及封裝領域居于世界前列,“專業代工模式”成為其在芯片領域中的核心競爭力。中國大陸處于美國和日本、韓國、中國臺灣之后的第三層級。(3)中國半導體行業發展情況 行業整體蓬勃發展 2
297、010-2020 年,中國半導體行業銷售額持續增長,十年復合增長率達 19.91%。據中國半導體行業協會統計,2020 年中國集成電路產業銷售額為 8,848 億元,同比增長 17%。拓荊科技股份有限公司 招股說明書(上會稿)1-1-106 中國集成電路行業銷售收中國集成電路行業銷售收入入 資料來源:中國半導體行業協會 中國是全球最大的半導體消費市場,同時也是全球最大的半導體進口國,龐大的市場需求為集成電路產業發展提供了前提。2010 年以來,中國逐步承接了半導體封測和晶圓制造業務并建立起初具規模的半導體設計行業生態,完成了半導體產業的原始積累,初步完成產業鏈布局。部分環節進口依賴 中國半導體
298、產業技術水平與國際頂尖水平存在差距。在半導體設備方面,中國本土半導體設備廠商只占全球份額的 1-2%;關鍵領域如光刻、薄膜沉積、刻蝕、離子注入等,仍與海外廠商存在差距;半導體設備自給率低,需求缺口較大,先進制程和先進工藝設備仍需攻克。(4)半導體行業發展趨勢 下游應用需求持續增長 半導體行業每一次進入上升周期都是由下游需求驅動。近年來,下游產業新技術、新產品快速發展,正迎來市場快速增長期。5G 手機、新能源汽車、工業電子等包含的半導體產品數量較傳統產品大比例提高;人工智能、可穿戴設備和物聯網等新業態的出現,對于半導體產品產生了新需求。據 Gartner 預測,2022年全球半導體市場規模將達到
299、 5,426.40 億美元。芯片產能全球短缺 2020 年以來,受到居家經濟的影響,全球范圍內人們工作生活線上化比例拓荊科技股份有限公司 招股說明書(上會稿)1-1-107 逐步提高,催生對于各類電子產品需求大幅增長。此外,受到海外疫情影響,國際半導體晶圓制造、封裝廠商產能水平較不穩定,進一步加劇了芯片產品的供需矛盾。根據 Strategy Analytics 報告,目前全球芯片短缺情況將會持續至 2022 年到 2023 年。晶圓廠擴產 為應對芯片短缺的市場需求,全球多個晶圓廠計劃漲價或擴產。晶圓廠的產能擴張將帶動半導體材料、設備以及芯片制造整個產業鏈的收入增長。全球主要晶圓廠資本開支及產能
300、建設大幅增長。中國大陸成為晶圓制造產業重心 中國大陸正在成為全球半導體產能第三次擴張的重要目的地。隨著晶圓廠產能緊缺,大陸晶圓代工廠中芯國際、華虹集團,中國臺灣晶圓代工廠臺積電、聯電、晶合等晶圓廠接連在大陸擴產、建廠,加速國內半導體產業發展和布局。各類半導體軟件、材料、設備均有望實現快速增長。2、半導體設備行業、半導體設備行業發展情況發展情況(1)半導體設備行業基本情況 半導體行業的基礎支撐 半導體產業的發展衍生出巨大的半導體設備市場,主要包括光刻機、刻蝕機、薄膜沉積設備、離子注入機、測試機、分選機、探針臺等設備,屬于半導體行業產業鏈的技術先導者。應用于集成電路領域的設備通??煞譃榍暗拦に囋O備
301、(晶圓制造)和后道工藝設備(封裝測試)兩大類。其中,晶圓制造設備的市場規模占集成電路設備整體市場規模的 80%以上。在前道晶圓制造中,共有七大工藝步驟,分別為氧化/擴散、光刻、刻蝕、薄膜生長、離子注入、清洗與拋光、金屬化,所對應的設備主要包括氧化/擴散設備、光刻設備、刻蝕設備、薄膜沉積設備、離子注入設備、清洗設備、機械拋光設備等,其中光刻設備、刻蝕設備、薄膜沉積設備是集成電路前道生產工藝中的三大核心設備。拓荊科技股份有限公司 招股說明書(上會稿)1-1-108 集成電路前道制造工藝流程主要設備集成電路前道制造工藝流程主要設備 資料來源:國元證券 驗證壁壘高 半導體行業客戶對半導體設備的質量、技
302、術參數、運行穩定性等有嚴苛的要求,對新設備供應商的選擇也較為慎重。因此,半導體設備企業在客戶驗證、開拓市場方面周期長、難度大,使得該行業具有高驗證壁壘的特點。投資占比高 半導體設備系晶圓廠建設中的重要投資方向,晶圓廠 80%的投資用于購買晶圓制造相關設備。技術更新快 半導體行業通常是“一代產品、一代工藝、一代設備”,晶圓制造要超前下游應用開發新一代工藝,而半導體設備要超前晶圓制造開發新一代設備。半導體行業同時也遵循著摩爾定律。因此,半導體設備供應商必須每隔 18-24 個月推出更先進的制造工藝,不斷追求技術革新,也推動了半導體行業的持續快速發展。(2)全球半導體設備行業發展情況及特點 增速明顯
303、 據 SEMI 統計,2014 年全球半導體設備銷售規模為 375 億美元,2020 年全球半導體設備銷售額達 712 億美元,年均復合增長率達 11.28%。2020 年,行業同比增長達 19%。前道工藝 氧化爐 RTP 設備 激光退火 CVD 設備 PVD 設備 ALD 設備 氣相外延爐 涂膠/顯影 光刻機 擴散 CMP 金屬化 薄膜沉積 光刻 刻蝕 離子注入 等離子體刻蝕 等離子去膠機 濕法刻蝕設備 CMP 設備 刷片機 等離子去膠機 離子注入機 PVD 設備 CVD 設備 電鍍設備 拓荊科技股份有限公司 招股說明書(上會稿)1-1-109 2010-2020 年全球半導體設備銷售額年全
304、球半導體設備銷售額 數據來源:SEMI 高壁壘及寡頭格局 全球半導體設備市場目前主要由國外廠商主導。以美國的應用材料(AMAT)和泛林半導體(Lam),日本的東京電子(TEL)和迪恩仕(DNS),荷蘭的ASML(ASML Holding N.V.)和先晶半導體(ASMI)等為代表的國際知名企業經過幾十年發展,憑借資金、技術、客戶資源、品牌等方面的優勢,占據了全球半導體設備市場的大部分份額。(3)中國半導體設備行業發展情況 已成為全球最大市場 我國已成為全球半導體產業市場規模最大的地區,約占全球 35%的市場份額。半導體設備行業在下游快速發展的推動下,保持快速增長。根據 SEMI 統計,2020
305、 年中國大陸地區半導體設備銷售規模達 187.2 億美元,同比增長 39%,首次超過中國臺灣地區,成為全球第一大半導體設備市場。2012-2020 年年中國中國大陸半導體設備銷售額大陸半導體設備銷售額(億美元)(億美元)拓荊科技股份有限公司 招股說明書(上會稿)1-1-110 數據來源:SEMI 全行業景氣度提高 伴隨著國家近年對半導體產業不斷的政策扶持、加大投入力度及部分民營企業的興起,國產半導體設備實現了從無到有、從弱到強的巨大轉變,使我國半導體產業生態和制造體系得以不斷完善。中芯國際、華虹集團等晶圓廠已在 28nm工藝節點上成功取得量產逐步發展 14nm 及以下制程工藝,逐漸拉近與國際制
306、造巨頭的技術差距;長江存儲、長鑫存儲等 IDM 模式存儲芯片廠商已完成產線建設和晶圓投產,進入產能擴張期。較為完備的產業生態和行業下游的快速發展,為國內半導體設備企業技術水平和產業規模提高奠定基礎。國產設備自給率較低 目前,我國半導體設備整體仍依賴進口。根據中國電子專用設備工業協會數據統計,2020 年國產半導體設備銷售額約為 213 億元,自給率約為 17.5%。如僅考慮集成電路設備,國內自給率僅有 5%左右,在全球市場僅占 1-2%,技術含量最高的集成電路前道設備則自給率更低。半導體設備嚴重依賴進口不僅影響我國半導體產業的發展,更對我國信息產業安全造成重大隱患。半導體制造國產化勢必帶動設備
307、國產化,國產設備進口替代趨勢明顯,替代空間巨大。國產半導體裝備產業銷售額國產半導體裝備產業銷售額(億元)(億元)數據來源:中國電子專用設備工業協會(4)國內半導體設備行業發展情況 市場空間巨大 中國大陸晶圓廠新建產能進程加快,2019 年以來,華虹半導體(無錫)項拓荊科技股份有限公司 招股說明書(上會稿)1-1-111 目、廣州粵芯半導體項目、長鑫存儲 DRAM 項目均正式投產。2020 年以來,國內包括長江存儲、廣州粵芯、上海積塔、中芯南方、士蘭微(廈門)、廣東海芯項目等產線也取得新進展。半導體行業整體快速增長,終端半導體產品的不斷迭代推動晶圓廠開發新的工藝,為設備行業提供廣闊的市場空間。目
308、前我國半導體設備市場仍嚴重依賴進口,因此能夠實現進口替代的國內半導體設備廠商市場空間較大,并迎來巨大的成長機遇。下游產業友好度提升 下游晶圓廠對于國產半導體設備的友好度日漸提升。近年來,由于國際形勢日漸復雜,半導體產業供應鏈出現非商業因素的干擾,國內晶圓廠采購半導體設備受到一定程度限制,影響企業正常的生產經營。此外,國家通過政策支持、重大科技項目引導、產業基金投資等多種方式,鼓勵半導體設備廠商與晶圓廠協同發展,共同構建本地產業鏈合作。半導體設備廠商逐步獲得進入下游晶圓廠產線進行設備驗證的機會,及時掌握晶圓廠的技術需求,有針對性的對設備進行研發、升級,產品技術性能及市場占有率均得到大幅提高。3、
309、發行人細分發行人細分行業發展情況行業發展情況(1)發行人細分行業基本情況 薄膜沉積設備分類 薄膜沉積是指在硅片襯底上沉積一層待處理的薄膜材料。所沉積薄膜材料可以是二氧化硅、氮化硅、多晶硅等非金屬以及銅等金屬。薄膜沉積設備主要負責各個步驟當中的介質層與金屬層的沉積,包括 CVD(化學氣相沉積)設備、PVD(物理氣相沉積)設備/電鍍設備和 ALD(原子層沉積)設備。拓荊科技股份有限公司 招股說明書(上會稿)1-1-112 PVD、CVD 及及 ALD 成膜效果簡示成膜效果簡示 資料來源:Characterization of Atomic Layer Deposited Thin Films:Co
310、nformality in High Aspect Ratio Pores and the Electrical Properties 化學氣相沉積(CVD)設備 化學氣相沉積是通過化學反應的方式,利用加熱、等離子或光輻射等各種能源,在反應器內使氣態或蒸汽狀態的化學物質在氣相或氣固界面上經化學反應形成固態沉積物的技術,是一種通過氣體混合的化學反應在硅片表面沉積薄膜的工藝,可應用于絕緣薄膜、硬掩模層以及金屬膜層的沉積。CVD 設備由氣相反應室(進氣方向與樣品表面成水平或垂直),能量系統(加熱或射頻),反應氣體控制系統,真空系統及廢氣處理裝置等組成。硅片的表面及鄰近區域被加熱來向反應系統提供附加的
311、能量。常用 CVD 設備包括PECVD、SACVD、APCVD、LPCVD 等,適用于不同工藝節點對膜質量、厚度以及孔隙溝槽填充能力等的不同要求。常壓化學氣相沉積(APCVD)是最早的 CVD 設備,結構簡單、沉積速率高,至今仍廣泛應用于工業生產中。低壓化學氣相沉積(LPCVD)是在 APCVD 的基礎上發展起來的,由于其工作壓力大大降低,薄膜的均勻性和溝槽覆蓋填充能力有所改善,相比 APCVD 的應用更為廣泛。等離子體增強化學氣相沉積設備(PECVD)在從亞微米發展到 90nm 的 IC 制造技術過程中,扮演了重要的角色,由于等離子體的作用,化學反應溫度明顯降低,薄膜純度得到提高,致密度得以
312、加強,不傷害芯片已完成的電路。次常壓化學氣相沉積(SACVD)主要應用于溝槽填充工藝。集成電路結構中,溝槽孔洞的深寬比越來越大,SACVD 反應腔環境具有特有的高溫(400-550)、高壓(30-600Torr)環境,具有快速填空(Gap 拓荊科技股份有限公司 招股說明書(上會稿)1-1-113 fill)能力。原子層沉積設備(ALD)原子層沉積可以將物質以單原子膜形式一層一層地鍍在基底表面的方法。從原理上說,ALD 是通過化學反應得到生成物,但在沉積反應原理、沉積反應條件的要求和沉積層的質量上都與傳統的 CVD 不同。相對于傳統的沉積工藝而言,ALD 工藝具有自限制生長的特點,可精確控制薄膜
313、的厚度,制備的薄膜具有均勻的厚度和優異的一致性,臺階覆蓋率高,特別適合深槽結構中的薄膜生長。ALD 設備沉積的薄膜具有非常精確的膜厚控制和非常優越的臺階覆蓋率,在 28nm 以下關鍵尺寸縮小的雙曝光工藝方面取得了越來越廣泛的應用。目前,28nm 以下先進制程的 FinFET 制造工藝中,難點在于形成 Fin 的形狀,Fin 的有源區并不是通過光刻直接形成的,而是通過自對準雙重成像技術(SADP,Self-Aligned Double Patterning)工藝形成。SADP 工藝流程圖工藝流程圖 資料來源:集成電路制造工藝與工程應用 ALD 所沉積的 Spacer 材料的寬度即決定了 Fin
314、的寬度,是制約邏輯芯片制程先進程度的核心因素之一。除此之外,ALD 設備在高 k 材料、金屬柵、STI、BSI 等工藝中均存在大量應用,廣泛應用于 CMOS 器件、存儲芯片、TSV 封裝等半導體制造領域。(2)發行人細分行業市場發展情況 薄膜沉積設備市場規模 拓荊科技股份有限公司 招股說明書(上會稿)1-1-114 根據 Maximize Market Research 數據統計,2017-2019 年全球半導體薄膜沉積設備市場規模分別為 125 億美元、145 億美元和 155 億美元,2020 年擴大至約172 億美元,年復合增長率為 11.2%。全球半導體薄膜沉積設備市場規模全球半導體薄
315、膜沉積設備市場規模 資料來源:Maximize Market Research 新建晶圓廠設備投資中,晶圓制造相關設備投資額占比約為總體設備投資的80%,薄膜沉積設備作為晶圓制造的三大主設備之一,其投資規模占晶圓制造設備總投資的 25%。半導體設備投資占比情況半導體設備投資占比情況 來源:SEMI 薄膜沉積工藝的不斷發展,形成了較為固定的工藝流程,同時也根據不同的應用演化出了 PECVD、濺射 PVD、ALD、LPCVD 等不同的設備用于晶圓制造的不同工藝。其中,PECVD 是薄膜設備中占比最高的設備類型,占整體薄膜沉積設備市場的 33%;ALD 設備目前占據薄膜沉積設備市場的 11%;SAC
316、VD 是新興的設備類型,屬于其他薄膜沉積設備類目下的產品,占比較小。在整個薄膜沉積設備市場,屬于 PVD 的濺射 PVD 和電鍍 ECD 合計占有整體市場的 23%。拓荊科技股份有限公司 招股說明書(上會稿)1-1-115 各類薄膜沉積設備占比各類薄膜沉積設備占比 資料來源:Gartner,廣發證券 近年來全球 ALD 設備市場規??焖僭鲩L。根據市場調查機構 Acumen research and condulting 預測,由于半導體先進制程產線數量增加,2026 年全球ALD 設備市場規模約為 32 億美元。根據國內半導體設備市場占全球市場 35%的比例和 2020 年全球薄膜沉積設備 1
317、72 億美元市場規模測算,2020 年國內薄膜沉積設備市場規模約為 60.2 億美元。PECVD 和 ALD 分別占薄膜沉積設備市場比例為 33%和 11%,2020 年國內市場 PECVD 市場規模約為 19.87 億美元,ALD 市場規模約為 6.62 億美元。市場競爭態勢 從全球市場份額來看,薄膜沉積設備行業呈現出高度壟斷的競爭局面,行業基本由應用材料(AMAT)、ASMI、泛林半導體(Lam)、東京電子(TEL)等國際巨頭壟斷。2019 年,ALD 設備龍頭東京電子(TEL)和先晶半導體(ASMI)分別占據了 31%和 29%的市場份額,剩下 40%的份額由其他廠商占據;而應用材料(A
318、MAT)則基本壟斷了 PVD 市場,占 85%的比重,處于絕對龍頭地位;在 CVD 市場中,應用材料(AMAT)全球占比約為 30%,連同泛林半導體(Lam)的 21%和 TEL 的 19%,三大廠商占據了全球 70%的市場份額。拓荊科技股份有限公司 招股說明書(上會稿)1-1-116 資料來源:Gartner、華創證券(3)發行人細分行業發展趨勢 薄膜沉積設備市場需求穩步增長 隨著半導體行業整體景氣度的提升,全球半導體設備市場呈現快速增長態勢,拉動市場對薄膜沉積設備需求的增加。Maximize Market Research 預計全球半導體薄膜沉積設備市場規模在 2025 年將從 2020
319、年的 172 億美元擴大至 340億美元,保持年復合 13.3%的增長速度。根據中國國際招投標網公布的信息,長江存儲、上海華力、華虹無錫、上海積塔、中芯紹興、合肥晶合等中國本土晶圓廠正在加大設備采購力度。中國本土晶圓廠建廠的熱潮將一同引領中國半導體薄膜沉積設備的需求增長。芯片工藝進步及結構復雜化提高薄膜設備需求 在晶圓制造過程中,薄膜起到產生導電層或絕緣層、阻擋污染物和雜質滲透、提高吸光率、臨時阻擋刻蝕等重要作用。隨著集成電路的持續發展,晶圓制造工藝不斷走向精密化,芯片結構的復雜度也不斷提高,需要在更微小的線寬上制造,制造商要求制備的薄膜品種隨之增加,最終用戶對薄膜性能的要求也日益提高。這一趨
320、勢對薄膜沉積設備產生了更高的技術要求,市場對于高性能薄膜設備的依賴逐漸增加。先進產線對薄膜設備需求量陡增 隨著產線的逐漸升級,晶圓廠對薄膜沉積設備數量和性能的需求將繼續隨之提升,在實現相同芯片制造產能的情況下,對薄膜沉積設備的需求量也將相應增加。拓荊科技股份有限公司 招股說明書(上會稿)1-1-117 不同不同制程邏輯芯片制程邏輯芯片產線薄膜沉積設備需求量產線薄膜沉積設備需求量 晶圓產線晶圓產線 設備種類設備種類 所需設備數量(臺)所需設備數量(臺)/萬片月產能萬片月產能 中芯國際 180nm 8 寸晶圓產線 CVD 9.9 PVD 4.8 中芯國際 90nm 12 寸晶圓產線 CVD 42
321、PVD 24 資料來源:長江證券研究所 對比兩種產線的設備需求數量,總體上看,越先進制程產線所需的薄膜沉積設備數量越多。先進制程使得晶圓制造的復雜度和工序量都大大提升,為保證產能,產線上需要更多的設備。在 FLASH 存儲芯片領域,隨著主流制造工藝已由 2D NAND 發展為 3D NAND 結構,結構的復雜化導致對于薄膜沉積設備的需求量逐步增加。根據東京電子披露,薄膜沉積設備占 FLASH 芯片產線資本開支比例從 2D 時代的 18%增長至 3D 時代的 26%。隨著 3D NAND FLASH 芯片的內部層數不斷增高,對于薄膜沉積設備的需求提升的趨勢亦將延續。2D NAND 與與 3D N
322、AND 結構簡圖結構簡圖 資料來源:SEMI,廣發證券 盡管全球半導體設備市場有較強的周期性,但中國大陸半導體產業正面臨前所未有的發展機遇,國家戰略聚焦,巨大市場支撐,產業鏈良性互動,產業資本日漸發力,大陸及國際資本投資的晶圓廠數量不斷增加,制程更加先進,中國薄膜沉積設備行業將保持高成長性,未來中國市場的重要性將進一步提高。(四四)發行人的技術水平及特點,取得的科技成果與產業深度融合的具體發行人的技術水平及特點,取得的科技成果與產業深度融合的具體情況情況 拓荊科技股份有限公司 招股說明書(上會稿)1-1-118 1、發行人的技術水平及特點、發行人的技術水平及特點 公司自成立以來,始終專注于半導
323、體薄膜沉積設備的研發。公司先后承擔“90-65nm 等離子體增強化學氣相沉積設備研發與應用”、“1x nm 3D NAND PECVD 研發及產業化”等四項國家重大科技專項/課題。公司的先進薄膜工藝設備設計技術、反應模塊架構布局技術、半導體制造系統高產能平臺技術、等離子體穩定控制技術、反應腔腔內關鍵件設計技術、半導體沉積設備氣體輸運控制系統、氣體高速轉換系統設計技術、反應腔溫度控制技術等核心技術,解決了半導體制造中納米級厚度薄膜均勻一致性、薄膜表面顆粒數量少、快速成膜、設備產能穩定高速等關鍵難題,在保證實現薄膜工藝性能的同時,提升客戶產線的產能,減少客戶產線的生產成本。2、發行人技術成果與產業
324、深度融合、發行人技術成果與產業深度融合 公司研發的 PECVD、ALD 及 SACVD 設備系列化產品已累計發貨超 150 臺,在集成電路制造及相關領域實現產業深度融合。發行人系列設備在邏輯芯片、DRAM 芯片及 3D NAND FLASH 芯片中的應用圖示如下:邏輯芯片應用邏輯芯片應用圖示圖示 3D NAND 應用圖示應用圖示 DRAM 應用圖示應用圖示 拓荊科技股份有限公司 招股說明書(上會稿)1-1-119 發行人系列設備與產業深度融合的具體情況如下:(1)PECVD 設備 PECVD 設備系集成電路制造的核心設備,一顆芯片的制造過程中,涉及十余種不同材料的薄膜,各類電性能、機械性能不同
325、的薄膜構成了芯片 3D 結構體中不同的功能。發行人針對下游對于不同材料薄膜 PECVD 設備的需求,已研發并生產 16 種不同工藝型號的 PECVD 設備,具體產業化應用情況如下:設備類型設備類型 應用領域應用領域 工藝領域工藝領域 產業應用情況產業應用情況 SiO2工藝PECVD 邏輯芯片制造 前/后段介質層(Inter Layer Dielectric,Inter Metal Dielectric)130-28nm 邏輯產業化應用;14nm及 10nm 以下邏輯產業化驗證中 后段鈍化層(Passivation Layer)后段刻蝕硬掩模工藝(Etch hardmask)SiN 工藝PECV
326、D 邏輯芯片制造、DRAM 制造、FLASH 制造 后段刻蝕阻擋層工藝(Etch Stop Layer)130-28nm 邏輯、64層 FLASH 產業化應用;14nm 邏輯、128 層 FLASH、19/17DRAM 產業化驗證中 后段介質層(Inter Metal Dielectric)后段鈍化層(Passivation Layer)先進封裝 后段封裝領域介質層及鈍化層 2.5D、3D 封裝產業化應用 SiON工藝PECVD 邏輯芯片制造、DRAM 制造、FLASH 制造 前后段介電抗反射涂層(DARC,Dielectric anti-reflective coating layer)13
327、0-28nm 邏輯、64層 FLASH 產業化應用;14nm 邏輯、128 層 FLASH、19/17nm DRAM產業化驗證中 BPSG 工藝PECVD 邏輯芯片制造 前/后段介質層(Inter Layer Dielectric,Inter Metal Dielectric)130-28nm 邏輯產業化應用 后段鈍化層(Passivation Layer)TEOS 工藝PECVD 邏輯芯片制造、DRAM 制造、FLASH 制造 前/后段介質層(Inter Layer Dielectric,Inter Metal Dielectric)130-28nm 邏輯、64層 FLASH 產業化應用;1
328、4nm 邏輯、128 層 FLASH、19/17nm DRAM產業化驗證中 后段鈍化層(Passivation Layer)后段刻蝕硬掩模工藝(Etch hardmask)先進封裝 后段封裝領域介質層及鈍化層 2.5D、3D 封裝產業化應用 SiOC 工藝PECVD 邏輯芯片制造 前后段介電抗反射涂層(NFDARC,Nitrogen free dielectricanti-reflective coating layer)65-28nm 產業化應用 FSG 工藝PECVD 邏輯芯片制造 后段低介電常數介質層(Inter Metal Dielectric)130-65nm 產業化應用 拓荊科技股
329、份有限公司 招股說明書(上會稿)1-1-120 設備類型設備類型 應用領域應用領域 工藝領域工藝領域 產業應用情況產業應用情況 HSN 工藝PECVD 邏輯芯片制造 前段應力記憶層(Stress Memorization Technique Layer)40-28nm 產業化應用 PSG 工藝PECVD 邏輯芯片制造 后段鈍化層(Passivation Layer)130-28nm 產業化應用 Lok I 工藝PECVD 邏輯芯片制造 后段層間介質層(BEOL IMD)40nm 產業化應用 LokII工藝PECVD 邏輯芯片制造 后段層間介質層(BEOL IMD)28nm 產業化驗證中 ACH
330、M 工藝PECVD 邏輯芯片制造 前段刻蝕硬掩模工藝(FEOL etch hardmask)28nm 產業化驗證中 DRAM 制造 前段刻蝕硬掩模工藝(FEOL etch hardmask)19/17 nm 產業化驗證中 FLASH 制造 后段刻蝕硬掩模工藝(BEOL etch hardmask)64 層產業化應用;128 層產業化驗證中 ADC I 工藝PECVD 邏輯芯片制造 后段刻蝕阻擋層/擴散阻擋層(etch stop layer/barrier layer)40-28nm 產業化驗證中 Thick TEOS 工藝 3D NAND PECVD DRAM 制造 RDL DRAM 后段介質
331、層 19/17nm 產業化驗證中 先進封裝 2.5D interposer Cap layer 后段介質層 2.5D 封裝產業化驗證中 NO stack 工藝 3D NAND PECVD FLASH 制造 Flash memory 前段介質層 128 層產業化驗證中 TFLITE LED 器件制造 前段介質膜鈍化保護層工藝(Dielectric/Passivation)4/6 英寸產業化應用 Patterned Sapphire Substrate 掩模光學反射層工藝(Hard Mask/Optical film)(2)ALD 設備 ALD 設備具備優秀的多面體表面成膜能力,可以滿足復雜結構體
332、的鍍膜需求,在先進制程集成電路制造工序中應用廣泛。發行人已量產了 PE-ALD,正在研發 Thermal-ALD 設備,具體產業化應用情況如下。設備類型設備類型 應用領域應用領域 工藝領域工藝領域 產業應用情況產業應用情況 SiO2工藝 PE-ALD 設備 邏輯芯片制造 SADP 工藝 和 STI liner 工藝 28-14 納米產業化應用 先進封裝 TSV 工藝 2.5D、3D 封裝 CMOS 器件 BSI 工藝-(3)SACVD 設備 SACVD 設備具有能夠填平溝槽孔洞結構至平整的能力和沉積速度快的特拓荊科技股份有限公司 招股說明書(上會稿)1-1-121 點,系集成電路制造中的重要設
333、備。發行人研發生產了多種不同工藝的 SACVD設備,具體產業化應用情況如下。設備類型設備類型 應用領域應用領域 工藝領域工藝領域 產業應用情況產業應用情況 BPSG 工藝SACVD 設備 邏輯芯片制造 金屬前絕緣層 130-90nm 產業化應用 DRAM 制造 刻蝕調節層 19/17 納米產業化驗證中 SAF 工藝SACVD 設備 邏輯芯片制造 金屬前絕緣層和淺槽隔離 65-28nm,及 130nm 以上產業化驗證中 SA TEOS 工藝SACVD 設備 邏輯芯片制造 SAB CAP SMT CAP 40-28nm,130nm 以上產業化驗證中(五五)發行人產品或服務的市場地位及行業內主要企業發行人產品或服務的市場地位及行業內主要企業 1、發行人產品或服務的市場地位、發行人產品或服務的市場地位 拓荊科技是國內半導體設備行業重要的領軍企業之一,公司三次(2016 年、2017 年、2019