《2023光刻機行業競爭格局、發展趨勢、國內供應鏈相關公司及國外龍頭ASML分析報告(120頁).pdf》由會員分享,可在線閱讀,更多相關《2023光刻機行業競爭格局、發展趨勢、國內供應鏈相關公司及國外龍頭ASML分析報告(120頁).pdf(120頁珍藏版)》請在三個皮匠報告上搜索。
1、2 0 2 3 年深度行業分析研究報告第一部分:半導體工藝及光刻簡介第二部分:光刻機及其子系統工作原理第三部分:光刻機競爭格局與行業發展趨勢第四部分:他山之石ASML光刻巨頭崛起之路第五部分:投資建議及國產光刻機供應鏈相關公司目錄31.1 晶圓制造及光刻工藝流程1.1.1 光刻工藝在產業鏈中的位置半導體芯片生產主要分為IC設計、IC制造、IC封測三大環節。核心IC制造環節是將芯片電路圖從掩膜轉移至硅片上,并實現對應功能的過程,包括光刻、刻蝕、離子注入、薄膜沉積、化學機械研磨等步驟。拋光電路布圖光刻去膠、清洗擴散、離子注入、退火薄膜沉積功能實現光掩膜制作顯影刻蝕基底化合物光刻膠掩膜基底化合物光刻
2、膠n+n+P+P+n+n+P+P+n+n+P+P+紫外光透過掩膜版照射到晶圓表面,掩膜版透明區域所對應的光刻膠部分轉變為可溶性物質。曝光后,在晶圓表面噴涂化學顯影劑,曝光區域的光刻膠被溶解。將顯影后暴露出來的氧化層去除掉,使得下面的硅晶體表面露出來??涛g分為濕法刻蝕和干法刻蝕。溶解光刻膠??涛g工藝會引入金屬污染,可通過使金屬原子氧化變成可溶性離子來清洗硅片。通過改變摻雜物的濃度以提高電學性能,有兩種方式:擴散:高溫下雜質原子從高濃度向低濃度區域擴散;離子注入:使用各種離子化學雜質轟擊晶圓表面暴露區。通過物理氣相沉積或化學氣相沉積,在晶圓上生長出導電薄膜層和絕緣薄膜層。通過化學腐蝕與機械研磨,實
3、現晶圓表面多余材料的去除與全局納米級平坦化。工藝流程工藝原理工藝設備光刻機涂膠顯影設備刻蝕機清洗機拋光機離子注入機擴散爐退火爐資料來源:晶瑞股份招股說明書,中芯國際招股說明書,華福證券研究所整理圖表1:半導體芯片生產工藝流程圖41.1 晶圓制造及光刻工藝流程1.1.2 光刻工藝流程及原理光刻是IC制造的核心環節,也是整個IC制造中最復雜、最關鍵的工藝步驟,是集成電路制造工藝發展的驅動力。光刻的本質是把電路結構圖“復制”到硅片上的光刻膠上。一般的光刻工藝要經歷八道工序:氣相成底膜、旋轉涂膠、軟烘、對準曝光、后烘、顯影、堅膜烘焙和檢測。氣相成底膜首先用六甲基二硅胺烷在硅片上形成底膜,該底膜使硅片表
4、面疏離水分子,同時增強對光刻膠的結合力。旋轉涂膠在硅片表面分滴光刻膠,隨后承托硅片的吸盤旋轉,光刻膠借助離心作用伸展到整個硅片表面,在硅片上得到均勻的光刻膠膠膜覆蓋層。軟烘隨之對硅片進行軟烘,將光刻膠中殘余的溶劑含量從20-40%減少到3-8%,提高光刻膠的粘附性和均勻性。對準曝光硅片與石英掩膜對準并聚焦后,使用紫外光照射,未受掩膜遮擋部分的光刻膠發生光化學反應,轉化成一種易溶于水基堿性顯影液的羧基酸。實現電路圖從掩膜到硅片上的轉移。后烘顯影對曝光后的晶圓進行第二次烘烤,高溫烘焙引起的擴散使光刻膠變得更平坦,光化學反應更充分。使用化學顯影液溶解由曝光造成的光刻膠可溶解區域,從而露出下面的硅片,
5、使掩膜上的圖形被存留在光刻膠上。堅膜烘培顯影后熱烘溫度比軟烘高,但不高于光刻膠的玻璃轉變溫度,否則光刻膠會軟化。目的是蒸發剩余的溶劑使光刻膠變硬,提高光刻膠的粘附性。清洗和檢測最后將硅片清洗干凈,并對芯片結構、電學性能和可靠性等進行測試和評估。資料來源:NSCN,半導體集成電路制造手冊,華福證券研究所整理圖表2:光刻工藝流程圖51.2 光刻機在晶圓制造中的重要地位1.2.1 光刻工藝核心設備,成本昂貴技術復雜光刻工藝是芯片生產流程中最復雜、最關鍵的步驟,而光刻機是光刻工藝的核心設備,其重要性源于三個方面:第一,光刻機價值量位列IC制造設備的榜首;第二,光刻機凝聚眾多頂尖技術,壁壘極高;第三,光
6、刻機定義集成電路尺寸,推動摩爾定律前進。從成本上看,在整個集成電路的制造過程中,往往需要進行2030次光刻工序,所以光刻一般會耗費總成本的30%,耗費時間約占整個硅片工藝的40%-60%。而光刻機在所有IC制造設備中同樣位居價值量榜首,據Utmel Electronic數據顯示,光刻機投資額占全部IC制造設備的25%。從技術上看,光刻機是所有半導體制造設備中技術含量最高的設備,是現代工業的集大成者。光刻機集合了數學、光學、流體力學、高分子物理與化學、表面物理與化學、精密儀器、機械、自動化、軟件、圖像識別領域等多項頂尖技術,其制造和研發需要高度的技術積累和持續的投入。光刻機具備極高的技術含量和單
7、臺價值量。6圖表3:產線中晶圓制造設備投資額占比圖資料來源:Utmel官網,華福證券研究所整理0.250.10.150.10.10.10.080.070.030.02光刻設備刻蝕設備薄膜沉積設備切割設備前端測試設備包裝設備測試封裝設備清洗設備離子注入設備晶體生長設備1.2 光刻機在晶圓制造中的重要地位1.2.2 決定集成電路尺寸,推動摩爾定律前進 光刻工藝決定了晶體管尺寸的大小晶體管是芯片的基本單元,一片硅片由數千、數萬或數億的晶體管組成。如圖表4,在晶體管的柵區和襯底間加上電壓后,電流可以從源區傳遞到漏區,形成電信號,而源區和漏區對應的兩個N阱間的距離即為導電溝道的長度,該長度即晶體管的尺寸
8、,即線寬,也就是我們常說的芯片制程。由于晶體管中的電子一般以飽和速度運行,因此信息傳遞速度由導電溝道長度決定。晶體管導電溝道越短,則信號傳遞速度越快,單位時間內芯片處理的信息越多;同時,單位面積芯片的晶體管也更多,成本相應降低。因此,晶體管線寬指標越小代表了芯片性能越好,即芯片制程有逐步減小的技術需求,而光刻工藝決定了晶體管尺寸的大小及制程技術節點的推進。光刻機是延續摩爾定律和推進芯片制程技術節點演進的關鍵根據摩爾定律,芯片制造商可以在保持相同成本的情況下,每兩年將一片相同尺寸的集成電路晶片上的晶體管數量增加一倍,從而使得芯片集成度及性能不斷提高,且單個晶體管平均造價以每年30%35%的速度下
9、降。在該原則的指導下,半導體行業不斷進行技術轉型,芯片制程的技術節點不斷發展,而該過程也伴隨著光刻機的不斷升級和創新。所以,光刻機是延續摩爾定律的關鍵。7資料來源:中芯國際招股說明書,Wikipedia,華福證券研究所整理N-阱漏區源區N-阱P-阱柵區電壓電流一片晶圓通常包含幾千顆芯片芯片電路結構解剖圖晶體管兩個N-阱間的距離為導電溝道導電溝道的線寬代表了工藝制程圖表4:光刻工藝決定晶體管尺寸圖表5:摩爾定律資料來源:ZOL,華福證券研究所整理1.3 光刻機的分類及發展歷史1.3.1 光刻機的分類 根據工作原理進行分類光刻技術實質上是IC芯片制造的圖形轉移技術,該圖像轉移的過程包括把設計圖紙上
10、的圖形轉移到掩膜基板上,再把掩膜版上的圖形轉移到晶圓表面光刻膠上,最后再把晶圓表面光刻膠圖形轉移到晶片上,整個圖形轉移過程涉及到的光刻機類別眾多。根據工作原理進行分類,按照光刻時是否使用掩膜,將光刻機分為掩膜光刻以及無掩膜光刻。其中,掩膜光刻包含接觸式光刻機、接近式光刻機和投影式光刻機;無掩膜光刻包含激光直寫光刻機、納米壓印光刻機等,具體分類如下圖所示。8資料來源:華福證券研究所整理接觸式光刻機類型接近式投影式無掩膜光刻帶電粒子直寫光刻激光直寫光刻機電子束直寫光刻機離子束直寫光刻機步進掃描式光刻機步進重復式光刻機掃描投影式光刻機直寫光刻掩膜光刻納米壓印光刻圖表6:光刻機的分類1.3 光刻機的分
11、類及發展歷史1.3.1 光刻機的分類 根據工作原理進行分類掩膜光刻根據曝光時掩膜版與襯底間的位置關系,掩膜光刻可分為接觸式、接近式和投影式光刻。其中,在投影式光刻中,根據曝光過程中掩膜和晶圓的移動方式,可進一步細分為掃描投影光刻機、步進重復光刻機和步進掃描式光刻機。9資料來源:超大規模集成電路先進光刻理論與應用韋亞一,光刻技術六十年陳寶欽,Optical Note,云谷半導體材料官網,華福證券研究所整理掩 膜光刻接觸式光刻機接觸式光刻機在曝光時,掩膜壓在涂有光刻膠的晶圓片上,是最簡單的光刻機。其優點是設備簡單、分辨率高、沒有衍射效應;缺點是成品率低、易造成晶圓和掩膜的污染,不適合大規模生產。接
12、近式光刻機通過把掩膜位置提升來解決晶圓損壞和掩膜污染的問題。但同時受氣墊影響,存在成像精度低的問題。投影式光刻采用透鏡成像原理,將掩膜上的圖案曝光轉移到晶圓,避免了掩膜和晶圓的物理接觸。投影式方案精確度更高,且可重復、實用性強。掃描投影式方案使用一倍掩膜版,掩膜圖形與晶圓尺寸1:1曝光。曝光過程中,光源不移動,掩膜和晶圓同時向相反方向移動,從而完成一次光刻過程。步進重復式光刻機隨晶圓尺寸不斷增大,1:1的曝光比例對透鏡尺寸、折射率、均勻性等提出了更高的要求。步進式光刻方案解決了該問題,曝光區域從整個晶圓變為一塊矩形區域(一個Die),掩膜與晶圓比例可大于1:1。完成一個Die的曝光后可通過步進
13、器調整晶圓位置來重復上述曝光過程。步進掃描式方案的運動包含步進運動和掃描運動:掃描運動中,掩膜臺和硅片臺反向運動,完成一個Die的曝光過程;步進運動中,通過步進器將硅片臺的曝光位置從一個Die移動到下一個Die。該方案進一步縮小曝光區域,降低了光學復雜度,提高了精度和均勻性。掃描投影式光刻機步進掃描式光刻機接近式光刻機投影式光刻機圖表7:掩膜光刻的分類及原理1.3 光刻機的分類及發展歷史1.3.1 光刻機的分類 根據工作原理進行分類無掩膜光刻無掩膜光刻主要包含了直寫光刻和納米壓印光刻。其中,根據輻射源的不同,直寫光刻可分為光學直寫光刻(如激光直寫光刻)和帶電粒子直寫光刻(如電子束直寫、離子束直
14、寫)。10資料來源:吉倉納米官網,中國科學院半導體研究所,深圳市云谷半導體材料有限公司官網,華福證券研究所整理無掩膜光刻納米壓印光刻機紫外納米壓印光刻是將單體涂覆的襯底和透明印章裝載到對準機中,并在真空環境下被固定在各自的卡盤上。當襯底和印章的光學對準完成后,開始接觸壓印,并透過印章的紫外曝光促使壓印區域的聚合物發生聚合和固化成型。直寫光刻機采用計算機控制的離子束、電子束或激光直寫系統直接將光束投影至基片表面的抗蝕劑上,不需要掩膜進行曝光。在分辨率要求不高的情況下,可以更高效地完成圖形的轉移。電子/離子束光刻激光直寫光刻圖表8:無掩膜光刻的分類及原理1.3 光刻機的分類及發展歷史1.3.1 光
15、刻機的分類 根據光源進行分類光源是光刻機的核心構成之一,其波長決定了光刻機的工藝能力。光刻機根據光源不同可分成紫外(UV)光刻機、深紫外(DUV)光刻機、極紫外(EUV)光刻機三類。紫外光刻機:采用汞燈,從g-line到l-line,波長從436nm至365nm,制程節點為800-250nm;深紫外光刻機:采用準分子激光,從KrF到ArF/ArFi,對應波長為248nm-134nm,制程節點為180nm-7nm;極紫外光刻機:是下一代光刻技術的一種,在7nm以下的最高端工藝上都會采用EUV光刻機。11資料來源:ASML官網,華福證券研究所整理光源類型波長(nm)制程節點(nm)光源原理EUV光
16、源(極紫外光源:Extreme Ultraviolet Light)13.57-3準分子激光:給通常情況下互不結合的氣體混合物加足夠大的能量后,兩種氣體的原子結合在一起,形成受激發的準分子,受激準分子以光的形式釋放多余的能量。DUV光源(深紫外光源:Deep Ultraviolet Light)ArFi13445-7ArF193130-65KrF248180-130汞燈光源(紫外光源:Ultraviolet Light)i-line365800-250汞燈:將電流通過含有汞的燈泡,電流加熱水銀使之成為等離子體,發出各種波長的光,再通過干涉濾波器來選擇所需的波長。g-line436800-250
17、圖表9:光源的分類與演進1.3 光刻機的分類及發展歷史1.3.2 光刻機的發展歷程光刻機經歷五代發展隨著光源、曝光方式不斷改進,光刻機經歷了5代產品發展,每次改進和創新都顯著提升了光刻機所能實現的最小工藝節點。按照使用光源依次從g-line、i-line發展到KrF、ArF和EUV;按照工作原理依次從接觸接近式光刻機發展到浸沒步進式投影光刻機和極紫外式光刻機。12資料來源:ASML,半導體行業觀察,半導體集成電路制造手冊,集成電路制造工藝原理與技術,華福證券研究所整理代數光源類型波長(nm)最小工藝節點(nm)工作原理第一代g-line436800-250接觸式光刻機800-250接近式光刻機
18、第二代i-line365800-250接觸式光刻機800-250接近式光刻機第三代KrF248180-130掃描投影式光刻機第四代ArF193130-65步進掃描投影光刻機45-22浸沒式步進掃描投影光刻機第五代EUV13.522-7極紫外光刻機0.010.1110100200300400500軟X-光(0.2-25nm)硬X-光(0.01-0.2nm)真空紫外光(0.2-180nm)極短紫外光(1000nm)激光引發極短紫外光13nm同步射頻X-光0.8nmAr2126nmF2157nmArF193nmKrF248nmHg-ArcI-Line365nm汞弧光G-Line436nm可見光(45
19、0-800nm)近紫外光(300-450nm)中紫外光(300-350nm)深紫外光(100-300nm)圖表10:光刻機光源分類及演進1.3 光刻機的分類及發展歷史1.3.2 光刻機的發展歷程在五代光刻機中,第四代光刻機是目前使用最廣的光刻機,也是最具代表性的一代光刻機。第四代光刻機通過步進式掃描投影、雙工作臺和浸沒式光刻三項主要光刻技術的創新,顯著提升了光刻機的工藝制造水平和生產效率。13資料來源:半導體行業觀察,超大規模集成電路先進光刻理論與應用韋亞一,華福證券研究所整理創新一:實現步進式掃描投影創新三:浸沒式光刻系統1986年ASML首先推出步進式掃描投影光刻機,實現了光刻過程中掩膜和
20、硅片的同步移動。并且采用了縮小投影鏡頭,使曝光比例大于1:1,有效提升了掩膜的使用效率和曝光精度,將芯片的制程和生產效率提升了一個臺階。在此之前,光刻機均使用干式機臺,以空氣為媒介進行。而浸沒式光刻技術中,在光刻機投影物鏡最后一個透鏡下,鏡面與硅片光刻膠之間充滿高折射率的液體,這進一步提高了光刻分辨率。2010年,193nm ArF浸沒式光刻成功將芯片工藝節點推向22nm。投影透鏡組控制曝光頭和晶圓之間的距離風流限制水的泄露利用表面張力限制水的泄露晶圓曝光掃描方向A.掃描曝光的俯視圖B.掃描曝光的側視圖C.晶圓表面步進和掃描運動的軌跡圖透鏡晶圓掩膜曝光區域曝光帶曝光區域步進方向掃描方向、創新二
21、:雙工作臺光刻機在雙工作臺推出前,硅片的測量、對準、光刻等所有流程都在一個工作臺上完成。2001年ASML推出的雙工作臺系統使光刻機能夠在不改變初始速度的條件下,當一個工作臺在進行曝光工作的同時,另一個工作臺同時進行曝光之前的預對準工作,使光刻機的生產效率提升大約 35%。圓晶工作臺校準臺投影透鏡圖表11:第四代光刻機的創新點141.4.0 光刻機關鍵性能參數及當前參數水平光刻機中重要的性能參數主要有:分辨率、焦深、套刻精度、產率、視場、現款均勻、MTF(調控傳遞函數)、掩膜版誤差因子等。而核心參數為分辨率、焦深和套刻精度。其中,分辨率與光刻機的最小精度相關聯,焦深對光刻機成像范圍有影響,套刻
22、精度則決定了工藝層是否套疊對準。因此,這三個技術指標被視為光刻機最重要的三個因素?,F如今,光刻機主要分為EUV光刻系統和DUV光刻系統兩大類,其分辨率分別已經達到了13nm和38nm,套刻精度分別達到了1.1nm和1.3nm。ASML的NXT 3600D光刻機已經實現了160wph的產率,最佳套刻精度甚至達到了1.1nm,分辨率可達13nm。同時,NXT 2100i相較于NXT2050i在套刻精度方面也有了20的提升,能夠用來生產最先進的3nm芯片。而ASML計劃即將發行的NXE 3800E,套刻精度達到了0.9nm,產率也實現了從160wph到220wph的跨越。圖表12:光刻工藝的各項參數
23、資料來源:華福證券研究所整理圖表13:光刻機產品各項參數資料來源:ASML 2021 investor day,ASML官網,華福證券研究所整理SystemNo.LightWavelength(nm)Node(nm)Resolution(nm)NAOverlay(nm)Productivity(wph)TWINSCAN NXE3600DEUV13.53/5130.331.11603400C5/71.5170TWINSCAN NXT2100iArFi1935381.351.32952050i5/71.52000i2.02751980Di72.51470ArF/570.934.0300860KrF
24、2481100.87.5330TWINSCAN XT1460KArF193/650.935.02051060KKrF248805.0860N1100.87.5260860M7.0240400Li-line3653500.6520.02301.4 光刻工藝的關鍵技術指標分辨率焦深套刻精度產率視場MTF掩膜版誤差因子光刻機重要參數圖像細節的精細程度影像清晰時像面能移動的距離圖案層之間的對齊精度光刻機曝光的速度光刻機單次曝光的范圍調控傳遞函數硅片上曝出的線寬對掩膜版線寬的偏導數151.4.1 分辨率分辨率即光刻系統能清晰投影最小圖像的能力。分辨率數值越小,光刻機性能越佳。分辨率由光源波長、數值孔徑以
25、及光刻工藝參數決定。根據瑞利準則,分辨率與數值孔徑成反比,與光源波長和工藝參數成正比。其中,數值孔徑衡量系統所能收集光的角度范圍(計算公式為NA=n*sin,n為介質折射率,為孔徑角的一半),是物鏡光軸上點與物鏡前透鏡的有效直徑所形成的角度,孔徑角越大,透鏡的光通量越大。瑞利準則:透鏡系統的分辨率極限。由于光具有衍射特性,一個無限小的點在成像后會變成一個彌散光斑,稱為“艾里斑”,因此實際光學系統成像的分辨率即兩個艾里斑恰好能夠區分開的距離。1.4 光刻工藝的關鍵技術指標圖表14:孔徑角示意圖圖表15:艾里斑與分辨率極限資料來源:zeiss,華福證券研究所整理資料來源:光學顯微鏡分辨率極限,華福
26、證券研究所整理161.4.1 分辨率改進方法:1)增大數值孔徑;2)縮短曝光波長;3)縮小光刻工藝參數。=1數值孔徑NA曝光波長影響因素改進方法1)采用入射角更大的非球面光學系統:球面透鏡中,球差會導致成像點模糊。在相同情況下,非球面透鏡的成像點更為清晰,所需光學元件數量更少,可使得物鏡NA增至0.9的干式物理極限。2)采用折射率高的水為介質:浸沒式光刻即使用水為介質,在投影物鏡的下方和晶圓之間充滿水,大幅縮小光的折射角,可使得物鏡NA增至1.1以上。1)采用波長更短的光源:隨著集成度的不斷提高,光刻機需達到更高的分辨率,所以要采用波長盡可能短的光源,如DUV、EUV等。示意圖1.4 光刻工藝
27、的關鍵技術指標資料來源:芯制造,Digitimes,半導體行業觀察,華福證券研究所整理圖表16:分辨率影響因素171.4.1 分辨率改進方法:1)增大數值孔徑;2)縮短曝光波長;3)縮小光刻工藝參數。=1工藝參數k11)相移掩膜技術PSM:通過引入另一光場,兩光場之間發生相消干涉,使得亮區光場增強、暗場光強減弱,以此提高對比度,增強分辨率。2)離軸照明技術OAI:將照明光線由正入射改為傾斜入射,與物鏡主光軸形成一定夾角,使得同等NA下可容納光量提升,以提升分辨率。3)光學臨近效應修正OPC:臨近效應指由于光的衍射效應使曝光成像圖形發生畸變的現象。通過反向修正掩膜圖形,消除圖像畸變失真,由此提高
28、分辨率。改進方法示意圖影響因素1.4 光刻工藝的關鍵技術指標資料來源:芯制造,Digitimes,半導體行業觀察,華福證券研究所整理圖表17:分辨率影響因素1.4.2 焦深焦深即光刻機能夠清晰成像的范圍。依據瑞利判據,焦深與波長成正比關系,與數值孔徑成反比。其中,ASML公司2023年首臺High-NA EUV光刻機的NA從0.33提升至0.55,焦深隨之縮小至40nm,對聚焦準確性的要求也隨之提高。同時,焦深還受到數值孔徑、波長、光刻膠厚度、類型以及晶圓表面平整度等因素影響。資料來源:先進封裝步進投影光刻機焦深研究,半導體設備與材料,光刻機結構及原理華中科技大學,華福證券研究所整理1.4 光
29、刻工藝的關鍵技術指標最佳焦面光刻膠DOF散焦散焦焦深即光刻機能夠清晰成像的范圍。光線透過投影物鏡折射最終匯聚成一點,光線匯聚在該點處成像最清楚,該點所在平面稱為最佳焦面。除聚點外,其余投影成像的部分在光刻膠表面依距離不同匯聚成大小不一的圓形投影,雖然成像清晰度相較于最佳焦面略有損失,但圓形大小無限接近于一個點。因此,在一定范圍內依舊可以清晰成像,則該范圍稱之為“焦深”。光刻機的分辨率越小,焦深也越小,對聚焦的準確性和工藝精準性的要求越高。影響因素數值孔徑波 長光 刻 膠光刻膠膜數值孔徑即鏡面最大收光角度。當越大,鏡片的收光能力就越強,數值孔徑越大。隨著增大,光線聚焦的位置變短,進而導致焦深下降
30、。數值孔徑與焦深呈反比。由于空氣和光刻膠的折射率不同,因此,光刻膠的厚度會影響焦深,光線在空氣和光刻膠界面發生折射,導致焦深增加。同時,晶圓表面是否足夠平坦也會影響聚焦結果。波長越長的光,折射率越小,光線聚集的焦點越深,焦深越大。依據焦深公式,焦深正比于波長,波長越短,焦深越小。光刻膠膜光刻膠膜基本原理圖表18:焦深的基本原理與影響因素18離軸照明調焦系統晶圓平整度離軸照明即掩膜上的照明光線都與投影物鏡主光軸有一定的夾角。導致所收集的衍光束不是完全對稱的,進而會使原來主光軸處的聚焦點發生偏移,從而有效增加焦深。調焦系統可精確調整硅片高度并保持嚴格的水平,保證硅片上的曝光區域始終不超出焦深范圍,
31、確保光刻的準確性。1.4.2 焦深焦深的改進方法:現實操作中,可以通過離軸照明系統、調焦系統或光刻膠后烘、晶圓打磨等方式增加焦深。光刻膠在旋轉涂膠后,如果晶圓表面起伏超過焦深的范圍,則會因為失焦導致缺陷,因此需要對晶圓表面平整度進行測量和拋光。CPM技術:通過注入拋光液,使晶圓表面充分腐蝕氧化,最終使晶圓表面達到極致光滑。光刻膠后烘溫度較高的曝光后烘焙(PEB)會增大焦深,即較高溫度會使光刻膠厚度范圍內的空間像對比度在垂直方向上延伸。資料來源:先進封裝步進投影光刻機焦深研究,半導體設備與材料,光刻機結構及原理華中科技大學,華福證券研究所整理1.4 光刻工藝的關鍵技術指標圖表19:焦深改進方法1
32、91.4.3 套刻精度套刻精度是指光刻工藝中,每一層電路圖圖形間(即當前層對準標記相對于前一層標記)的疊對精度。IC芯片的制造需要在晶圓表面壘加工藝層,且每層曝光圖形必須保證一定精度的套疊對準,以保證芯片的正常功能。隨著半導體工藝的發展,圖形的關鍵尺寸不斷減小,對套刻精度的要求也越來越高。一般的,每層曝光圖形之間的套刻精度需控制在硅片尺寸的2530。曝光過程中的套刻流程:硅片曝光需要先制作對準標記,以便于工藝層之間的圖形對準,在進行套刻參數補值后,再曝光當層圖案并制作對準記號,最后進行外觀、套刻精度與線寬的測量。20圖表20:硅片曝光流程示意圖資料來源:超大規模集成電路先進光刻理論與應用韋亞一
33、,芯片制造半導體工藝制程實用教程,華福證券研究所整理1.4 光刻工藝的關鍵技術指標第一層對準標記、套刻精度測量記號制作對 準對 準 解 算套刻參數補值光刻機能量補值,曝光,制作本層對準記號,測量記號外觀測量套刻精度測量,計算下一批次套刻補值線寬測量,計算下一批次能量補值合格判斷合格判斷合格判斷剝膠,返工否否是是1.4.3 套刻精度對準標記制作與對準 對準標記:晶圓上的對準標記在對準激光照射下會產生衍射,并在通過投影透鏡后于掩膜表面處成像。當該成像與掩膜上的對準標識重疊時,會被對準探測器探測并掃描。通過對比二者之間探測信號強度的變化,可以確定晶圓和掩膜之間的位置偏差。如圖,以ASML標準標記為例
34、,其晶圓上與掩膜上的標記相似,水平線條用于測量晶圓和掩膜垂直位置的偏差,垂直線條用于測量水平方向的偏差。21虛線為當前光刻層圖形、實線為參考層圖形資料來源:超大規模集成電路先進光刻理論與應用韋亞一,芯片制造半導體工藝制程實用教程,華福證券研究所整理圖表21:曝光區域內部/之間套刻誤差示意圖1.4 光刻工藝的關鍵技術指標曝光區域內部套刻誤差曝光區域之間套刻誤差透鏡晶圓用于對準的激光束+1級光束-1級光束空間濾波器0級光束掩膜晶圓上的像16um晶圓上的對準標識 對準:光刻機的對準系統負責測量晶圓上參考層的位置,并使之與掩膜版上的圖形精確對準。此操作分為兩部分:一是通過調整晶圓工件臺的步進移動,使曝
35、光區域中心位置與參考層中曝光區域中心位置對準,即調整曝光區域間的套刻誤差;二是通過調整工件臺的掃描移動和曝光透鏡系統,使每一個曝光區域內,當前層的圖形與參考層的標識對準,即調整曝光區域內的套刻誤差。圖表22:光刻機中的對準系統1.4.3 套刻精度套刻誤差的分類與修正 導致套刻誤差的來源大致可分為晶圓、光刻機、掩膜與環境四類。目前普遍采用物理修正來修正套刻誤差,提高套刻精度。例如,在曝光時,掩膜受熱會發生形變,這是32nm節點下套刻精度不符合工藝要求的主要原因。一般可采用以下方法避免掩膜熱效應:第一,正式曝光前先預曝光,使得掩膜達到熱平衡;第二,增大晶圓換片時間,使掩膜充分冷卻;第三,增大從一個
36、曝光區域到下一個曝光區域之間的時間間隔,使掩膜充分冷卻。再比如,如圖表24,在使用厚膠的光刻工藝中,光刻膠圖形側壁會向一側傾斜,使得套刻標識平移,引入額外套刻誤差。一個可行的解決方案為,在套刻標識周圍添加一些輔助圖形以改善不對稱性。22套刻誤差來源占比原因光刻機38%定位、對準系統的誤差曝光透鏡系統的失真晶圓臺、掩膜版臺的移動晶圓50%晶圓變形光刻膠厚度不均勻掩膜7%掩膜版變形比例失真環境5%振動、溫度、濕度、潔凈度資料來源:超大規模集成電路先進光刻理論與應用韋亞一,芯片制造半導體工藝制程實用教程,華福證券研究所整理圖表23:套刻誤差來源與成因圖表24:厚膠工藝對套刻誤差影響與修正示意圖1.4
37、 光刻工藝的關鍵技術指標光刻膠上套刻標識的切片電鏡照片套刻標識周圍放置有輔助線條套刻標識產率描述的是光刻曝光的速度,光刻機的產出率決定了光刻機的經濟性能。產出率的單位為光刻機每小時處理的襯底片數,通常以wph或wpd來表示。在掃描投影式光刻機中,由于曝光成像是在高速運動中完成的,所以運動速度直接影響產率。新一代極紫外光刻機的生產效率將提升18%,在30mJ/cm2的曝光速度下每小時可處理160片晶圓。產率視場即光刻機單次曝光的范圍。隨著數值孔徑(NA)的增加,需要減小視場,即放大倍數越大,視場越小。視場成為步進式光刻機的限制因素之一,小視場需要更多的時間來完成整片晶圓的曝光。視場掩膜版誤差因子
38、指硅片上曝光的線寬對掩膜版線寬的偏導數。掩膜版誤差因子主要由光學系統的衍射造成。影響掩膜版誤差因子的因素有照明條件、光刻膠性能、光刻機透鏡像差、后烘溫度等??臻g周期越小或者像對比度越小,掩膜版誤差因子越大。掩膜版誤差因子線寬均勻性一般分為:芯片區域內、曝光區域內、硅片內、批次內等。一般的,由于光刻機以及工藝窗口造成的問題影響面較廣;而由于掩膜版制造誤差或者光學鄰近效應造成的問題局限于曝光區域內;由于涂膠或者襯底造成的問題一般局限于硅片內??梢酝ㄟ^改善光學鄰近效應修正的精確度、優化抗反射層厚度等方式改善線寬均勻性。線寬均勻對比度指的是一幅圖像中明暗區域最亮的白和最暗的黑之間不同亮度層級的測量。鏡
39、頭的MTF用來衡量在特定分辨率下將對比度從物體轉移到圖像的能力。換句話說,MTF是一種將分辨率和對比度合并到單個規范或者規則中的方法。隨著測試目標上的線間距減小,鏡頭越來越難以有效地傳遞對比度。一般要MTF0.5,MTF的大小與尺寸相關。調控傳遞函數MTF資料來源:納米機場電路制造工藝,computar鏡頭公眾號,集成電路工藝原理,華福證券研究所整理1.4.4 其他參數光刻機的其他參數指標還包括由光學系統決定的視場、調控傳遞函數mtf、掩膜版誤差因子和線寬均勻性等,以及由機械系統決定的產率。這些指標在衡量光刻機的精確度、經濟性時均具有重要意義。1.4 光刻工藝的關鍵技術指標圖表25:光刻工藝的
40、其他參數指標23第一部分:半導體工藝及光刻簡介第二部分:光刻機及其子系統工作原理第三部分:光刻機競爭格局與行業發展趨勢第四部分:他山之石ASML光刻巨頭崛起之路第五部分:投資建議及國產光刻機供應鏈相關公司目錄24252.1 光刻機整體架構圖表26:光刻機結構系統資料來源:ASML,華福證券研究所整理圖表27:光刻機內部結構框架資料來源:光刻機運動臺控制方法研究進展姜龍濱等,華福證券研究所整理以典型的ASML投影式步進掃描光刻機為例光刻機主要由光源、光路系統及物鏡、雙工件臺、測量系統、聚焦系統、對準系統等部分組成。其中,晶圓模組部分主要負責曝光前晶片的測量與參數錄入,照明光學模組部分完成晶圓的曝
41、光。在晶圓模組部分:晶圓傳送模組中,由機械手臂負責將晶圓由光阻涂布機傳送到晶圓平臺模組。而晶圓雙平臺模組負責在一片晶圓曝光的同時,將待曝光晶圓進行預對準,隨后對其表面高低起伏的程度進行測量,并將相關坐標錄入計算機。由此,在不到0.15秒的單位曝光時間內,硅片承載臺可以精準快速移動以達到最好的曝光效果。在照明光學模組部分:紫外光從光源模組生成后,被導入到照明模組,并經過矯正、能量控制器、光束成型裝置等后進入光掩膜臺,隨后經過物鏡補償光學誤差,最后將線路圖曝光在已測量對準的晶圓上。2.2 光源系統:工藝能力的首要決定因素26 圖表28:光刻機光學系統示意圖資料來源:紫外LED光刻光源系統的研究與設
42、計,光學顯微鏡分辨率極限,華福證券研究所整理2.2.1 光刻設備光源分類及工作原理 圖表29:光譜及對應波長光源系統是光刻設備的核心,光源波長決定工藝能力,光刻機的技術進步也是波長不斷縮短的過程。光源波長越短,則晶體管線寬越小,芯片性能越強。光源發出的光束經照明系統后穿過掩膜版,再由投影物鏡系統將掩膜版上的電路圖形復制到硅片表面。光源分為紫外光、深紫外光和極紫外光。最早的光刻方 法 為 高 壓 汞 燈 照 明,主 要 對 g(4 3 6 n m)、h(405nm)、i(365nm)三線進行曝光。第三代和第四代光刻機光源為深紫外光(DUV),使用準分子激光器產生,可實現KrF、ArF和F2。隨著
43、制程節點的縮小,短波長的極紫外光(EUV)無法從激光器中產生,需通過高能脈沖激光轟擊液態錫靶形成等離子體后產生。2.2.1 光刻設備光源分類及工作原理1)高壓汞燈:采用汞蒸氣發電放光。工作原理:放電管內有啟動用的氬氣和放電用的汞,通過在電極之間施加高壓脈沖,使氣體電離,點燃汞燈發光。2)深紫外光光源:準分子激光器為光源,較汞燈光源的波長更短、光子能量更大、平均功率更高、穩定性更強,可滿足更高的IC制造需求。工作原理:激光放電箱體內充有由鹵素氣體和緩沖氣體構成的混合氣體,氣體受到來自高壓脈沖的放電激勵,形成壽命短且狀態不穩定的“準分子”,準分子受激發生激光躍遷,從而發光放電并輻射出深紫外光。27
44、圖表30:高壓汞燈光刻光源系統資料來源:紫外LED光刻光源系統的研究進展王國貴等,華福證券研究所整理2.2 光源系統:工藝能力的首要決定因素圖表31:Cymer準分子激光器工作原理資料來源:紫外LED光刻光源系統的研究進展王國貴等,華福證券研究所整理282.2.1 光刻設備光源分類及工作原理3)極紫外光光源:由高能激光轟擊金屬錫產生等離子體輻射產生。工作原理:將二氧化碳激光照在一束快速射出的熔融態錫滴上,利用脈沖激光輻照錫靶,使其蒸發電離并產生高密度的等離子體,從而輻射出極紫外光。在此過程中,激光會產生兩個脈沖:低能量的預脈沖:使錫滴變為目標形狀,為主脈沖做準備;高能量的主脈沖:擊中處理后的錫
45、滴,產生等離子體并發射極紫外光。2.2 光源系統:工藝能力的首要決定因素圖表32:等離子體轉換為波長13.5nm光的過程資料來源:ASML,EUV 光刻技術的難點分析,華福證券研究所整理圖表33:極紫外光光源雙脈沖資料來源:The development of laser-produced plasma EUV light sourceDe-Kun Yang等,華福證券研究所整理292.2.2 光源系統的技術難點2.2 光源系統:工藝能力的首要決定因素圖表34:EUV光刻設備結構示意圖資料來源:ASML,EUV 光刻技術的難點分析,華福證券研究所整理技術難點:1)足夠大的光源功率:EUV的大規
46、模制造需要250W的光源功率,以支持EUV掃描儀快速穩定地運行;2)長時間持續工作:當前生產速度已達125wph以上,批量生產對持續運行時長及系統效率提出更高要求;3)精密程度高:EUV激光系統由約45萬個零件組成,重約17噸,線纜長度超過7000米,從種子光發生器到錫靶的光路長達500余米,同時面臨光路過程中EUV的衰減問題,因此對精度的要求極高。Sub-fab FloorSource PedestalSource PedestalCollectorDropietGeneratorBeamTranbportZXTin catchVanesScannermetrology forsource
47、to scanneralignmentIntemledlateFocus UnitCO2 systempp&Mp Seed unitpower Amplifiers通過光瞳調制可以實現提高分辨率的同時增大焦深??臻g光闌濾波是一種簡單低成本的光瞳調制方式。在照明光瞳處通過設置一組光闌擋片來改變光瞳形狀,光瞳整形透鏡掃描狹縫用來確定曝光視場尺寸和中心位置,系統利用狹縫阻擋光線,減少光的散射,并且可以控制曝光劑量。狹縫單元設置在一次照明面,通過XY兩個方向的刀口運動實現對照明視場的控制。掃描狹縫中繼鏡是對一次照明面的光束中繼放大,并將掃描狹縫上的照明光場成像到掩膜面上。中繼鏡不僅需要滿足不同光瞳大小
48、和環寬的照明模式需求,并且要盡量減少照明光場不均勻性在中繼過程中的惡化。中繼鏡勻光單元是對光強分布進行勻化。微透鏡是通過一組緊密排布的復眼陣列,對光束進行微切割,從而實現勻光。勻光棒是利用了光在介質里的全反射原理實現勻光。勻光單位2.3.1 光刻機照明系統照明系統作為光刻機的核心部件之一,其作用是將光源發出的光束進行整形勻化后照明掩膜面,再由投影物鏡系統將掩膜面上的圖形復制到硅片表面。照明系統光路:光源經過擴束準直與傳輸后進入光瞳整形單元,然后再經過照明勻化單元來實現特定分布的照明光場。掃描狹縫用來確定曝光視場尺寸和中心位置,控制曝光劑量,并與掩膜臺和硅片臺完成同步掃描曝光。中繼鏡組位于掃描狹
49、縫與掩膜版之間,負責將掃描狹縫上的照明光場中繼成像到掩膜面上。照明系統光線擴束器激光掃描狹縫中繼鏡光瞳整形單位掩膜投影物鏡組晶圓均勻單位資料來源:照明光瞳調制技術研究高盛梅等,光刻機照明系統中繼鏡組的光場均勻性優化設計龔爽等,華福證券研究所整理2.3 光路系統與鏡頭:光刻機核心部件之一圖表35:光刻機照明系統的結構圖表36:光刻機照明系統的重要組件30一般包括不同通光孔徑。2.3.2 投影物鏡成像問題光學鏡片投影過程中存在因透鏡結構、光線波長等因素造成的球差、彗差、散焦、場曲、色差等問題。隨著光刻機的數值孔徑增大,分辨率下降,為了能刻蝕盡可能精細的線條,在物鏡實際工作過程中,全視場的波前像差均
50、方根至少要小于0.07,像面彎曲要求小于幾十納米,畸變也不能超過幾納米?;诓煌南癫?、色差等問題,光刻工藝對投影物鏡的制作提出更高的要求。資料來源:SEMI Dance,臺灣大學基礎光學課程,華福證券研究所整理2.3 光路系統與鏡頭:光刻機核心部件之一球面鏡的邊緣同中心位置的折射率不同,凸透鏡邊緣的屈光幅度大,對應焦距縮短,成像前移。球差一種非對稱像差,當某圖形的左右兩側圖形分布不同時,散射出來的光不一樣,造成左右兩側的像不對稱?;鄄畈煌伾墓獠ㄩL不同,進而折射率不同,在經過投影物鏡時,不同顏色的光會匯聚于不同的焦點。色差透過球面鏡的最佳呈現面不是平面而是球面,使得整個光束的交點不與理想像
51、點重合,導致整個像面是一個曲面。場曲實際理想散焦光線穿過透鏡匯聚于一點,由于透鏡結構不同,實際聚點位置與理想聚點位置之間存在平移。像散主要表現形式是鏡頭對X方向的圖形和對Y方向的圖形具有不同的焦距。系統對Y方向的線條對準焦距時,X方向的圖形便離焦了。圖表37:投影物鏡成像問題312.3.3 投影物鏡像差補償由于單片投影物鏡存在球差、色差等問題,因此在實際應用中,需要通過組合投影物鏡進行糾正。隨著數值孔徑的增加,分辨率達到衍射極限,所以對于像差的精準度也相應提高,包括了對環境控制、冷鏡頭/熱鏡頭的像差補償、鏡頭表面平整度等的極致要求。資料來源:高數值孔徑光刻投影物鏡成像理論及像質補償和檢測技術研
52、究于新峰,193nm投影光刻物鏡光機系統關鍵技術研究進展張德福,華福證券研究所整理2.3 光路系統與鏡頭:光刻機核心部件之一投影物鏡組合像差補償投影物鏡像差補償包括冷鏡頭和熱鏡頭的補償:冷鏡頭:通過驅動器微調某些透鏡的偏心、軸向等來補償裝配誤差。熱鏡頭:曝光能量增加導致透鏡吸收能量增加,造成透鏡溫度的升高,進而引起透鏡局部折射率以及面形的變化,最終導致熱像差??赏ㄟ^紅外補償的方式糾正。為盡可能地刻蝕精細的線條,物鏡分辨率必須達到衍射極限。所以環境控制必須十分嚴格,物鏡內部溫度變化需小于0.01攝氏度,氣壓控制在110Kpa75Kpa。環境控制光刻機鏡頭表面不平整同樣會引起像差的變化。蔡司生產的
53、最新一代EUV光刻機反射鏡最大直徑1.2米,表面粗糙度0.02納米,達到了原子級別的平坦。鏡頭平整度采用全球面結構,步進物鏡孔徑尺寸隨NA增加而急劇增加。因此,隨著物鏡 NA的繼續增加,當NA大于0.7時,物鏡的設計引入非球面。非球面能夠在不增加獨立像差的前提下,增加自變量個數,有利于改善像質。對于NA1.2的投影物鏡,大多采用折反式光學系統。折反式系統在物鏡結構設計中加入凹面反射元件。凹面鏡的使用能夠很好的滿足場曲的矯正,進一步降低了物鏡的體積。全折射式物鏡折反式物鏡影響因素NA1.2圖表38:投影物鏡組合結構、基本原理與影響因素322.3.4 唯一掌握EUV光學鏡片技術的企業ZEISS 蔡
54、司于1968年開始涉足半導體領域。2001年,公司半導體技術團隊作為獨立的企業開始運營;2007年公司推出Starlith1900i,全世界很大一部分高性能微芯片均使用該技術;2010年公司研發全球第一套EUV光學系統,在EUV光刻領域形成絕對的技術壁壘。全球約有80%的微芯片使用蔡司光學器件制造。蔡司對光學鏡片制造非常嚴格,其生產的7nm及以下EUV光刻機鏡頭表面起伏小于0.05nm,最新一代EUV光刻機反射鏡最大直徑1.2米,面形精度峰谷值0.12nm,表面粗糙度0.02nm,達到了原子級別的平坦。1990創造性地提出了最早的DUV曝光光學系統的設計思想:將蔡司1930年代的光學顯微鏡和1
55、970年代的光中繼器的設計進行組合,便得到一個KrF波段的數值孔徑0.8的光刻鏡頭設計。20012001年10月起,半導體技術的團隊作為一個獨立的企業開始運作,命名為Carl Zeiss SMT AG:卡爾蔡司半導體技術生產股份公司,是世界上極少數幾家可以提供微芯片光刻技術的公司之一。19971977年,蔡司推出了S-Planar 10/0.28,這是第一個實現1微米結構的光刻生產的鏡頭。這為第一個晶圓步進器奠定了基礎。20102010研發全球第一套EUV光學系統,2012年,全球第一套EUV光學系統量產,蔡司幫助半導體產業進入了一個新階段。蔡司也是全球唯一一家可以生產EUV光刻機鏡頭的光學制
56、造商。19981998年推出的Starlith 900則是世界上第一個批量生產的193 nm波長光刻光學器件,這是第一個可以實現100納米以下分辨率的系統。20072007年推出的Starlith 1900i 是第一款達到38納米極限分辨率的浸沒式光學器件?,F在,全世界很大一部分高性能微芯片都是使用這種技術生產。資料來源:ZEISS官網,高數值孔徑投影光刻物鏡像質補償策略與偏振像差研究徐象如,華福證券研究所整理2.3 光路系統與鏡頭:光刻機核心部件之一圖表39:蔡司光學鏡頭發展歷程33342.4.1 雙工件臺系統的組成雙工件臺系統由掩膜臺、硅片臺和控制系統三個子系統組成。1)硅片臺:承載硅片,
57、具有雙運動臺結構,其中粗動臺負責高加速的大行程運動,微動臺實現納米級別的精確定位,影響硅片臺的定位精度;2)掩膜臺:構成與硅片臺類似,用于承載掩膜并接受控制系統信號,粗動臺與微動臺協同運作,實現精準掃描;3)控制系統:發送和接收信號指令,控制上述子系統的運行。2.4 雙工件臺系統:雙臺交替配合,大幅提升設備產能圖表41:雙工件臺系統工作原理資料來源:光刻機雙工件臺系統的可靠性分析與試驗李凌,光刻機雙工件臺系統的FMECA分析曾紀棟,華福證券研究所整理圖表40:雙工件臺及硅片臺系統的構成資料來源:光刻機雙工件臺系統的可靠性分析與試驗李凌,光刻機雙工件臺系統的FMECA分析曾紀棟,華福證券研究所整
58、理352.4.2 雙工件臺的運行原理雙工件臺光刻機,即一套光刻系統中有兩個硅片運動臺,二者分別位于測量位置和曝光位置,且可同時獨立運行。當硅片臺1在曝光工位進行掃描曝光時,硅片臺2在測量工位進行上下片及硅片三維形貌測量(包括工件臺對準、硅片對準和檢焦測量)等預處理工作。待硅片臺1完成硅片曝光后,兩個工件臺互換位置進行相同的工作,如此循環往復,實現了光刻機產能及工作效率的大幅提升。2.4 雙工件臺系統:雙臺交替配合,大幅提升設備產能圖表42:雙工件臺樣式資料來源:華卓精科招股書,華福證券研究所整理圖表43:雙工件臺光刻設備運行流程資料來源:ArF浸沒光刻雙工件臺運動模型研究李金龍,華福證券研究所
59、整理362.4.3 雙工件臺的技術難點2.4 雙工件臺系統:雙臺交替配合,大幅提升設備產能圖表44:TWINSCAN雙工件臺結構示意資料來源:ArF浸沒光刻雙工件臺運動模型研究李金龍,華福證券研究所整理1)對準精度高。芯片制造中圖形的曝光需多層疊加,掩膜曝光的圖形必須和前一層掩膜曝光準確套疊在一起,疊加的誤差即為套刻精度,要求為2nm以下。硅片上對準標記的數目越多,對準精度越低。2)運動速度快。當前ASML最先進的DUV光刻機產率高達300wph,0.1秒完成1個影像單元的曝光成像,這要求晶圓平臺以高達7g的加速度高速移動。3)運作穩定。雙工件臺頻繁的位置互換,對加減速防震、精確定位及減少磨損
60、等要求極高,同時需保持長時間的高速運作。對準傳感器調平傳感器投影物鏡曝光位置測量位置372.5 光刻機測量系統:晶圓精確曝光的前提圖表45:雙頻激光干涉儀和二維光柵尺示意圖資料來源:激光外差干涉技術在光刻機中的應用張志平,華福證券研究所整理2.5.0 雙頻激光干涉儀和二維光柵測試系統為當前高精度測量系統的主流技術方案光刻機套刻精度直接受工件臺定位精度的影響,而工件臺定位精度又受到工件臺位置測量精度的制約,定位誤差在誤差分配中通常占總套刻誤差的十分之一,即對于“14nm”節點,定位精度應優于0.57nm。位置測量的精度直接決定了多次光刻間的相互重合誤差,因此超精密位移測量系統是光刻機不可或缺的關
61、鍵子系統之一。光刻機晶圓臺是磁懸浮運動的,其運動由三個平面運動自由度XYZ和三個旋轉自由度組成,因此測量系統需對其完成六自由度的位移測量。目前,雙頻激光干涉儀和二維光柵尺是當前最為常用的兩種測量六自由度位移的高精度測量方法。382.5 光刻機測量系統:晶圓精確曝光的前提2.5.1 雙頻激光干涉儀光波干涉的原理:當兩個頻率相同、振動方向相同、位相相同或位相差恒定的波在空間某一點相遇時,一些地方振動始終加強,一些地方始終減弱或完全抵消,該現象即為干涉。當振動方向相同、頻率差很小的兩列波在同一方向上傳播時,便可產生一種特殊的干涉。雙頻激光干涉儀就是利用兩個頻率相差很小的光波干涉來工作。資料來源:激光
62、外差干涉技術在光刻機中的應用張志平,華福證券研究所整理圖表46:雙頻激光干涉儀原理圖雙頻激光干涉儀利用光波干涉原理,通過測量雙頻激光光束間的相位差來測量位移,從而確定晶圓形貌。具體的,雙頻激光器發出偏振面相互正交的線偏振光f1、f2組成的合成光束,f2偏振面垂直于水平面,不能通過偏振分光鏡,進入干涉儀后直接返回到接收器;f1偏振面平行于水平面可穿過偏振分光鏡,被目標鏡反射回干涉儀。當被測目標鏡移動時,產生多普勒效應,返回光束的頻率變為f1f,f為多普勒偏移量,它包含被測目標鏡的位移信息。392.5 光刻機測量系統:晶圓精確曝光的前提2.5.2 二維光柵測試系統平面光柵測量系統由激光器、光柵讀頭
63、、光柵尺、偏振片以及光電探測器構成。光柵尺固定在基準框架上,光柵讀頭安裝于工件臺上跟隨工件臺運動。在光柵干涉測量中,激光光束發出頻率f1和f2的線偏振光并垂直入射二維光柵平面,經過二維光柵衍射后分成四束光,取對稱的衍射光束匯聚產生干涉條紋。當二維光柵在其所在平面內運動時,對應方向的干涉條紋隨之運動,返回的激光頻率變成了f1f和f2f(f為多普勒頻移量),通過檢測兩個衍射方向上干涉條紋的運動,從而解算出兩個方向上的位移信息。資料來源:激光外差干涉技術在光刻機中的應用張志平,華福證券研究所整理圖表48:光柵尺原理圖圖表49:光柵衍射原理圖圖表47:二維光柵尺測量方案402.5 光刻機測量系統:晶圓
64、精確曝光的前提2.5.3 雙頻激光干涉儀和二維光柵尺方案對比及發展現狀雙頻激光干涉儀是目前使用最為廣泛、技術最為成熟的高精度測量方案,在位移測量方面有非常突出的精度優勢。但激光波長依賴于空氣的折射率,該方案對環境因素很敏感,如氣壓、溫度、濕度的變化或者空氣的流動都會影響測量結果,隨著光刻機工藝制程的發展和測量需求的提高,其應用也受到一定限制。平面光柵測量方案的基準為光柵柵距,暴露在環境中的光路較短,所以相較激光干涉,其環境變化敏感性低、長期穩定性好,且測量精度可以達到亞納米量級。根據IEEE2021年版的國際設備和系統藍圖,其有能力應用于5nm和3nm節點的光刻機中。此外,光刻機巨頭ASML和
65、NiKON也先后采用平面光柵測量方案提升其光刻機性能,例如,采用平面光柵測量技術的1950i光刻機成功將套刻精度從1900Gi的3.7nm、4.5nm提升至1.5nm、1.6nm。資料來源:激光外差干涉技術在光刻機中的應用張志平,華福證券研究所整理激光干涉儀光柵干涉儀原理圖空氣影響光束在真空中可用作長度基準,空氣折射率必須通過精密傳感器計算出來空氣中具有高精度,空氣壓強、溫度或成分沒有影響溫度特點受局部空氣溫度波動的影響,空氣路徑上的工件的溫度變化曲線必須用高精密溫度傳感器測量并補償具有高熱容量,對各種應用狀況可選擇合適的尺身材料測量精度在空氣中測量,精度取決于環境及其傳感器的數量與精度跟測量
66、長度成反比,最高可達納米級測量長度測量長度可達30m受限光柵尺寸重復性測量不確定度測量長度的增大而增大重復性主要受限于尺的溫度特性結構簡單緊湊、成本低廉結構復雜、調整困難、價格昂貴激光干涉儀反光鏡光程移動光程光柵干涉儀光柵移動圖表50:激光干涉儀與光柵干涉儀的對比412.6 光刻機聚焦系統:實現晶圓表面Z方向的精確曝光2.6.1 聚焦系統的重要性及找平傳感器的技術原理晶圓表面存在各種圖形結構,并不是平整的,而光刻機的聚焦系統則負責保證晶圓表面區域的精確均勻曝光。聚焦系統中最為重要的結構之一即找平傳感器(Leveling Sensor)。找平傳感器(Leveling Sensor)用于測定晶圓表
67、面的位置。找平的目的是要實現掩膜版平面和硅片平面的平行,并實現硅片與掩膜版在移動到設定的一個微小間隙后,硅片上的各點到掩膜版的距離相同,從而保證硅片和掩膜版上圖形的一致性。光刻機利用聚焦系統實現對硅片形貌的精密測量,是實現高質量曝光的關鍵。找平的結果直接決定了光刻機的分辨率精度。資料來源:光刻調焦調平測量技術的研究進展齊月靜等,華福證券研究所整理圖表51:光學三角法的測量原理找平傳感器的技術原理光學三角法基于光學三角法實現硅片形貌測量的聚焦技術是目前主流光刻機廠商普遍采用的技術。如下圖所示,測量光束以角度入射到硅片表面,經硅片表面反射后被探測器接收。探測器上的圖像位置隨硅片表面高度偏移而變化。
68、晶圓表面在Z方向很小的偏差,就會導致反射束斑在傳感器接收面上較大的移動,如下圖所示。圖表52:找平傳感器示意圖資料來源:超大規模集成電路先進光刻理論與應用韋亞一,華福證券研究所整理通過測量光束在探測器上的圖像位移變化量可計算硅片表面的高度信息,進而根據多個位置點的硅片表面高度信息獲得硅片的表面傾斜情況。光刻機曝光時,根據獲得的硅片形貌實時調整工件臺的姿態,保證硅片曝光位置始終處在投影物鏡的最佳焦面處。晶圓表面位置測量一般分兩步:首先是整個晶圓水平度的測量,系統在晶圓表面選擇三點,其平均值就是晶圓的平均位置。隨后找平傳感器根據這個位置設置工作點位置。在曝光之前,對每一個曝光區域做表面位置測量和調
69、整。Incident beamReflected beamDetectorWafer surface探測器激光晶圓422.6 光刻機聚焦系統:實現晶圓表面Z方向的精確曝光2.6.2 Level Sensor的架構及原理ASML提出了采用透射式雙遠心投影成像系統的Level Sensor,其原理如下圖所示。測量光束分為測量光和參考光,兩光路的測量原理一致,均基于光學三角法。測量光探測硅片表面高度,參考光探測投影物鏡下表面的高度,根據二者的測量結果獲得硅片表面相對于投影物鏡下表面的相對高度。資料來源:光刻調焦調平測量技術的研究進展齊月靜等,華福證券研究所整理圖表53:ASML透射式聚焦裝置原理圖在
70、ASML研發出雙工件臺技術后,公司用其中一個工件臺完成上片、下片、對準、調焦調平等功能,用另一個工件臺進行掃描曝光,大大提高了光刻機產率。ASML雙工件臺光刻機采用的全反射式雙遠心投影成像裝置如圖所示。資料來源:光刻調焦調平測量技術的研究進展齊月靜等,華福證券研究所整理圖表54:ASML反射式聚焦裝置結構圖找平傳感器的測量效果如右圖所示,左圖為找平傳感器測量的晶圓表面平整度的二維結構圖,右圖為找平傳感器測量的晶圓表面平整度的三維結構圖,多角度熱力圖可清晰展現被測晶圓的立體形貌,從而精確控制曝光。圖表55:找平傳感器測量結果圖資料來源:超大規模集成電路先進光刻理論與應用韋亞一,華福證券研究所整理
71、ZRYXRZYXYXR Z光纖照明光柵反光鏡投影雙遠心成像系統RZXYZXY R探測結構YR XZZXXRZY反射鏡探測雙遠心成像系統偏振片分光晶體檢測光柵RYRYXZZXYRRYXZZXYRZZYR探測器分光系統Optic fibersProjection lensWindowWaferPolarizerBirefringentplate polarizerDetectorAnalyzermodulator432.6 光刻機聚焦系統:實現晶圓表面Z方向的精確曝光2.6.3 聚焦系統的基于氣壓的表面測量方法AGILELevel Sensor采用光學方法對晶圓平整度進行測量,使用較長波長的激光做
72、表面位置測量的優點是可以避免晶圓表面的光刻膠被曝光,但是長波長的光很容易透過光刻膠,照射在非常不平整的襯底表面,導致表面定位不準確。為了彌補這個缺點,ASML提出了使用氣流壓力測量晶圓表面的方法,稱為AGILE(Air Gauge Improved Leveling)。資料來源:超大規模集成電路先進光刻理論與應用韋亞一,華福證券研究所整理圖表56:LS探測光束可能造成測量誤差的原理圖AGILE的原理及架構:AGILE通過噴氣探頭探測光刻膠表面的氣壓變化,從而確定硅片表面的高度變化。AGILE在晶圓表面附近垂直放置一個小的噴嘴,噴口距離晶圓表面只有100m左右。噴嘴向晶圓表面噴N2。噴管中N2的
73、壓力和噴口距離晶圓表面的距離是相關的。如果這個距離變小,噴嘴中的N2就不容易流出,壓力就會升高;反之,噴嘴中的壓力就會降低。通過測量噴管中N2的壓力變化,就可以推算出晶圓表面的形貌。圖表57:AGILE的構造和工作原理資料來源:超大規模集成電路先進光刻理論與應用韋亞一,華福證券研究所整理 但這種氣壓傳感器測量速度較慢,不能快速獲得大面積的測量數據。因此,ASML公司進一步提出改用波長范圍為200400nm的寬帶紫外光代替可見光源,有效避免了光刻膠下表面圖案起伏導致的平整度噪聲。希望的聚焦位置LS測量到的平面SiO2Si柵極金屬光刻膠接觸LS光束平穩的N2流測量壓力的變化噴口直徑約1mm100m
74、整個噴嘴的外徑約6mm2.7 光刻對準系統:保證曝光后圖形之間的準確套刻 2.7.0 光刻對準系統概覽光刻機的對準系統(Alignment System)負責把掩膜上的圖形和晶圓上已經有的圖形對準,以保證曝光后圖形之間的準確套刻。其主要過程包括掩膜的預對準和定位、晶圓的預對準、掩膜工件臺與晶圓工作臺之間的對準、掩膜與晶圓的對準四個步驟。44資料來源:超大規模集成電路先進光刻理論與應用韋亞一,華福證券研究所整理圖表58:光刻對準系統組成圖光刻對準系統掩膜的預對準和定位晶圓的預對準掩膜工作臺與晶圓工作臺的對準掩膜與晶圓的對準發光二極管光探測器發光二極管CCD傳感器TIS系統國內探索國外主流技術Ni
75、kon:LSA、FIA、LIA完成掩膜工作臺與晶圓工作臺之間的對準確定掩膜版相對于晶圓工作件的位置,確定投影透鏡系統的像差和成像時的畸變完成晶圓的預對準根據CCD的輸出信號機械手調整晶圓的位置完成掩膜的預對準和定位機械手調整掩膜位置ASML:ATHENA、SMASHCanon:軸式TTL和離軸式OAL轉臺452.7.0 光刻對準系統對準標識對準標識(Alignment Mark)是半導體制程中的關鍵元素,它們是在硅片上預先制作的特殊圖案,用于在后續的光刻步驟中精準套刻,不同型號的光刻機可能使用不同的對準標識。理論上來說,能在對準激光照射下產生衍射的周期性結構都可以用作對準標識。然而,在實際工藝
76、條件下,對準標識還必須滿足其他條件:第一,晶圓上的標識必須不容易被工藝損壞;第二,便于放置在掩膜版上,不影響器件;第三,能有效地被對準光學系統探測到,并提供最大的信號強度。圖表59:主要對準標識示意圖2.7 光刻對準系統:保證曝光后圖形之間的準確套刻 資料來源:超大規模集成電路先進光刻理論與應用韋亞一,華福證券研究所整理晶圓上的標識掩膜上的標識ASML對準標識Nikon對準標識Canon對準標識4m4m8m8m6m 12m20m(a)(b)2.7.1 掩膜的預對準與定位掩膜版的預對準系統包括兩個發光二極管及其對應的光探測器。在掩膜上固定的位置設計有預對準標識,發光二極管在掩膜的預對準標識上方照
77、明,光線透過對準標識,被探測器接收,從而完成掩膜的預對準。46資料來源:超大規模集成電路先進光刻理論與應用韋亞一,華福證券研究所整理圖表60:用于ASML光刻機掩膜預對準示意圖圖表61:光探測器識別LED并判斷偏離位置示意圖下圖是用于ASML光刻機的掩膜,其中標出了為預對準系統專門設計的兩個預對準標識。如下圖所示,探測器中第2區的信號遠強于第4區,則表示對準標識偏右上位置。只有當探測器和對準標識正對時,四個區域的信號才一樣。通過對兩個探測器信號的對比,就可以計算出掩膜版X方向的修正量、Y方向的修正量和旋轉修正量。機械手根據修正量調整掩膜版的位置,完成預對準。這種預對準系統一般可以達到5um左右
78、的對準精度。2.7 光刻對準系統:保證曝光后圖形之間的準確套刻 資料來源:超大規模集成電路先進光刻理論與應用韋亞一,華福證券研究所整理掩膜預對準標記圖案區域掩膜對準標記保護膜位置線12NC碼掩膜名稱LEDLED光控測器控測器光通量1234每個探測器分為對稱的四個區域,可以分別探測光信號并判斷出標識偏離的相對位置。二極管在掩膜版的預對準標識上方照明,光線透過對準標識,被探測器接收。2.7.2 晶圓的預對準與定位晶圓的預對準是通過對其邊緣的測定來實現的。根據SEMI標準,晶圓邊緣必須有一個小缺口(Notch),這個缺口可以是圓弧形的也可以是直線形的。47資料來源:超大規模集成電路先進光刻理論與應用
79、韋亞一,華福證券研究所整理圖表62:晶圓邊緣位置測量裝置示意圖圖表63:CCD輸出信號和晶圓位置的關系示意圖若對準時,假設晶圓偏離了中心,轉動時CCD傳感器的輸出信號下圖(a)所示。晶圓因偏心而在旋轉時邊緣光照不均勻,導致CCD傳感器輸出信號呈現周期性變化,這變化周期與晶圓旋轉周期一致。根據CCD的輸出信號,系統可以計算出晶圓偏離中心的修正量和缺口相對于探測器的位置。然后,機械手根據這些計算結果調整晶圓位置,直到CCD測出的信號如圖(b)所示。2.7 光刻對準系統:保證曝光后圖形之間的準確套刻 如圖為晶圓邊緣位置測量裝置示意圖,包括轉臺、發光二極管和CCD傳感器。資料來源:超大規模集成電路先進
80、光刻理論與應用韋亞一,華福證券研究所整理發光二極管晶圓轉臺CCD傳感器邊緣測量裝置邊緣探測器的輸出信號缺口位置缺口位置邊緣探測器的輸出信號邊緣探測器邊緣探測器(a)晶圓偏離中心位置(b)晶圓在中心位置2.7.3 掩膜工件臺與晶圓工作臺之間的對準掩膜工件臺與晶圓工件臺之間的對準是依靠所謂的TIS(Transmission Image Sensor)系統來實現的。TIS系統包括:設置在掩膜工作臺上的TIS標識;光刻機的光學成像系統;晶圓工作臺上的TIS傳感器。在光源的照射下,TIS標識通過光學成像透鏡系統投射在晶圓工件臺表面。安置在晶圓工件臺上的TIS傳感器隨工件臺的移動可以測量出TIS標識像強度
81、的空間(X Y Z)分布。根據測得的TIS像分布,可以計算出掩膜工件臺上TIS標識相對于晶園工件臺的位置。TIS標識中設置有沿X和Y方向的一系列等間距線條。通過測量這些線條在晶圓工件臺上所成像的位置和間距,TIS系統不僅能精確確定掩膜版相對于晶圓工件臺的位置,而且可以進一步確定投影透鏡系統的像差和成像時的畸變。48資料來源:超大規模集成電路先進光刻理論與應用韋亞一,華福證券研究所整理圖表64:TIS對準系統示意圖2.7 光刻對準系統:保證曝光后圖形之間的準確套刻 ZYX2007368008mm8mm40040800728200透視空間像光學成像系統空間像與縫隙(X,Y,Z)對準時,透過的光強最
82、大掩膜版工件臺上TISmark的設計位于晶圓工件臺上的TIS探測器縫隙光探測器2.7.4 掩膜與晶圓的對準概覽掩膜與掩膜工件臺、晶圓與晶圓工件臺以及掩膜工件臺與晶圓工件臺的預對準完成后,晶圓和掩膜就已經有了一個初步的對準,下一步就是掩膜與晶圓的精確對準操作。49資料來源:超大規模集成電路先進光刻理論與應用韋亞一,華福證券研究所整理圖表65:掩膜與晶圓對準方式分類表2.7 光刻對準系統:保證曝光后圖形之間的準確套刻 掩膜和晶圓對準的方法有多種:按識別對準標識時光學系統的工作方式來劃分可以分為亮場、暗場或衍射模式;按對準操作時光線的傳輸方式及其與曝光系統之間的關系,可以分為透過曝光系統(Throu
83、gh-The-Lens,TTL)、在軸和離軸。對準方式分類按光學系統工作方式按光學傳輸與曝光關系按對準位置數目暗場衍射在軸或TTL全硅片增強型全硅片曝光區域之間對準離軸亮場全球的高端光刻機市場長期由阿斯麥公司、尼康公司和佳能公司占據。由于光刻機的發展對套刻精度的要求不斷地提高,三家公司的光刻機對準技術也在不斷地發展與改進。各公司對準技術演進路線如圖所示:圖表66:阿斯麥,尼康,佳能對準技術演進路線示意圖資料來源:光刻對準關鍵技術的發展與挑戰邱俊等,華福證券研究所整理2.7.4 掩膜與晶圓的對準ASML對準系統技術演變過程與TTL技術ASML對準技術主要經歷了四代發展:ASML最初采用的對準技術
84、是同軸TTL,因TTL僅使用一階衍射光,位置精度不能滿足日漸提高的對準需求,為了進一步提高對準精度并改善工藝環節對測量信號的影響,公司設計了ATHENA對準技術。后來,為兼容尼康公司和佳能公司的對準標記,進一步推出了SMASH對準技術。此后,為進一步減小標記非對稱性的影響,提高對準精度,ASML開發了ORION對準技術,目前該技術已被應用于ASML推出的極紫外光刻機商用機型中。50資料來源:光刻對準關鍵技術的發展與挑戰邱俊等,華福證券研究所整理圖表69:TTL對準技術示意圖2.7 光刻對準系統:保證曝光后圖形之間的準確套刻 技術參數TTLATHENASMASHORION制程節點/nm13090
85、65575測試波長/nm633532,633532,633,780,85012 wavelengths捕獲范圍/m44444444光斑尺寸/m7007003636衍射范圍/period=16m171113NA0.050.30.60.7干涉產生方式參考干涉 參考干涉自參考干涉自參考干涉圖表67:ASML對準技術的參數對比ASML各代對準技術的性能參數如表所示:可以看出,為了保證測量的穩定性和高精度,系統測量波長的種類和衍射級次的數量都隨著技術更迭而隨之增加,光斑尺寸隨之減小,同時數值孔徑也在逐步增加。ASML的對準技術主要基于相位光柵位置測量原理(PGA)。如圖所示,首先入射光束照射相位光柵生成
86、不同級次的衍射光束,隨后入射參考光柵發生干涉。然后采用光電二極管測量光束強度并掃描相位光柵標記,從而獲得光柵標記的位置信息。TTL對準技術如圖為采用PGA方法的TTL對準技術。首先,激光器出射S偏振光,被偏振分束器(PBS)反射后,由投影物鏡聚焦成直徑 1mm左右的光斑并入射硅片對準標記。緊接著,衍射光經光柵衍射后生成不同的衍射級次,但僅有1衍射級次能夠通過投影物鏡繼續向前傳播,并透過PBS后打在掩膜對準標記上。最后,透過掩膜對準標記的光被分光棱鏡分成兩束光,其中一束光通過透鏡組成像在CCD圖像傳感器上,另一束則通過透鏡導向光電探測器。資料來源:光刻對準關鍵技術的發展與挑戰邱俊等,華福證券研究
87、所整理圖表68:PGA原理圖photodetectorProjectionlensCCDHe-Ne lasermask alignmentmarkwave plate 1 ordersDiffraction beams wafer markwaferaperturewaferwafer stagelaser beamreference gratingdetectormirroralignment signaxx02.7.4 掩膜與晶圓的對準ASML對準系統ATHENA對準技術隨著半導體制造技術的發展,TTL對準技術開始面臨一些新工藝的挑戰。例如,因位置精度與衍射級次成正比,而TTL僅采用1級衍
88、射光,位置精度受到限制,需要采用更高的衍射級次提高位置精度?;赥TL技術的挑戰,阿斯麥公司提出了ATHENA對準技術。51資料來源:光刻對準關鍵技術的發展與挑戰邱俊等,華福證券研究所整理圖表70:ATHENA對準技術原理及衍射級分離示意圖ATHENA技術原理如圖所示,激光光源發出兩個對準波長的入射光,入射光經射頻調制器調制后,由光纖引入光學系統,通過反射棱鏡和PBS合束,然后入射對準標記。經過標記衍射后,兩個波長的入射光再次經過PBS,其中S偏振的入射光經過 PBS后被向上反射出去,P偏振的入射光經過PBS后被向下反射出去。每個波長的入射光在各自方向上傳播并由空間濾波器濾波,其中每個波長包含
89、了17的衍射級次。這些衍射級次經過光楔后傳播方向彼此分開。在像面的不同位置上,正負衍射級次干涉形成干涉條紋,隨后進入detector從而獲得位置信息。2.7 光刻對準系統:保證曝光后圖形之間的準確套刻 ATHENA技術優勢ATHENA對準技術相比于TTL擁有明顯的優勢:衍射級次方面,ATHENA使用了7個衍射級次,由于高衍射級次的測量周期小,分辨率高,靈敏度高,所以測量精度得到了提高。針對TTL系統需要和投影物鏡協同設計的問題,ATHENA將對準光路與投影物鏡光路分開,可保證對準系統和投影物鏡的獨立研發。在工藝穩定性方面,ATHENA可針對不同的工藝選擇合適的波長和衍射級次,減小工藝對測量精度
90、的影響。diffraction orderseparationWavelength1Wavelength2CCDaperturediffraction orderseparationwaveplateoptical fbermodulatormodulatordetectorswave platdwafer markwave platdwafer mark pupil platediffraction order separationreference gratngs7th order5th order3nt order-1st order2nd order6th order4th order
91、2.7.4 掩膜與晶圓的對準ASML對準系統SMASH及ORION對準技術隨著光刻機技術的進一步發展,由于ASML對準系統需要兼容主流公司的對準標記,而不同廠家光刻機使用的對準標記形狀完全不同,需要提出一種可拆卸或可更換參考光柵的設計?;谠搯栴},阿斯麥提出了基于自參考干涉的SMASH對準技術。SMASH對準技術取消了參考光柵,并通過自參考干涉儀生成兩個旋轉180光柵標記的像,可以兼容周期在1.6 m16m范圍內且滿足180旋轉對稱的任何對準標記。做到了對準標記的高度兼容。52資料來源:光刻對準關鍵技術的發展與挑戰邱俊等,華福證券研究所整理圖表71:SMASH對準技術原理示意圖SMASH技術原
92、理SMASH技術的測量原理如圖。光源在物鏡的作用下照射對準標記,對準標記將入射光衍射為111衍射級次,隨后進入自參考干涉儀。自參考干涉儀形成輸入信號的兩個圖像,最后通過點探測器測量所有衍射級干涉生成的信號。SMASH技術的優勢:與TTL和ATHENA相比,由于SMASH的對準結構中沒有參考光柵,使得標記設計更加靈活,并且兼容現有各種對稱對準標記。此外,更大的NA使得系統可以接受更高衍射級次,并提高對準精度。SMASH 技術面臨的挑戰:隨著技術節點不斷縮小,為提高對準精度需減小標記周期,當標記周期只有1m左右時,衍射光束便超出SMASH系統的捕獲范圍。另一方面,當標記因工藝步驟導致非對稱性時,會
93、產生對準位置偏差,標記非對稱性問題逐漸成為制約對準精度的主要因素。2.7 光刻對準系統:保證曝光后圖形之間的準確套刻 自參考干涉儀的原理:通常由兩個屋脊棱鏡和一個PBS組成。入射光進入自參考干涉儀后經PBS分為P偏振光和S偏振光,并各自沿光路在屋脊棱鏡中反射三次后經PBS分光面出射。此時,兩束光波面旋轉180,但是由于偏振方向正交,需經過偏振片后發生干涉。最后通過掃描標記獲得周期性變化的測量信號。ORION對準技術基于此,2017年ASML推出了ORION對準技術,為面向7nm技術節點的浸沒式光刻機NXT:2000i實現1.4nm套刻精度提供了技術支撐。至今為止,ORION被應用于NXT:20
94、50、NXE:3400C等型號光刻機。相較于SMASH,ORION技術在以下方面進一步優化:引入更多的測量通道,波長從4個擴展為12個,增加系統的穩定性;NA從0.60.7,可以適用于更小周期的標記,提高測量精度;改進了機械設計,系統更加穩定,減小振動的影響;采用了優化波長權重法減小標記非對稱性的影響。圖表72:自參考干涉儀原理資料來源:光刻對準關鍵技術的發展與挑戰邱俊等,華福證券研究所整理IncomingScattered Field90 Rotation Prism II(output)Polarizing BeamSplitter Surface90 Rotation Prism(npu
95、t)RecombinedOutput Fieldwafer stagewafer RnRnIlluminationbeamself-referencing interferometerdetectorIoc|Rn+Rn|2532.8 框架/減振/環境控制系統:維持光刻機的整體穩定框架/隔振/環境控制系統框架/隔振/環境控制系統是將工作臺與外部環境隔離,保持水平,減少外界振動干擾,并維持穩定的溫度、壓力的系統。資料來源:EUV Lithography Insertion in High-Volume ManufacturingASML,華福證券研究所整理隔振系統光刻機在工作時會受到外部振動的干擾
96、以及自身運動所產生的干擾,對于光刻機這種精密機械,為了達到比較高的運動控制精度,在光刻機的組成結構中,有幾個重要的部分需要采取振動減振的措施。光刻機的隔振系統主要有兩種功能:一方面,減少光刻機受到振動干擾的影響;另一方面,使光刻機整體在運動中相對位置不變。其中,氣墊傳感器Air mounts是隔振系統中的重要結構。圖表73:氣墊傳感器Air mounts在光刻機中的位置542.8 框架/減振/環境控制系統:維持光刻機的整體穩定光刻機主動隔振系統及氣墊傳感器Air mounts由圖可以看出,和底座相連的是整個隔振系統,然后光刻機放置在隔振系統上面的大理石平臺上。資料來源:光刻機隔振試驗平臺運動控
97、制王永華,華福證券研究所整理圖為光刻機隔振平臺的俯視圖。隔振平臺的形狀是一個等邊三角形,在三邊三角形平臺的下面安裝了3個隔振器。光刻機隔振系統主要可實現以下三個功能:使光刻機受到的外部振動干擾以及內部運動產生的振動降低到最低的水平,從而對光刻機運動精度的影響最小。這是光刻機隔振系統最主要實現的功能。保持光刻機工作在一個固定的位置,避免光刻機位置的偏移。對光刻機動力學的分析能夠有比較大的幫助。光刻機主動隔振系統主要采用3個氣墊式隔振器。氣墊式隔振器Air mounts隔振系統在垂直方向的結構如圖所示:在垂直方向上,氣墊式隔振器包含一個氣缸,這個氣缸可以通過活塞的上下移動來控制氣缸中氣體的體積。在
98、圖中中間區域處,由于周圍氣體的作用,從而產生一個氣壓,這樣就形成了氣墊式隔振器的氣動力。氣墊式隔振器所產生的氣動力具有力大但又比較慢的特點。在水平方向上,則安裝了水平方向放置的音圈電機,通過這個水平方向音圈電機的控制,使基板運動平臺在水平方向上相對于基座保持相對位置不變。圖表74:隔振系統在光刻機中的位置圖表76:隔振系統俯視切面圖圖表75:隔振系統立體圖圖表77:氣墊傳感器結構圖資料來源:SMART DISC application in an ASML wafer stepperTHEO J.A.DE VRIES,華福證券研究所整理資料來源:光刻機主動隔振系統設計與測試分析朱縣亮,華福證券
99、研究所整理資料來源:光刻機主動隔振系統設計與測試分析朱縣亮,華福證券研究所整理Y向單自由度掩膜臺光學系統主平臺 光源基座橡膠層減振器掩膜臺直線電機XY自由度硅片臺減振器硅片臺直線電機地基負載t振動傳感器位置傳感器隔振器1隔振器3隔振器2 552.9 傳輸系統:精確銜接光刻機運行工序2.9.0 光刻機傳輸系統光刻機傳輸系統又分為硅片傳輸系統和掩膜傳輸系統。資料來源:步進投影光刻機及其常見故障分析雷宇,華福證券研究所整理圖表78:硅片傳輸系統的組成及功能硅片傳輸系統硅片輸運分系統的任務是,將軌道機傳遞來的硅片準確無誤地按照一定的角度和位置在預對準平臺內進行預對準。預對準完成后,由機械手將硅片按照預
100、定的位置放在硅片平臺上。當硅片完成曝光,再由硅片運輸分系統將其輸送到光刻機和軌道機的接口處,等待軌道機的機械手將其取走。掩膜傳輸系統掩膜傳輸系統是對掩膜版進行預對準、表面缺陷/沾污的掃描以及將掩膜版輸送到掩膜版移動平臺上的結構。它的功能是曝光前把板盒內相應的掩膜版取出,經粗預對準和精預對準后,將掩膜版置于掩膜工作臺上。曝光完畢后,將掩膜版從掩膜工作臺放到取板時的板槽內。圖表79:掩膜傳輸系統結構圖資料來源:Robots and Design,華福證券研究所整理硅片傳輸系統功能:把要曝光的圓片,經過預對準系統找標記、定中心、找平邊以后,把預對準后的圓片放到工作臺的曝光位置,曝光完畢將圓片放回片盒
101、相應的片槽內。組成:標記傳感器、硅片旋轉機構、硅片定中機構、平邊探測器等。組成:片盒升降機構、片盒內硅片水平檢測、取片機械手、異型機械手、下片機構等。硅片傳輸單元硅片預對準單元掩膜傳輸系統板盒升降結構板盒檢測及板盒類型傳感器掩膜版檢測傳感器掩膜版條形碼讀取單元掩膜版標記讀取單元掩膜版粗預對準掩膜版精預對準上下掩膜版取放結構資料來源:步進投影光刻機及其常見故障分析雷宇,華福證券研究所整理圖表80:掩膜傳輸系統的組成2.9 傳輸系統:精確銜接光刻機運行工序2.9.1 傳輸系統重要組成部分機械手Robot半導體機械手可實現有限空間中對晶圓的快速搬運和定位。半導體機械手可分為真空機械手和潔凈機械手。潔
102、凈機械手應用于潔凈的大氣環境中,一般將驅動和傳動部件隔離于晶圓傳輸路徑之外,從而減少部件運動可能造成的晶圓表面污染。真空機械手應用于110-5 Pa的真空環境中,在滿足潔凈要求的同時,還需達到真空環境的要求,因而其結構比潔凈機械手更為復雜。按傳動方式,可分為平面關節型機械手和徑向直線運動型機械手,后者應用更為廣泛。56資料來源:晶圓傳輸機械手結構淺析陳英男等,華福證券研究所圖表81:平面關節型機械手資料來源:QYResearch,華福證券研究所整理圖表84:全球半導體機械手市場銷售額10.1%19.4%5.2%2.6%14.5%20.0%12.2%9.7%7.7%7.2%6.6%6.4%0%5
103、%10%15%20%25%0 2 4 6 8 10 12 14 201620172018201920202021E2022E2023E2024E2025E2026E2027E全球半導體機械手市場銷售額(億元左軸)YoY(右軸)機械手包括一個機身和一個具有多個鏈接的手臂。機械臂的一端裝有晶片支架,機械臂的基座用作驅動連接件,并可旋轉地連接到機身。當驅動連桿由電機驅動旋轉時,機械臂的一端會受到約束,并沿直線或曲線軌跡移動。光刻機的同步機械手是物料傳輸系統的關鍵部件。同步機械手將物料從預對準臺傳輸至對準工作臺,同時將已完成曝光的物料由對準工作臺傳輸至下料庫。同步機械手的雙手臂之間的距離由預對準工作臺
104、和對準工作臺之間的距離決定。據QYResearch數據,2020年全球半導體機械手市場銷售額為6.97億美元,預計2027年將達到13.48億美元,CAGR高達9.88%。圖表82:機械手原理圖圖圖表83:同步機械手結構圖資料來源:Wafer Handling Robot專利US9287149B2,華福證券研究所整理資料來源:高效率光刻機系統設計黨景濤等,華福證券研究所整理572.9.2 掩膜版掩膜版的構成及分類資料來源:華經產業研究院,華福證券研究所整理產品名稱圖示介紹石英掩膜版使用石英玻璃作為基板材料,光學透過率高,熱膨脹率低,相比蘇打玻璃更為平整和耐磨,使用壽命長,主要用于高精度掩膜版。
105、蘇打掩膜版使用蘇打玻璃作為基板材料,光學透過率較高,熱膨脹率相對高于石英玻璃,平整度和耐磨性相對弱于石英玻璃,主要用于中低精度掩膜版。其他凸版使用不飽和聚丁二烯樹脂作為基板材料,主要用于液晶顯示器(LCD)制造過程中定向材料移??;菲林使用PET作為基板材料,主要應用于電路板掩膜。資料來源:清溢光電招股書,華福證券研究所整理圖表86:不同材質基板掩膜版類型對比掩膜版又稱光罩、光掩膜、光刻掩膜版等,是微電子制造過程中的圖形轉移工具或母版,是承載圖形設計和工藝技術等知識產權信息的載體。掩膜版主要由基板和遮光膜組成:1)基板:分為樹脂基板和玻璃基板,玻璃基板主要包括石英基板和蘇打基板;2)遮光膜根據種
106、類的不同,可以分為乳膠和硬質遮光膜。光掩膜按用途分類可分為鉻版、干版、液體凸版和菲林。其中,鉻版精度最高,耐用性更好,廣泛應用于平板顯示、IC、印刷線路板和精細電子元器件行業;干版、液體凸版和菲林主要用于中低精度LCD行業、PCB及IC載板等行業。圖表85:掩膜版分類掩膜版按組成分按產品分基板遮光膜樹脂基板玻璃基板乳膠遮光膜硬質遮光膜銘版凸版干版液體凸版石英玻璃鵬硅玻璃蘇打玻璃銘硅硅化鉬氧化鐵2.9 傳輸系統:精確銜接光刻機運行工序2.9.2 掩膜版工作原理及功能作用工作原理:根據客戶所需要的圖形,掩膜版廠商通過光刻制版工藝,將微米級和納米級的精細圖案刻制于掩膜版基板上(掩膜版的原材料掩膜版基
107、板是制作微細光掩膜圖形的感光空白板),隨后再將不需要的金屬層和膠層洗去,即得到掩膜版產成品。掩膜版對下游行業生產線的作用主要體現為,利用掩膜版上已設計好的圖案,通過透光與非透光的方式進行圖像(電路圖形)復制,從而實現批量生產。資料來源:路維光電招股書,華福證券研究所整理圖表89:半導體掩膜版曝光示意圖資料來源:清溢光電招股書,華福證券研究所整理圖表87:掩膜版的工作原理資料來源:路維光電招股書,華福證券研究所整理圖表88:平板顯示掩膜版曝光示意圖2.9 傳輸系統:精確銜接光刻機運行工序 掩膜版的功能類似于傳統照相機的“底片”:將設計者的電路圖形通過曝光的方式轉移到下游行業的基板或晶圓上,從而實
108、現批量化生產。作為光刻復制圖形的基準和藍本,掩膜版是連接工業設計和工藝制造的關鍵,掩膜版的精度和質量水平會直接影響最終下游制品的優品率。掩膜版(已承載下游圖形設計和工藝技術信息)下游制程材料曝光光源曝光等工藝下游可大批量得到將圖形設計及工藝技術信息“復印”后的材料58592.9.2 掩膜版光掩膜版的市場與應用市場規模:光掩膜屬于高端半導體材料,占據全球晶圓制造材料的12%。華經產業研究院數據顯示,根據2020年晶圓制造市場規模349億美元測算,全球半導體光掩膜市場規模在41.9億美元左右。下游應用:光掩膜廣泛應用于IC、LCD、OLED和PCB等領域。在光掩膜的下游應用領域占比中,光掩膜在IC
109、領域需求占比最高,達60%,其次為LCD領域,達23%。隨著半導體芯片工藝制程的技術節點不斷邁進,晶圓線寬不斷減小,同體積芯片所能容納基礎單元結構更多,所需要的光掩膜數量也相應增加。資料來源:華經產業研究院,華福證券研究所整理圖表90:2020年全球晶圓制造材料市場份額占比37%12%12%13%6%硅片光掩膜光刻膠及附屬產品電子特氣濕法化學品CMP材料靶材其他2.9 傳輸系統:精確銜接光刻機運行工序60%23%5%2%10%ICLCDOLEDPCB其他圖表91:全球光掩膜下游應用占比資料來源:華經產業研究院,華福證券研究所整理第一部分:半導體工藝及光刻簡介第二部分:光刻機及其子系統工作原理第
110、三部分:光刻機競爭格局與行業發展趨勢第四部分:他山之石ASML光刻巨頭崛起之路第五部分:投資建議及國產光刻機供應鏈相關公司目錄603.1 競爭格局:一超雙強格局穩定,ASML一枝獨秀3.1.1 光刻設備市場份額及出貨量情況從市場集中度來看,全球光刻機長期由ASML、Nikon和Canon三家公司壟斷,CR3高達99%,行業集中度及進入壁壘極高。從高端光刻機出貨量上看,ASML憑借技術領先優勢,獨占2022年全球中高端光刻設備(包括EUV、ArFi及ArF)出貨量的95%,而Nikon占據另外5%的份額。61圖表92:全球光刻機市場份額(按銷量臺)資料來源:各公司年報,華福證券研究所整理圖表93
111、:2022年全球高端光刻機出貨量占比資料來源:各公司年報,華福證券研究所整理58%60%67%55%65%62%65%63%28%22%20%21%20%30%29%32%14%18%13%14%15%8%6%5%0%10%20%30%40%50%60%70%80%90%100%20152016201720182019202020212022ASMLCanonNikonASML,149,95%Nikon,8,5%Canon,0,0%ASMLNikonCanon圖表95:全球主要廠商光刻設備覆蓋情況資料來源:各公司年報,華福證券研究所整理3.1 競爭格局:一超雙強格局穩定,ASML一枝獨秀3.1
112、.2 全球主要廠商光刻設備覆蓋情況從產品覆蓋度來看,ASML為全球光刻設備行業的絕對龍頭,完全壟斷全球的第五代超高端光刻機(EUV光刻機)市場,且在中高端市場的ArF與浸沒式ArFi設備中分別占據88%及95%的份額,產品覆蓋度達100%,市場壟斷地位穩固。Nikon和Canon主攻中低端光刻機市場,共占市場份額約35%。國內廠商上海微電子已攻克90nm節點,在后道封裝光刻領域占據國內市場份額80%。62圖表94:2022年全球高端光刻機細分市場份額資料來源:各公司年報,華福證券研究所整理TWINSCANNXETWINSCANNXTTWINSCAN XTPAS5500 節點:7-5nm 光源:
113、EUV 節點:28-7nm 光源:ArFi 節點:65nm+光源:ArF/KrF/I-line 節點:90nm+光源:ArF/KrF/I-line100%95%88%5%13%0%20%40%60%80%100%EUVArFiArFASMLNikon高端超高端中端低端-30%-20%-10%0%10%20%30%01020304050602016-012016-042016-072016-102017-012017-042017-072017-102018-012018-042018-072018-102019-012019-042019-072019-102020-012020-042020
114、-072020-102021-012021-042021-072021-102022-012022-042022-072022-102023-012023-042023-07半導體銷售額(十億美元左軸)半導體銷售額YoY(右軸)633.2 行業有望企穩回升,在手訂單狀況良好3.2.1 半導體行業周期復盤從2016年至今,半導體行業共經歷了兩次完整周期,行業景氣度是產品、產能和庫存三個維度疊加作用的結果。2016-2019Q2:2016Q1-2017Q2受益4G/服務器/汽車等下游需求的高速發展,半導體行業進入高速成長期,隨后產能利用率高位企穩、供需缺口不斷縮小,達到穩態;2018Q3-2019
115、Q2受中美貿易戰影響,手機、PC等消費電子產品需求下行導致存儲芯片需求大幅減少。2019Q2-2023E:2019Q3-2020Q1觸底反彈,主要系政策大力扶持,包括半導體企業登陸科創板和國家集成電路產投基金二期成立等,以及5G和智能終端應用加速滲透;2020Q2-2021Q2期間,在經歷新冠疫情的短暫擾動后,宅經濟驅動PC/NB/平板需求爆發;2021Q4后新能源及消費電子需求出現分化,庫存積壓及消費電子終端需求低迷導致周期回落。隨著智能化趨勢深入推進,AIGC、AIOT、XR和智能車載等滲透率的提升將驅動半導體行業的中長期成長。2023年3月至今:據SIA數據顯示,2023年9月全球半導體
116、銷售額環比增長1.9%,至此,全球半導體銷售額已實現連續七個月的環比增長,半導體景氣回升或已接近破曉時分。圖表96:2016-2023Q2全球半導體銷售額及增速資料來源:WIND,華福證券研究所整理643.2 行業有望企穩回升,在手訂單狀況良好3.2.2 在手訂單持續走高,光刻設備供不應求BB值是衡量半導體行業景氣度的先行指標,也是衡量公司產品需求與供給關系的前瞻性指標。2022年ASML新增訂單需求合計約為306.74億歐元。根據公司公開數據,我們測算得到2022年公司單季度BB值呈現持續上升態勢,Q3該值高達2.37,由此判斷公司光刻設備處于訂單積壓和供不應求的狀態,奠定了未來公司設備持續
117、增長的基礎。過往年份,單季度BB值雖跟隨行業景氣度呈現周期性波動,但基本保持在1以上,由此我們判斷ASML光刻設備訂單總體狀況良好,下游制造商對公司光刻設備需求強勁。圖表97:ASML單季度Book-to-Bill比值資料來源:ASML公告,華福證券研究所整理-10123040801201602016-092017-012017-052017-092018-012018-052018-092019-012019-052019-092020-012020-052020-092021-012021-052021-092022-012022-052022-092023-01半導體銷售額(十億美元左軸
118、)YoY(右軸)BB值(右軸)00.511.522.52016Q32016Q42017Q12017Q22017Q32017Q42018Q12018Q22018Q32018Q42019Q12019Q22019Q32019Q42020Q12020Q22020Q32020Q42021Q12021Q22021Q32021Q42022Q12022Q22022Q3BB值圖表98:BB值是衡量行業景氣度的前瞻性指標資料來源:WIND,ASML公告,華福證券研究所整理653.3 創新驅動芯片需求3.3.1 汽車電子:新四化大勢所趨,汽車芯片市場需求廣闊汽車新四化趨勢對芯片提出更高要求。根據中國汽車工業協會數據
119、顯示,傳統燃油車所需汽車芯片數量為600-700顆/輛,電動車所需數量則提升至1600顆/輛,而智能汽車對芯片的需求量約為3000顆/輛。在汽車電動化、網聯化、智能化、共享化趨勢日漸明確的背景下,汽車芯片的增長空間將不斷拓展。據2021中國汽車半導體產業大會發布的數據,2021年全球汽車半導體市場約為505億美元,預計2027年汽車半導體市場總額將達到951.7億美元,2022-2027年期間年復合增長率達11.22%。圖表99:傳統燃油車與純電動車芯片應用對比資料來源:中國汽車工業協會,華福證券研究所整理23%21%13%43%傳統燃油車各類芯片應用占比MUC功率半導體傳感器其他11%55%
120、7%27%純電動車半導體價值分配MUC功率半導體傳感器其他圖表100:全球汽車芯片市場規模(億美元)資料來源:海思預測,華福證券研究所整理338.7373.6412.6456.1504.7559.2620.2688.9766.1853.3951.70%5%10%15%20%25%30%35%40%01002003004005006007008009001000201720182019202020212022E2023E2024E2025E2026E2027E全球市場規模及預測YoY663.3.2 AIoT:終端應用多樣化,AIoT市場需求擴增AIoT是人工智能與物聯網的融合與優勢互補,將多模態
121、數據通過萬物互聯賦能于各類應用場景。隨著AI在IoT領域的持續滲透,以及AIoT于產業段的規?;涞?,終端形態的多樣化和分散化使得邊端數據量呈指數型增長,對芯片和算力的要求不斷提高。據IDC數據,2019年全球AIoT市場規模達到2264億美元,預計2022年達到4820億美元,CAGR高達28.65%,硬件與智能終端市場規模約為1205美元。芯片約占AIoT產業鏈價值量1/10。據Ericsson數據,在AIoT產業鏈的價值量中,硬件及智能終端約占25%,通信服務和平臺服務各占10%,軟件開發/系統集成/增值和應用服務占55%。由IDC數據,2022年芯片生產商價值量約為482億美元。圖表1
122、01:AIoT市場規模(億美元)資料來源:IDC,華福證券研究所整理圖表102:AIoT產業鏈價值量構成資料來源:2021全球AIoT開發者生態白皮書,華福證券研究所整理2264482005001000150020002500300035004000450050002019202210%15%10%10%55%傳感器/芯片生產商模組/智能終端生產商通信服務平臺服務軟件開發/系統集成/增值服務/應用服務CAGR=28.65%3.3 創新驅動芯片需求673.3.3 XR:與AI深度融合,市場規模增速迅猛拓展現實(XR)是一個技術統稱,包含VR、AR和MR。XR頭顯是實現沉浸式體驗的關鍵設備,其發展
123、依賴硬件與生態的良性循環和共同驅動,被視為元宇宙的入口。AI技術帶來應用端革新,將推動XR行業高速增長,市場需求廣闊。據Counterpoint數據,2021年全球XR頭顯出貨量已達1100萬臺,預計2025年將增長10倍并突破1.05億臺。據Statista數據,預計2026年全球XR設備市場規模突破1000億美元,2021-2026年CAGR高達39.7%。芯片是XR終端設備的心臟,在組件中價值量最高。芯片組主要由SoC和存儲芯片構成,是XR設備的算力底座,直接決定了設備的穿戴體驗。以PICO 4 VR一體機為例,據Wellsenn XR數據,芯片、屏幕、光學和傳感器共占XR設備硬件綜合成
124、本的75%,其中芯片組的合計成本為114.65美元,占比35%。圖表104:PICO4 VR一體機綜合成本構成資料來源:Wellsenn XR,華福證券研究所整理圖表103:全球XR設備市場規模資料來源:Statista,華福證券研究所整理芯片,114.65,35%屏幕,56,17%光學,40,12%傳感器,36.6,11%OEM/ODM,15,4%結構件,12,4%PCB,8.8,3%芯片屏幕光學傳感器OEM/ODM結構件PCB電池聲學配件散熱件包裝其他00.10.20.30.40.50.6020406080100120202120222023E2024E2025E2026EXR市場規模(十
125、億美元左軸)YoY(右軸)3.3 創新驅動芯片需求683.4.1 光刻設備有望實現量價齊升光刻設備需求增長主要來自EUV光刻機。2022年公司EUV設備出貨量僅40臺,出貨金額占比卻高達48%。量:AIGC興起和未來大模型的落地將催生HPC等算力需求的指數級增長,大幅提振晶圓預期需求。晶圓尺寸擴大和產能提升將拉升光刻需求,制程升級則增加單片晶圓所需光刻步驟數量,由此帶動光刻設備尤其是高端設備的需求日益擴增。據SEMI數據,2020-2024年全球共有86家新增晶圓廠項目投產,屆時全球8與12英寸晶圓產能將分別提高近20%與50%。價:隨著芯片制程不斷演進,前道光刻設備制造工藝日漸復雜,單臺售價
126、持續攀升。2022年ASML EUV設備ASP約達1.76億歐元,約為浸沒式ArFi的2.7倍,較上年增長17.72%,High-NA EUV設備單價則將高達3-3.5億歐元。圖表105:ASML光刻設備出貨金額(按類型億歐元)資料來源:ASML公告,華福證券研究所整理70.4552.376.2416.542.1202040608010012014016020182019202020212022EUVArFiArFKrFI-line40 81 28 151 45 05010015020025030035040020182019202020212022EUVArFiArFKrFI-line圖表1
127、06:ASML光刻設備出貨量(按類型臺)資料來源:ASML公告,華福證券研究所整理0.830.991.041.081.441.501.760.0 0.4 0.8 1.2 1.6 2.0 2016201720182019202020212022圖表107:ASML EUV設備平均單價(億歐元)資料來源:ASML公告,華福證券研究所整理3.4 先進制程驅動成長693.4.2 先進制程催生下一代光刻需求按照晶體管單位數量的不同,光刻設備下游芯片分為成熟制程(功率芯片、成熟邏輯/模擬、傳感器芯片)和先進制程(DRAM、先進邏輯、NAND)兩類。先進制程主要包括128層及以上3D NAND、18nm以下
128、DRAM、16/14nm以下邏輯芯片。技術迭代階段:先進邏輯:ASML預計2022-2024年邁入3nm階段,2025年進入2nm時代,2nm仍處于研究階段。DRAM:2022年DRAM的量產節點為1A,ASML預計在2022與2024年開始應用1B與1C技術。NAND:2022年量產NAND層數可達176層,ASML預計2026-2027年NAND層數將高達400層以上。圖表108:邏輯與內存芯片技術迭代路線資料來源:ASML官網,華福證券研究所整理3.4 先進制程驅動成長圖表109:各類先進芯片的光刻設備使用比例資料來源:ASML官網,華福證券研究所整理703.4.2 先進制程催生下一代光
129、刻需求EUV光刻需求主要來自先進邏輯與DRAM。隨著制程節點的不斷演進,EUV在先進邏輯和DRAM中的生產費用占比不斷提升;NAND主要使用ArFi和干式光刻機,在不同的制程節點下,光刻設備費用比例均保持基本穩定。3.4 先進制程驅動成長713.4.3 先進制程為業績增長的主要推手先進制程為業績增長的主要推手。成熟制程芯片仍為當前主要存量市場,預計其增量需求將主要來自工業及汽車領域。先進制程芯片市場占比暫處于低位。據IC Insights數據,先進制程占比約為39%。隨著服務器、數據中心與云邊端交互成為需求主力,對應晶圓需求量將持續攀升,全新業績增長曲線將由此打開,未來增長空間廣闊。High-
130、NA EUV是先進制程芯片的主力生產設備。High-NA EUV設備將具有集光能力的鏡頭的NA從0.33提高至0.55,分辨率由13nm提升至8nm,提升了圖形的對比度和良率,能較現有low-NA EUV設備處理更為精細的半導體電路。High-NA EUV為公司下一研發重心,ASML預計2027-2028年將實現年產能20臺。圖表110:各類先進芯片對應晶圓需求量(百萬片/月,按細分市場)資料來源:ASML官網,華福證券研究所整理0.02.04.06.08.010.020202025E2030E成熟邏輯臺式電腦和筆記本智能手機和平板電腦服務器與云汽車消費(含可穿戴)工業與通信0.00.51.0
131、1.52.02.53.03.520202025E2030E先進邏輯臺式電腦和筆記本智能手機和平板電腦服務器與云汽車消費(含可穿戴)工業與通信0.00.51.01.52.02.520202025E2030EDRAM臺式電腦和筆記本智能手機和平板電腦服務器與云汽車消費(含可穿戴)工業與通信0.00.51.01.52.02.53.020202025E2030ENAND臺式電腦和筆記本智能手機和平板電腦服務器與云汽車消費(含可穿戴)工業與通信3.4 先進制程驅動成長第一部分:半導體工藝及光刻簡介第二部分:光刻機及其子系統工作原理第三部分:光刻機競爭格局與行業發展趨勢第四部分:他山之石ASML光刻巨頭崛
132、起之路第五部分:投資建議及國產光刻機供應鏈相關公司目錄724.1.1 ASML簡介光刻機全球龍頭 ASML是全球最大的半導體光刻機設備及服務提供商,具有完善的全球布局,在半導體設備企業中市值排名第一。ASML成立于1984 年,總部位于荷蘭費爾德霍芬,至今已成立35 年,是一家專注于提供整體光刻解決方案的供應商,并為其客戶提供工具、硬件、軟件的一體化服務。截止2022年底,ASML 在16個國家60多個城市設有辦事處,在荷蘭、美國、中國大陸、臺灣、韓國均設有研發與生產中心。公司共有來自143個國家的員工超過39000 名,其中14000 名以上屬于研發人員。4.1 ASML公司概況圖表111:
133、全球光刻機供應商市值對比(億美元)資料來源:WIND(數據截至2023/11/10),華福證券研究所整理圖表112:ASML公司全球分布圖資料來源:ASML2022年年報,華福證券研究所整理73050010001500200025003000NikonCANONASML4.1.2 ASML光刻巨人崛起之路ASML的成功之路也是其產業鏈聯盟構建、創新技術產品研發的發展之路。產業生態多年持續擴張。ASML通過收購和投資,與40家產業鏈核心供應商建立了緊密聯系。2001年,公司收購SVG并合作研發了雙工件臺技術,該技術成為ASML逐漸一騎絕塵的開山之作;2012年,臺積電、三星和英特爾注資ASML數
134、十億,用于加速EUV光刻技術研發;2013-2016年,ASML相繼收購光學領域龍頭Cymer、臺灣HMI,進一步增強其光刻方面的產品組合。最終,ASML在光刻機的設計、制造、集成、調試等方面均與該領域最領先的公司建立了緊密聯系。ASML自身所擁有的尖端技術和產品是產業生態聯盟建立的前提。2001年,ASML推出了TWINSCAN雙工件臺系統,使生產效率提高大約35%,精度提高10%以上,該技術一直被壟斷至2016年;2007年,ASML發布全球首個193nm的浸入式系統TWINSCAN XT:1900,使ASML超越當時的光刻機龍頭尼康和佳能,成為光刻機的全球霸主;2010年,公司交付了全球
135、第一臺EUV光刻機,憑借其極高的技術壁壘,ASML至今仍是全球唯一的EUV光刻機供應商。1984飛利浦和AMSI創建ASML光刻機公司,并推出第一個系統PAS 2000步進器。1988開始在亞洲市場取得進展,由于市場競爭激烈,ASML陷入巨額虧損。同年,獲得臺積電17臺訂單成為轉折。1995飛利浦在IPO時出售一半股份。ASML在阿姆斯特丹上市。2003-20072003年首臺浸入式光刻機亮相;2007年ASML發布全球首個193nm的浸入式系統,超越Nikon成為行業內的絕對龍頭;同年收購了BRION公司,正式開啟“整體光刻”戰略。2013-20152013年收購光源制造商Cymer,交付了
136、第二代EUV系統;2015年交付第三代EUV系統(NXE:3350)。2020EUV進入大批量制造,實現100次EUV系統的出貨;收購Berliner Glas集團1985推出PAS 2500步進器;與鏡片制造商卡爾蔡司建立合作關系。1990推出PAS5500,憑借其行業領先的生產力和分辨率,為ASML帶來了關鍵客戶,實現盈利。2001推出TWINSCAN和雙工作臺技術,完成對硅谷集團收購。2010-20122010年交付第一臺極紫外光刻工具原型(NXE:3100),標志光刻技術新時代的開始;2012獲三星、臺積電、英特爾數十億注資。2016-20172016年收購了電子束計量工具領先供應商H
137、MI;2017ASML 收購了德國卡爾蔡司SMT股份有限公司 24.9%的間接股權,同年 TWINSCAN NXE:3400B 機臺正式出貨。2023向客戶交付第一批EXE系統下一代數值孔徑為0.55的EUV平臺。資料來源:ASML官網,華福證券研究所整理圖表113:ASML公司發展歷程4.1 ASML公司概況74 4.1.3 ASML股權結構 股東方面:截止至2023年2月8日,ASML主要股東為Capital Research and Management Company,黑石以及T.Rowe Price Group,均為美國知名的資產管理公司,三者共計持股21.97%。子公司方面:ASM
138、L主要子公司Hermes Microvision、Cymer等為ASML提供光源、電子束系統、晶片臺、反射鏡塊等光刻機重要部件和晶圓制造、直線電機技術等關鍵技術支持。上述子公司為ASML提供了全球范圍內光刻機領域的頂尖科技,使其能更高效地整合產業資源并推動核心技術研發,這也是ASML能在光刻機領域保持霸主地位的主要原因之一。75資料來源:Crunchbase,各公司官網,ASML2022年報,華福證券研究所整理Capital Research and Management CompanyHermes Microvision 黑石T.Rowe Price GroupCymer10.29%8.25
139、%3.43%其它78.03%Wijdeven-Motion BusinessBerliner Glas GroupBrion Technologies電子束系統光源晶圓制造供應商直線電機技術晶片臺、反射鏡塊等ASML Holding N.V.(ASML)100%100%100%100%100%圖表114:ASML股權結構示意圖4.1 ASML公司概況管理團隊職位履歷Peter T.F.M.Wennink總裁、管理委員會主席、CEOFME-CWM董事會副主席、Holland High Tech理事會成員;埃因霍芬理工大學監事會主席;財富2020年度商業人物排名12;荷蘭政府養老基金 Sticht
140、ing PensioEnfonds ABP 顧問。Martin A.van den Brink總裁、管理委員會副主席、CTO2008-SPIE Frits Zernike Award(國際光學工程學會);2014-IEEE Cledo Brunetti Award(國際性電氣與電子工程師協會);2015-IEEE Robert N Noyce Medal;2019-Imec Lifetime of Innovation Award(比利時微電子研究中心)Roger J.M.Dassen執行副總裁、CFO阿姆斯特丹大學教授;荷蘭國家銀行監事會成員;德勤會計師事務所合伙人(1996-2018);德
141、勤控股公司CEO(2005-2012);德勤集團全球副主席和執行委員會成員(2012-2018)。Christophe D.Fouquet執行副總裁、CBOEUV執行副總裁(2018-2022);ASML應用執行副總裁(2013-2018);KLA Tencor營銷總監(2003-2008);Applied Materials全球產品經理(1997-2001)。Frdric J.M.Schneider-Maunoury執行副總裁、COOAlstom熱產品制造副總裁(1996-2009);法國貿易和工業部任多職(1988-1996)。4.1.4 ASML管理團隊具有強大的金融、管理、科技行業的學
142、術及產業背景。公司CEO為前德勤會計師事務所合伙人,具備一流的管理能力,現擔任荷蘭國家基金委員會成員,在2020年全球財富商業人物排名12;公司CTO因半導體光刻成像、納米技術、微電子研究杰出貢獻分別獲全球性研究協會SPIE、IEEE、IMEC榮譽獎項;公司CFO曾任德勤全球副主席、現任荷蘭國家銀行監事會成員,具有強大的金融財會背景;公司CBO和COO分別任職前EUV執行副總裁,前ASML執行副總裁和Alstom副總裁,在法國貿易和工業部任多職,在相關領域有豐富的從業經歷。資料來源:ASML年報,insidertrades,eindhovennews,semiconchina,華福證券研究所整
143、理圖表115:ASML管理團隊圖4.1 ASML公司概況76 4.1.5 財務分析2007年起至今,ASML的營收、毛利與凈利潤均穩中有升 從營業收入來看,ASML的營收從2007年的41億美元增長到2022年的170億美元,期間年復合增長率為為9.95%;從凈利潤與毛利潤來看,ASML的凈/毛利潤分別從2007年的7/17億美元增長至2022年的62/118億美元,CAGR分別為15.65%與13.79%。自2010年起,由于ASML交付了第一臺極紫外(EUV)光刻工具原型(NXE:3100),并成為EUV光刻機的唯一生產廠商,加之其開始踐行“整體光刻”戰略,其營收、毛利與凈利潤迅速提升,并
144、在后續數年中均保持著整體上升態勢。自2017年起,半導體產業持續復蘇,全球晶圓代工廠大范圍興建,工藝制程不斷提升。作為光刻機全球龍頭和市場份額的絕對領先者,ASML迎來了營收、毛利潤和凈利潤的新一輪增長。77圖表116:營業收入及增長率圖表117:凈利潤/毛利潤及增長率資料來源:公司年報,華福證券研究所整理資料來源:公司年報,華福證券研究所整理4.1 ASML公司概況4.76%-21.62%-45.96%182.44%25.36%-16.27%10.86%11.65%7.36%8.07%31.91%22.11%8.00%-12.72%32.34%13.02%-100%-50%0%50%100%
145、150%200%0 20 40 60 80 100 120 140 160 180 2007200820092010201120122013201420152016201720182019202020212022營業收入(億美元)YoY-200%0%200%400%600%800%1000%-200204060801001201402007200820092010201120122013201420152016201720182019202020212022凈利(億美元)毛利(億美元)凈利YoY毛利YoY4.1.5 財務分析分產品銷量情況 從ASML產品細分類型上看,ASML的主要銷售額來自E
146、UV、KrF和ArFi光刻機,兩者合計銷售額占總銷售額的90%以上。其中,在2019年前,ArFi是ASML所有在售產品中的銷售額主要來源,其總銷售額占比達50%以上;2019年后,隨著ASML的EUV技術趨于成熟,公司光刻機量價齊升,EUV光刻機超越ArFi機臺成為銷售額的主要來源。78圖表118:ASML細分產品銷售占比資料來源:公司年報,華福證券研究所整理圖表119:ASML銷售總量及增長率資料來源:公司年報,華福證券研究所整理514111826314240766770768682688181396131622222228387457717865103131151141820262634
147、343345951141151371962160%10%20%30%40%50%60%70%80%90%100%201420152016201720182019202020212022EUVArFiArF dryKrFI-lineMetrology&Inspection-0.13%7.88%34.38%28.94%10.12%14.27%31.83%12.42%-5%0%5%10%15%20%25%30%35%40%0 20 40 60 80 100 120 140 160 180 201420152016201720182019202020212022EUVArFiArFKrFI-lineM
148、etrology&Inspection總銷量增速4.1 ASML公司概況 4.1.5 財務分析分地區銷量及價格走勢 從各地區銷售額來看,亞洲為公司產品的主要銷售地,主要銷售地區為中國臺灣、韓國及美國。2018年前,韓國持續占據ASML銷售額榜首,2018年后,隨著臺積電與ASML的合作不斷加深,中國臺灣超越韓國成為ASML的最大銷售區域。此外,中國大陸的光刻機采購額也在逐年提升。從價格走勢來看,由于EUV光刻機具備斷層技術優勢,且多年由ASML全球獨家壟斷,所以售價最高,其價格從2014年的0.65億美元/臺上升至2022年的每臺1.9億美元,期間價格年復合增長率為6.96%。除此之外,ArF
149、i與ArF光刻機價格位列其后,2022年價格分別為0.70億美元與0.24億美元。除EUV價格持續高漲,其他類別光刻機的價格走勢均相對穩定。79圖表120:各地區銷售額占比資料來源:公司年報,華福證券研究所整理圖表121:細分產品價格走勢(百萬美元)資料來源:公司年報,華福證券研究所整理0%10%20%30%40%50%60%70%80%90%100%201420152016201720182019202020212022日本韓國新加坡中國臺灣中國大陸其余亞洲地區荷蘭歐洲、中東與非洲美國64.76 76.03 87.70 106.45 112.81 116.30 155.51 161.59 1
150、90.22 49.42 52.20 54.29 57.25 60.37 62.00 62.21 66.13 69.82 11.74 12.90 21.02 15.49 18.52 19.70 20.96 21.20 24.06 10.84 10.91 10.09 11.31 11.91 11.29 10.61 10.89 11.83 0 20 40 60 80 100 120 140 160 180 200 201420152016201720182019202020212022EUVArFiArF dryKrFI-lineMetrology&Inspection4.1 ASML公司概況 4.
151、1.5 財務分析持續高投入延續/推動摩爾定律,研發/專利實力無人能及研究投入&費率:ASML通過參與研究中心、芯片制造商和政府項目,與私人和公共伙伴合作來推動研發。公司在日本、臺灣、荷蘭和美國設有開發中心。2021年該公司的研發支出為18.62億歐元,占收入的13.6%。2022年研發支出22.82億歐元,占收入的14.79%,較2021年增長了22.59%,較2019年增長了15.95%。研發人員占比:ASML現有員工共39086名,分布在研發領域的員工有14181名,占總員工人數的36.28%。ASML的研發部門擁有超過14000名業內頂尖人才,并且每年以7-8%的速度遞增。除此之外,AS
152、ML設立了專門的企業知識產權部門以最大限度地提高ASML的知識產權價值和執行ASML的戰略目標。4.1 ASML公司概況80圖表122:2018-2022ASML公司研發投入占比圖表123:2018-2022ASML公司研發支出圖表124:2018-2022ASML公司專利數量10000110001200013000140001500016000170002018201920202021202214.40%16.65%15.31%13.64%14.79%10%11%12%13%14%15%16%17%201820192020202120221575.91968.51579.91861.6228
153、2.10500100015002000250020182019202020212022資料來源:ASML年報,華福證券研究所整理資料來源:ASML年報,華福證券研究所整理資料來源:ASML年報,華福證券研究所整理 4.1.6 ASML產品矩陣81圖表125:ASML公司DUV和EUV產品系列演進EUV0.33 NA,13 nm 0.55 NA,8 nmNXE:4000F220wphEXE:52000.8nm|220wphNXE:3600D1.1nm|160wphNXE:3400C1.5nm|135wph2/145wph3NXE:3800E195wph/220wph3EXE:5000at ASM
154、L fabEXE:50001.1nm|150wph1DUVArFicritical1.35 NA,38 nmmid-critical202020222021202320252024NA,Half pitchWavelengthNXT:2000i2.0nm|275wphNXT:2050i1.5nm|295wphNXT:2100i1.3nm|295wphNEXTNEXT:1980Fi2.5nm|330wphNXT:1980Di2.5nm|275wphNXT:1980Ei2.5nm|295wphArFXT0.93 NA,57 nmNXTKrFXT0.80 NA,110 nmNXT0.93 NA,80
155、 nmi-line0.65 NA,220 nmXT:1460K5nm|205wph or 7.5nm|228wphNXT:14704nm|300wphNEXTNXT:8707.5nm|330wphXT:1060K5nm|205wphXT:1060K+PEP5nm|220wphXT:860M7nm*|240-250wphXT:860N7.5nm|260wphNEXTXT:400L20nm*|230wphXT:400M20nm*|250wphNEXTASML主要有兩個產品平臺,分別是:EUV光刻系統和DUV光刻系統。EUV光刻系統:通過使用NXE系統提供高分辨率光刻技術,完成世界上最先進的微芯片的
156、批量生產的系統,代表產品有:NXE3600D、NXE3400C。NXE3600D的分辨率目前達到13nm,數值孔徑為0.33NA,支持精度為5-3nm節點以及前沿DRAM節點生產,生產效率較NXE3400C提升了15-20。DUV光刻系統:分為浸入式系統和干式系統,代表產品分別有:NXT2100i、NXT2050i、NXT2000i;NXT1470、XT1460K、XT1060K等。目前,浸入式系統單/多機器的套刻精度分別達到0.9nm/1.3nm,分辨率達38nm,數值孔徑為1.33NA,每小時最多生產295個晶圓;而干式系統所能達到的套刻精度為4.5nm,分辨率57nm,數值孔徑為0.93
157、NA,每小時能夠處理超過300 個晶圓。展望未來,ASML將不斷革新兩個產品平臺。ASML計劃于2023年Q4發行TWINSCAN NXE3800E,其產率大幅提升,達到220wph,套刻精度也減小到0.9nm。ASML預計在2025年發行TWINSCAN EXE5200來進一步拓展EUV光刻平臺的產品矩陣。資料來源:ASML公司EUV/DUV產品官方介紹文件,華福證券研究所整理4.1 ASML公司概況NEXT4.2.1 ArF浸沒式光刻機極大提高芯片制程,為ASML帶來新機遇ASML作為第一家掌握浸沒式光刻研究成果的企業,獲得“英特爾和臺積電”兩大龍頭客戶訂單,最終在2009年市場份額超過7
158、0%,成為全球的光刻龍頭。4.2 推動光刻領域技術演進,EUV全球獨供無出其右 浸沒式光刻系統延續摩爾定律的關鍵突破2000年代初,芯片行業主流的157納米氟(F2)光源光刻技術的研發遇到了瓶頸,光刻技術維持在193nm的氟化氬(ArF)光源停滯不前。而浸沒式光刻技術使得光刻機能夠繼續使用193nm波長的ArF光源產生134nm的等效波長,順利突破了65nm制程。相較干式光刻,浸沒式光刻技術的分辨率大大提高。此后,浸沒式光刻技術讓半導體制程在12年內躍進了6代:從45nm一直前進至7nm。浸沒式光刻系統的原理浸沒式光刻系統在投影物鏡和硅片之間加入了水,利用投影物鏡玻璃與水相近的折射率,增大了相
159、同波長光源下數值孔徑的極限。82資料來源:ASML官網,ASML公眾號,浸沒式光刻機浸液系統污染控制研究現狀及進展付婧媛等,華福證券研究所整理圖表126:浸沒式光刻系統的原理ArF干式光刻的極限根據瑞利法則,增大數值孔徑(NA)是縮小線寬的方式之一,而增加投影物鏡的直徑可以提高數值孔徑。但由于折射效應,隨著投影物鏡的直徑增大,射出投影物鏡的光角度也越來越接近水平,最終光會因為發生全反射而無法射出物鏡。因此,ArF干式光刻機的分辨率存在極限,當線寬小于65nm時,光線無法射出投影物鏡,不能再通過增加投影物鏡直徑的方式進一步縮小線寬。浸沒式光刻的原理對于193nm波長的光,空氣折射率為1,水的折射
160、率為1.44,玻璃的折射率約為1.5。由于水與玻璃的折射率很接近,若使用水作為介質,光線從投影物鏡中射出的折射角將非常小,相同角度下,在干式光刻中發生全反射的光在浸沒式光刻系統中仍能夠正常射出。因此,在浸沒式光刻系統中,可以通過繼續加大投影物鏡直徑的方式進一步縮小線寬。硅片光源干式光刻示意圖空氣硅片光源水透鏡透鏡浸沒式光刻示意圖玻璃空氣玻璃水與空氣相比,水與玻璃的折射率更接近折射角大折射角小 4.2.1 ArF浸沒式光刻機極大提高芯片制程,為ASML帶來新機遇 浸沒式光刻技術的發展歷史回顧歷史,浸沒式光刻技術的成功背后是眾多科學家、工程師和企業家的智慧與努力。浸潤式光刻起源于浸潤式顯微鏡,早在
161、1840年,Giovanni Amici就將油浸技術引入顯微鏡,以提高成像分辨率。浸沒式光刻的概念于1980年提出,但由于當時干式光刻技術發展迅速,光源波長不斷降低,浸潤式光刻并未受到重視。1987年,在IBM工作的林本堅博士在Microelectronic Engineering雜志上發表了論文,指出“通過在鏡頭最后一塊鏡子和硅片之間填充液體,可以有效減少等效光學波長”。2002年2月的SPIE微光刻會議上,MIT林肯實驗室指出去離子水對于193nm的曝光是足夠透明的。同一會議上,在TSMC工作的林本堅博士肯定了浸沒式光刻的可能性。此時,浸沒式光刻終于引起了業界重視。2004年8月,ASML
162、的第一臺193nm水浸沒式光刻機交付給Albany Nanotech工廠,用于早期浸沒式光刻研發。終于,2006年ASML推出了第一臺批量生產的浸沒式光刻機。此后,ArF浸沒式光刻機配合多重曝光技術,將芯片制程推進至7nm。83資料來源:半導體行業觀察,華福證券研究所整理圖表127:浸沒式光刻技術發展歷史4.2 推動光刻領域技術演進,EUV全球獨供無出其右1840年意大利科學家 Giovanni Amici將油浸技術引入顯微鏡,將光學像差降至最低。1855年意大利科學家 Giovanni Amici發明了水浸潤式物鏡。1980年列支敦士登公國首都瓦杜茲的W.Tabarelli和E.W.Loba
163、ch提出將浸沒式原理應用于光刻機,并申請了美國專利,專利題目是“Photolithographic method for the manufacture of integrated circuits”。1982年獲授權。1982年W.Tabarelli 和E.W.Lobach再次申請了將浸潤式原理應用于光刻機的美國專利。專利名稱是“Apparatus for the photolithographic manufacture of integrated circuit elements”。1985年獲得授權。1987年在IBM工作的林本堅博士在Microelectronic Engineeri
164、ng雜志上發表了論文,指出“通過在鏡頭最后一塊鏡子和硅片之間填充液體,可以有效減少等效光學波長”。2002年在SPIE微光刻會議上,MIT林肯實驗室的M.Switkes和M.Rothschild指出去離子水對于193nm的曝光是足夠透明的。林本堅博士做了Plenary報告,回顧了浸沒式光刻的可能性。2003年7月,光刻機廠商展示了193nm水浸沒式光刻機的開發計劃。10月,ASML展示了第一片用浸潤式曝光機在光刻膠上的光刻結果。2004年4月,ASML的第一臺193nm水浸沒式光刻機交付給Albany Nanotech工廠,用于早期水浸沒式光刻研發。2007年2007年,ASML推出TWINS
165、CAN XT:1900i浸沒系統,數值孔徑為1.35,為當時業界最高。2006年ASML推出第一臺批量生產的浸沒式光刻機。4.2.1 ArF浸沒式光刻機極大提高芯片制程,為ASML帶來新機遇 浸沒式光刻系統的難點與關鍵技術 浸沒式光刻系統也有諸多需要克服的難點,如:(1)物鏡下可能產生氣泡,影響成像效果;(2)溢出的水滴可能與晶圓上的感光涂層發生不可控的相互作用;(3)光刻機的控溫極為重要,但光刻機中的高能鐳射光會加熱水溫,影響晶圓表面溫度穩定;(4)曝光平臺來回極高速移動,水可能發生外流,無法停留在投影物鏡和晶圓間。84資料來源:浸沒式光刻機浸液系統污染控制研究現狀及進展付婧媛等,華福證券研
166、究所整理圖表128:浸沒單元結構示意圖氣體回收口氣體注入口第一液體回收口第二液體注入口第一液體注入口第二液體回收口投影透鏡晶圓浸沒液浸沒單元4.2 推動光刻領域技術演進,EUV全球獨供無出其右液體浸沒方式的選擇是浸沒式光刻技術應用的基本問題,即在物鏡與硅片之間,如何穩定地注入和高效地回收浸沒液體?,F有液體浸沒方式主要有完全浸沒式和局部浸沒式兩種。完全浸沒式技術將整個硅片浸入液體中,或者將投影物鏡下部、硅片和整個承片臺一起浸入液體中;局部浸沒式技術在投影物鏡和硅片之間的局部區域內浸沒液體。由于完全浸沒式所需加速的液體質量較大,導致該技術效率低、可靠性差。而局部浸沒式避免了這些缺陷,因而局部浸沒式
167、逐漸成為了主流的液體浸沒方式。4.2.1 ArF浸沒式光刻機極大提高芯片制程,為ASML帶來新機遇 浸沒式光刻系統的難點與關鍵技術85為了解決上述浸沒式光刻難題,獲得穩定的曝光成像質量,浸沒控制系統需要液體浸沒方式、液體動態密封、流場檢測與控制、曝光熱效應、氣泡控制等關鍵技術。通過浸沒單元的精密設計,工程師們解決了上述難題。浸沒單元需要實現流場穩定均勻的流速及壓力分布,以維持浸沒流場的動態穩定及動態密封,同時在曝光結束后快速消除流場中的液體,從而實現曝光過程中的均勻升溫,并抑制污染物向物鏡的沉積,同時防止浸沒液體在動態掃描過程中的泄露。液體浸沒方式由于物鏡與硅片之間存在非接觸式的相對運動,這種
168、運動對浸沒液體的剪切作用將導致液體泄漏。目前,浸沒裝置的密封主要采取氣體密封和液體密封兩種方案。氣體密封方案采用氣體密封構件環繞投影物鏡,在物鏡和硅片之間的縫隙中,施加具有一定壓力的氣體,形成氣幕,將液體限定在一定流場區域內;液體密封方式采用與浸沒液體不相溶的高密度、大粘度流體在浸液流場外圍形成密封環,利用這類液體的強憎水性抵抗硅片掃描產生的剪切作用力。在光刻過程中,為防止浸沒液中的微氣泡和粒子等污染物附著在硅片或物鏡表面上,并及時帶走曝光產生的熱量,必須保持浸沒液處于連續的流動狀態。但流動的液體易導致速度、壓力和溫度等流動參數的變化和波動,對光刻過程產生不利影響。因此在及時更新浸沒液體的同時
169、,必須確保浸沒流場穩定性。溫度的變化會改變浸沒液體的折射率和粘度,同時液體溫度的波動將傳遞給投影物鏡,引起光學成像像差使曝光的焦面發生偏移。因此,在高分辨率的浸沒式光刻中,必須對曝光溫度的變化和影響進行有效的控制。浸沒流場中的氣泡會導致激光的反射、折射和衍射,反射對激光強度的衰減作用以及折射對原始圖像放大和扭曲都會造成嚴重的曝光成像缺陷,而衍射會改變光波的強度和傳播路徑,并在硅片表面形成強弱相間的干涉條紋。資料來源:光刻機浸沒液體控制系統的研究現狀及進展傅新等,華福證券研究所整理4.2 推動光刻領域技術演進,EUV全球獨供無出其右圖表129:浸沒系統的關鍵技術液體動態密封流場檢測與控制曝光熱效
170、應氣泡控制4.2.2 EUV芯片工藝制程演進為EUV提供市場機遇根據IC Insights的預測,2023年10nm以下的芯片制程將占到全部芯片的26.9%,到2024年占比接近30%。目前EUV主要針對低7nm以下的工藝制程,芯片制程的不斷升級也為EUV帶來廣闊的市場空間。目前,最先進制程的EUV光刻機全球僅有ASML能夠提供,EUV光刻機存在極高的技術難度與壁壘。86圖表130:全球不同制程芯片占比預測(%)資料來源:IC Insights,華福證券研究所整理4.40%10%16%22.60%26.90%29.90%38.80%38.40%35.50%31.30%28.60%26.20%1
171、3.40%10.80%9.40%7.90%7.20%6.70%19.80%18.70%18.60%18.40%18.30%18.50%23.70%22.10%20.60%19.80%19%18.60%0%10%20%30%40%50%60%70%80%90%100%20192020202120222023202410nm20nm-10nm40nm-20nm20%ArFi MPT消除多圖案相關缺陷EUV SETArFi MPTEUV SETPatterningDRAMD1BLPSEM Image提高圖案精度減少步驟數及累積的缺陷ArFiEUV資料來源:ASML公司EUV產品官方介紹文件,華福證券
172、研究所整理資料來源:ASML公司EUV產品官方介紹文件,華福證券研究所整理4.2.2 EUVEUV光刻機技術難點EUV光的產生產生EUV需要用到30KW的CO2激光器在電磁波譜中,EUV光是紫外區能量最高的部分,它的波長范圍為100nm到10nm,介于X射線輻射(10 nm)和深或遠紫外線(100nm到200nm)之間。更重要的是,地球上沒有EUV光源的天然來源,太陽的核心能產生EUV光譜,但無法到達地球表面,所以EUV只能完全由人工來源生產。與傳統的準分子激光直接生成的DUV光源不同,EUV采用的是世界上最強大的脈沖式工業激光器,通過轟擊液態錫形成等離子。30KW的CO2激光器功率是切割厘米
173、厚度鋼鐵的工業激光器的兩倍,目前該光源技術僅Cymer掌握。904.2 推動光刻領域技術演進,EUV全球獨供無出其右EUV光的產生過程技術難度極高:首先,需要直徑25微米的錫從液滴器中以70米/秒下落;其次,CO2激光脈沖在極其微小的錫液滴高速下落的情況下需連續擊中兩次,該過程每秒鐘需重復5萬次。而且激發產生的光轉瞬即逝,因此需要每秒鐘激發約50000次。再者,等離子體需加熱到近22萬攝氏度,比太陽平均表面溫度高出近40倍。圖表138:EUV每秒鐘激發50000次資料來源:ASML官網,華福證券研究所整理圖表137:EUV光資料來源:ASML,電子發燒友網,華福證券研究所整理914.2.2 E
174、UVEUV光刻機技術難點其他技術難點與優勢 在實際應用中,CO2激光功率、EUV光的產生、投影物鏡、反射式掩膜、真空腔與無塵車間等多個環節均存在工藝制造的難點。資料來源:ASML官網,ZIESS官網,中國知網,華福證券研究所整理4.2 推動光刻領域技術演進,EUV全球獨供無出其右EUV光刻機制造工藝難點EUV光刻機優勢真空腔:EUV波長極短,沒有任何繞射能力,無法穿過任何物體包括空氣,只能在真空中傳播,EUV光刻機內部需要處于真空狀態。無塵車間:生產車間需要滿足ISO2或ISO1的標準,即每立方米超過0.1um的顆粒最多10粒,正常手術室標準僅為ISO5-7。EUV光刻掩膜的制作是目前光刻工藝
175、的一大難點。EUV光刻掩膜白板包含40-50多層膜,使得污染可能出現在基底或多層膜間的每一處。一方面,掩膜版的污染會對后續的良率造成影響,另一方面,檢測掩膜版缺陷的具體位置,也需要更高精度的儀器檢測。EUV光線使光刻工程步驟縮減,光刻工藝相對于ArF更簡單,生產晶圓的效率提升。最新一代的EUV光刻機,每小時可以處理高達200片12寸晶圓產品。生產效率真空腔與無塵車間投影物鏡EUV極易被吸收,每次反射會損失30%的光強,所以對于物鏡的光滑度及位置精準度要求極高。一方面,物鏡表面需要極其平整以減少反射損失,該光滑程度接近人類物理極限,表面起伏程度小于0.05nm;另一方面,為降低由于物鏡位置偏差帶
176、來的EUV光強損失,全系統的物鏡須保持在極其精確的位置。反射式掩膜超精度同步性掩膜版與晶圓的運動必須完全同步,以確保晶圓在光刻過程中圖形的準確性。由于掩膜版圖案更大,因此必須移動的更快,達到每秒150m2。該速度這相當于一輛汽車從0加速到100公里/小時只需0.1秒。晶圓和掩膜版的運動同步達到納米級別。制程范圍隨著先進制程向5nm及以下先進制程進化,EUV成為了剛需。EUV作為先進制程芯片的剛需,其應用覆蓋了手機SoC、CPU、GPU、1工藝DRAM等多種數字芯片。圖表139:光刻機工藝難點與優勢4.3 技術+供應鏈與生態+資金,重重壁壘筑高墻4.3.1 技術壁壘聯合外部平臺,深度研發合作 A
177、SML締造的巨大開放式研究網絡使其能集中半導體光刻機的頂尖研發力量,聯合外部平臺緊密合作、攻克一個個技術難題,并形成諸如EUV極紫外光刻技術在內的極強技術護城河。例如,ASML通過與ARCNL的合作研發,實現了EUV光源、計量和材料領域的多項突破,包括:EUV等離子體的最佳驅動激光波長生成、基于干涉測量技術改進的晶片分析、以及對晶圓臺耐磨涂層的深度理解等。此外,在ASML與Imec的合作項目中,ASML已完成了EUV 0.55 NA(高數值孔徑)光刻技術的大部分理論研究,并正在推進相關實驗進行。92圖表140:ASML開放式研究網絡下的研究合作資料來源:ASML官網,ASML2022年報,Wi
178、kipedia,ARCNL,JSR,華福證券研究所整理研究機構合作伙伴納米光刻技術下的基礎物理、化學研究納米電子方向中電路縮小技術與納米技術應用應用科學研究新型寬帶光源的計量應用研究項目集成電路制造的設施、軟件供應商半導體光刻、工藝的材料供應商半導體生產的設備供應商晶圓制造設備與服務供應商ARCNLIMECTNOHeriot-Watt UniversityApplied MaterialsJSRTELLAM Research4.3.1 技術壁壘單一光刻邁向整體光刻,尋求最大限度工藝性能 ASML的整體光刻戰略,是將計算光刻(掃描儀、掩膜和工藝等的優化技術)、晶圓光刻(芯片特征光刻和圖案化)和計
179、量過程(圖案質量的測量)三個環節進行集成,以縮小芯片生產誤差區間的戰略方案。ASML為了獲得整體流程的最佳性能和協同效應,對全光刻工藝進行集成并共同優化。由于整體光刻的技術要求極高且需各環節標準統一、設備配套,因此目前僅有ASML提出并有能力持續推行這一戰略。例如,在2xnm節點的overlay控制工藝中,計量過程會測量制造出晶圓數據,并將此數據交由計算光刻技術進行模型學習,再反饋至晶圓光刻工藝中以達成全工藝的整體優化。相較于各步驟間獨立的傳統光刻,整體光刻能成功地將2xnm節點提升至1xnm節點。93圖表141:整體光刻運行邏輯資料來源:ASML官網,華福證券研究所整理圖表142:整體光刻對
180、光刻工藝節點的優化效果資料來源:ASML官網,華福證券研究所整理改進產出單 一 光 刻整 體 光 刻2xnm節點 1xnm節點(僅改進掃描儀)1xnm節點整體光刻Overlay工藝整 體 光 刻改進產出其它改進掃描儀改進掃描儀改進2xnm節點Overlay要求lxnm節點Overlay要求4.3 技術+供應鏈與生態+資金,重重壁壘筑高墻4.3.1 技術壁壘單一光刻邁向整體光刻,尋求最大限度工藝性能 引入HMI電子束計量技術,提升整體光刻效率:ASML于2016年收購了領先的光刻圖案檢測系統供應商HMI,以推進其整體光刻戰略的實施。高端芯片光刻工藝對于計量技術有極高要求。例如,在分辨率低于10n
181、m時,需要使用高分辨率計量技術來測量并控制設備性能、需要使用高壓對比計量技術完成3D集成。而HMI擁有多年電子束的應用經驗且占據行業領先地位,其電子束計量技術專注于高分辨率以及高壓對比下的計量成像,并能準確傳達光刻圖案信息。因此在ASML收購HMI并實際應用電子束技術后,其在計量環節的技術提升能夠使其得到更加精準的數據,從而更高效地優化計算光刻模型設計,進一步提升生產良品率、并提高整體光刻效率。94圖表143:電子束計量技術應用后的全面光刻工藝資料來源:ASML官網,華福證券研究所整理掃描儀計量YieldStar計量技術電子書計量技術模型改進領域分配計算光刻掃描儀控制掃描儀計量電子書計量技術領
182、域分配模型改進計算控制YieldStar計量技術4.3 技術+供應鏈與生態+資金,重重壁壘筑高墻4.3.2 供應鏈與生態壁壘打通上游供應商,獲得穩定的關鍵零部件支持公司與供應商聯系十分緊密,主要通過模塊化外包和聯合開發的方式獲得核心原材料,與5000余家供應商和合作伙伴維持著長期、密切、透明的協作關系,其中約800家供應商提供直接用于生產公司產品的材料、設備、零件和工具。公司核心零部件之一的光學鏡頭由蔡司獨家供應,蔡司是全球領先的半導體光學器件供應商,其超高精密度和光滑度的反光鏡是EUV光刻機無可替代的核心零部件。雙方秉承“兩家公司,一項業務”的合作原則,已合作四十余年。歷年來,ASML主要通
183、過戰略并購及股權收購快速獲得優質供貨渠道與先進技術。例如,公司通過并購占據了核心元件光源和光學鏡頭的技術制高點,打通上游供應商。由此可見,ASML在上游供應鏈高筑壁壘,為其產品迭代和技術研發提供穩定供應渠道,這也是其成為光刻機領域霸主的主要原因之一。與此同時,ASML也通過供應鏈整合筑起生態壁壘,在光刻機最先進工藝制程的技術突破過程中,ASML聯合上游部件供應商以及下游晶圓廠商,在技術、資金層面協同攻關。上下游各廠商形成牢固的同盟關系,共享成果、分擔風險,而新進入者在無法接觸產業生態的情況下想要獨自破局非常困難。95資料來源:ASML官網,華福證券研究所整理2001收購硅谷集團(SVG)快速獲
184、得投影掩罩瞄準技術、掃描技術2007收購Brion Technologies掌握計算光刻技術以鞏固其在半導體設計與解決優化方案上的底蘊2013收購Cymer取得EUV使用的主流激光等離子光源LPP并加速了整體EUV技術的發展2017購入卡爾蔡司股權獲得EUV高精密光學鏡片部件以及關鍵光學供貨途徑20162019收購HMI收購Mapper知識產權資產推出保真度計量工具以補全ASML在全面光刻戰略上的產品組合取得電子束技術知識產權2020收購Berliner Glas鞏固晶圓臺、反射鏡等關鍵部件的供應圖表144:上游供應商收購時序圖4.3 技術+供應鏈與生態+資金,重重壁壘筑高墻4.3.3 資金壁
185、壘研發支出無人匹敵,產業鏈整合海量資金 研發支出上:ASML極為重視技術更新迭代并一直投入大量資金,將資金壁壘高效轉化為技術護城河。ASML研發支出多年持續上漲,2022年研發支出已達到241.50億元,顯著超越尼康與佳能,形成堅固的資金壁壘,持續拉開技術差距。產業鏈整合上:ASML不斷收購產業鏈上的核心優質公司以達成技術鏈完整、產業鏈一體的整體光刻戰略。自2001年以來,ASML已累計在產業鏈相關公司的收購與購買股份上形成至少84.7億美元的支出。96圖表146:ASML收購事件資料來源:公司官網,Bloomberg,華福證券研究所整理公司名稱收購事件及金額硅谷集團(SVG)16億美元股票交
186、易完成并購Brion Technologies2.7億美元現金交易完成并購Cymer25億美元現金與股票組合完成并購HMI30億美元現金交易完成并購卡爾蔡司11億美元現金購買24.9%股權Mapper現金購買知識產權資產合計至少84.7美元圖表145:各光刻機公司研發費用(億元)資料來源:WIND,Statista,華福證券研究所整理4.3 技術+供應鏈與生態+資金,重重壁壘筑高墻123.67153.85176.61183.89241.50195.47191.30172.20159.23160.602.913.072.992.882.960 50 100 150 200 250 300 201
187、82019202020212022ASMLCanonNikon第一部分:半導體工藝及光刻簡介第二部分:光刻機及其子系統工作原理第三部分:光刻機競爭格局與行業發展趨勢第四部分:他山之石ASML光刻巨頭崛起之路第五部分:投資建議及國產光刻機供應鏈相關公司目錄97國內光刻機發展歷史從艱難起步到奮力追趕,扎實前進,任重道遠我國光刻機的發展歷史可以追溯到上個世紀七十年代。前二十年,科研人員將光刻機技術與國外的20年差距縮短到7年;中間十五年,差距重新拉回20年;而近十五年又在大力追趕。目前,中國光刻機技術與國外相比,仍有較大差距。但在部分領域也已取得了一定的進展與突破:2007年,上海微電子宣布突破36
188、5nm光波長的DUV(深紫外)光刻技術,研制出90nm工藝的分布式投影光刻機;2016年,清華大學團隊和華卓精科成功研發出光刻機雙工作臺系統樣機,在實現光刻機國產化萬里長征上踏出了重要一步;2016年,上海微電子的SSX600系列量產,三款產品分別為(SSA600/20)90nm/(SSC600/10)110nm/(SSB600/10)280nm,與西方國家的差距進一步縮小。98資料來源:科工力量公眾號,光刻技術六十年陳寶欽,華福證券研究所整理圖表147:國產光刻機的發展歷程 1981年,中國科學院半導體所研制成功兩臺JK-1型半自動接近式光刻機。1985年,中科院45所在光刻機領域取得了重大
189、進展,研制出分步投影式光刻機。至九十年代,光刻光源被卡在193納米無法進步長達20年,科學家和產業界一直在探討超越193納米的方案。2018年,中科院研制的“超分辨光刻裝備”通過驗收。光刻分辨力達到22納米,結合雙重曝光技術后,未來或可用于芯片制造。艱難入局19771978198519801981 1977年,我國第一臺接觸式光刻機GK-3型半自動光刻機誕生。1978年,1445所在GK-3的基礎上開發了GK-4。1980年,清華大學精密儀器系徐端頤團隊造出了國內第一臺投影光刻機。GK-3型JK-1型1990-2000 九十年代,“造不如買”的思想席卷了大批制造企業,大量進口成品光刻機出現,國
190、產光刻機產業步伐減緩。奮力前行從頭開始 2002年,臺積電提出浸入式193nm的方案,獲得成功。中國此時啟動193納米ArF光刻機項目。20022007 2007年上海微電子宣布突破DUV(深紫外)光刻技術,研制出90nm工藝的分布式投影光刻機。2016201720182020持續突破 2016年,清華大學團隊和華卓精科成功研發出光刻機雙工作臺系統樣機。2017年,中國科學院長春光學精密機械與物理研究所牽頭研發的“極紫外光刻關鍵技術”通過驗收。2021開拓發展逢山開道,國內公司加速突破 投資建議及國產光刻機供應鏈相關公司建議關注光刻機整機及核心子系統組件的突出廠商:上海微電子:國內領先的掌握設
191、計、集成光刻機整機的制造商,半導體設備領域的領軍企業。華卓精科:光刻機雙工作臺供應商,是國內首家自主研發并實現直線電機光刻機雙工件臺商業化生產的企業??埔婧缭矗簢鴥认∪钡木邆涔饪虦史肿蛹す饧夹g研發能力的公司。蘇大維格:國內領先的微納結構產品制造和技術服務商。自研激光直寫光刻機及納米壓印光刻機,同時已向光刻機整機廠商供應投影式光刻機的定位光柵部件。晶方科技:國內領軍專業封測廠商,核心技術為晶圓級光學元件技術。公司通過子公司收購ASML的核心供應商之一Anteryon,從而布局光刻機相關業務。新萊應材:專注于超凈管閥近三十年,生產高潔凈流體管路系統和超高真空系統的關鍵零部件,并為光刻機等半導體設備
192、提供核心零部件。騰景科技:專業從事各類精密光學元件、光纖器件研發、生產和銷售,公司在研的部分光學器件及模組可應用于光刻機光學系統。茂萊光學:國內領先精密光學解決方案供應商,公司產品是光刻機的重要光學部件,覆蓋深紫外DUV、可見光到遠紅外全譜段。炬光科技:主要從事高功率半導體激光元器件和原材料的研發、生產和銷售。公司生產的光場勻化器和廣角勻化擴散器為光刻機制造的重要元件。福晶科技:主要從事非線性光學晶體、激光晶體、精密光學元件和激光器件的研發、生產和銷售,同時也為光刻機的生產與制造提供重要零部件,曾經是ASML的供應商之一。福光股份:主要產品為光學鏡頭、光學元器件、光電儀器、光學電子產品等,公司
193、特種光學鏡頭及光電系統廣泛應用于光刻機等高端裝備。美??萍迹簢鴥瓤諝鈨艋袠I領先供應商。公司研發的EFU(超薄型設備端自帶風機過濾機組)及ULPA(超高效過濾器)等產品為光刻設備所需的高潔凈環境提供解決方案。清溢光電:公司生產應用于平板顯示、半導體芯片等行業的掩膜版。路維光電:國內稀缺的可覆蓋G2.5-G11全世代掩膜版生產能力的供應商。芯碁微裝:國內直寫光刻設備領軍企業,公司深耕泛半導體直寫光刻設備。圖表148:國內廠商對應光刻機領域布局資料來源:華福證券研究所整理新萊應材茂萊光學晶方科技炬光科技福晶科技福光股份美??萍简v景科技清溢光電光刻機核心零部件供應商精密光學器件、光學系統、光學鏡頭晶
194、圓級光學元件勻光器精密光學元件光學鏡頭過濾器精密光學器件掩膜版路維光電芯碁微裝掩膜版直寫光刻設備開拓發展逢山開道,國內公司加速突破99上海微電子半導體設備制造領域的領軍企業華卓精科光刻機雙工件臺供應商科益虹源光刻準分子激光技術全產業鏈研發蘇大維格光刻機零部件光柵國產光刻機制造之光上海微電子(SMEE)成立于2002年3月,主要致力于半導體裝備、泛半導體裝備、高端智能裝備的開發、設計、制造、銷售及技術服務,是國內領先的設計、集成光刻機整機的制造商,是半導體設備制造領域的領軍企業。保持穩定發展趨勢。公司近年收入復合增長率約為33。目前,公司先進封裝光刻機全球市占率為37%,在中國大陸市場的占有率高
195、達85%。注重科技研發。公司近年申請專利數共3900項,獲得授權2800項,研發碩博占比70%。圖表149:上海微電子光刻機發展歷程100資料來源:SMEE公司官,華福證券研究所整理2006.04光 刻 機 產品 注 冊 商標 獲 得 國家 工 商 局批準2008.11首臺先進封裝光 刻 機 產 品SSB500/10A交付用戶2009.122011.102012.05公司首臺暨國內首臺前道掃描光刻機交付用戶2016.062017.042017.10起步90nm光刻機項目通過正式驗收穩定發展十 五 光 刻 機重 大 科 技 專項 通 過 了 國家 科 技 部 組織的驗收SSB500系列先進封裝光
196、刻機產品被國家科技部批準為“2011年度國家級重點新產品計劃項目”公司產品SSB500系列先進封裝光刻機首次實現海外銷售2013.08國產首臺用于2.5代AM-OLED TFT電路制造的SSB225/10成功交付用戶公司承擔的國家02重大科技專項任務“浸沒光刻機關鍵技術預研項目”通過了國家正式驗收公司承擔的02重大科技專項“90nm光刻機樣機研制”任務通過了02專項實施管理辦公室組織的專家組現場測試2018.032019.12公司獲批國家海關總署AEO高級認證。公司SSB300系列光刻機入選制造業單項冠軍產品5.1 上海微電子:國產光刻機制造之光國產光刻機制造之光產品系列在前道領域,公司光刻機
197、已可滿足90/110/280nm關鍵層;在后道領域,公司光刻機應用于8寸/12寸集成電路先進封裝領域,可滿足先進封裝技術的晶圓級光刻工藝需求,并制造了國內首臺2.5D/3D先進封測光刻機。圖表:上海微電子光刻機產品系列資料來源:SMEE公司官網,華福證券研究所整理5.1 上海微電子:國產光刻機制造之光應用領域系列光刻機型號分辨率光源硅片尺寸產品簡介IC前道600系列SSA600/20SSC600/20SSB600/2090nm110nm280nmArFKrFi-line200/300mm SSX600系列步進掃描投影光刻機采用四倍縮小倍率的投影物鏡 工藝自適應調焦調平技術以及高速高精的自減振六
198、自由度工件臺掩膜臺技術 可用于8寸或12寸線的大規模工業生產IC后道500系列SSB500/40SSB500/502m1mghi-line/gh line/i-line200/300mm 主要應用于200/300mm集成電路先進封裝,包括Flip Chip、Fan-In WLP、Fan-Out WLP和2.5D/3D等先進封裝形式 滿足Bumping/RDL/TSV晶圓級光刻需求LED/MEMS/Power Devices300系列SSB300SSB320SSB3800.8m2m1.5mi-line SSB300系列步進投影光刻機面向6英寸以下中小基底先進光刻應用領域TFT曝光200系列SSB
199、225/10/20SSB245/10/20SSB260/10/202m L/S/1.5m L/S 用于AM-OLED和LCD顯示屏TFT電路制造 可應用于2.5代6代的TFT顯示屏量產線 支持6英寸掩膜圖表150:上海微電子光刻機產品系列101 突破性光刻機雙工作臺供應商 華卓精科成立于2012年,主營業務為集成電路制造裝備及關鍵零部件。目前產品包括超精密運動平臺、激光退火設備、晶圓鍵合設備、靜電卡盤、精密測量系統等整機設備及半導體關鍵零部件。5.2 華卓精科:突破性光刻機雙工作臺供應商資料來源:華卓精科招股說明書,華福證券研究所整理 華卓精科針對國產高端IC前道光刻機的需求推出了DWS和DW
200、Si兩種系列的雙工件臺,可根據客戶定制化需求提供技術開發服務和產品。DWSi 系列雙工件臺 適用于浸沒式光刻機,在DWS系列的基礎上增加了浸沒流場維持、硅片精密控溫、不斷液雙臺交換及漏液防護等功能,可用于45nm及以下工藝節點IC前道光刻機,DWSi系列仍處于研發階段產品特性:采用磁懸浮平面電機驅動,多軸激光干涉位移測量。用于 I-line、KrF 和 ArF 干式光刻機,產率150 片/小時。技術參數:運動平均偏差:4.5nm;運動標準偏差:7nm;最大速度:1.1m/s;最大加速度:2.4g。產品特性:采用磁懸浮平面電機驅動,平面光柵干涉位移測量。用于 ArFi光刻機,產率150 片/小時
201、。技術參數:運動平均偏差:2.5nm;運動標準偏差:5nm;最大速度:1.5m/s;最大加速度:3.2g。102 DWS系列雙工件臺 主要適用于干式步進掃描光刻機,產品采用平臺化、模塊化的設計,可同時進行測量流程和曝光流程下的硅片高速超精密運動定位,可用于 65nm及以上工藝節點的IC前道光刻機。圖表151:DWS雙工件臺圖表152:DWSi雙工件臺 突破性光刻機雙工作臺供應商5.2 華卓精科:突破性光刻機雙工作臺供應商資料來源:華卓精科年報,華福證券研究所整理 華卓精科是除ASML外全球第二家掌握納米級雙工件臺技術的公司。華卓精科是國內首家自主研發并實現直線電機光刻機雙工件臺商業化生產的企業
202、,是上海微電子的雙工件臺產品及技術開發的供應商。承接國家級專項研發工作,產學研一體化發展公司自2013年以來承擔了多項“02 專項”的研發工作,積累了豐富的技術和專利,為公司的業務發展奠定了堅實的基礎。華卓精科通過“公司+高?!钡难邪l方式,與清華大學半導體裝備研究室緊密合作,通過定制和標準產品業務兩種模式滿足客戶需求。定制化流程主要針對光刻機工件臺、激光退火、運動平臺、靜電卡盤等產品。專項課題名稱建成目標實施進度浸沒式光刻機雙工件臺產品研制面向28nm及以下技術節點的浸沒式光刻機雙工件臺研制,提升我國在高端光刻設備中的市場競爭力已完成詳細設計進入制造集成階段光刻機雙工件臺生產體系開發與產品能力
203、建設研發成套工裝工具并形成雙工件臺生產線,同時建設雙工件臺供應鏈,為國產雙工件臺的量產打下良好的技術基礎已完成建設方案詳細設計光刻機雙工件臺研發平臺建設通過網絡將先進的設計、仿真、管理軟件以及實驗裝備等硬件平臺融為一體,實現人、財、物、信息的無縫高效集成,提升雙工件臺的產品質量和研發效率,并逐步推廣到公司已有的其他研發項目中已完成建設方案詳細設計圖表153:華卓精科浸沒式光刻機項目 1031045.3 科益虹源:半導體光源系統供應商 光源系統光刻機的三大核心系統之一北京科益虹源光電技術有限公司于2016 年7月成立,是國內稀缺、全球第三家具備光刻準分子激光技術全鏈條研發和產業化能力的公司。公司
204、主要研究方向為光源系統技術,而光源系統是光刻機的三大核心系統之一。資料來源:儀器信息網,華福證券研究所整理圖表154:公司產品矩陣 創新賦能產業發展pDUV 準分子激光器RS222S/RS244S/RS144D型干式光刻曝光光源,可滿足250-45nm集成電路工藝節點的光刻需求。p半導體光刻機用汞燈產品型號WZ-250D/WZ-501DK/WZ-2001NIL。代理江蘇沃澤光電科技有限公司汞燈系列產品。p 固體檢測光源光學非圖形化缺陷檢測設備,主要應用于缺陷光學檢測設備所需266nm激光光源,工藝節點20-14nm。p牛尾型號SUV-4500CIHL,代理USHIO牛尾產品。作為國家級高新技術
205、企業,公司承擔多項國家02專項重大專項任務和北京市重大項目。公司目前已搭建超60個技術研究、產品研發平臺,并攻克超 80項高端光源核心關鍵技術,申請專利278項,授權129 項(國內112項,國際13項)。公司在多項技術領域填補了國內空白,部分技術達到國際先進水平,為我國半導體產業特別是光刻機的生產與制造提供產品與技術支持,成為光刻機零部件技術創新中心的牽頭單位。公司業務包括國產自研光刻曝光光源產品、進口高端光源技術服務、集成電路檢測光源、特種高壓電源、高端光源核心元器件等產品的銷售和技術服務。主要產品為DUV(深紫外)光刻光源系列。5.4 蘇大維格:微納光刻行業先鋒,光刻機用光柵部件供應商
206、微納光刻領域領軍企業 蘇大維格是一家從事微納結構產品的設計、開發和制造,以及關鍵制造設備研制的平臺型公司,是國內領先的微納結構產品制造和技術服務商。公司基于納米壓印光刻的底層核心技術,在基材表面進行納米級和微米級的超細微加工,從而形成應用于不同產品的特殊材料。業務體系根深葉茂,產品矩陣持續延展。蘇大維格通過多年技術攻關,已掌握納米壓印光刻底層核心技術。并以此為支點,相繼自研系列微納光學關鍵制造設備(包括激光直寫光刻機、納米壓印光刻機等),由此建立了微納制造的基礎技術體系并持續拓展產品矩陣:資料來源:蘇大維格2022年年報,華福證券研究所整理圖表155:蘇大維格主要業務板塊與產品零部件二維光柵尺
207、ARVRDOETOF納米壓印光刻底層核心技術設備激光直寫光刻機納米壓印光刻機消費電子導光板中大尺寸 觸控模組信息引材與防偽煙標/酒標身份證/駕駛證反光材料車牌反光膜道路交通指示牌光伏電鍍銅光伏轉印薄膜AR光波導鏡片AR-HUD1055.4 蘇大維格:微納光刻行業先鋒,光刻機用光柵部件供應商國產光刻機定位光柵核心供應商營收規模穩中向好,多維業務齊頭并進。2016-2021年,公司營業收入從4.16億元增長至17.37億元,年均復合增長率33.09%,多年維持12%以上高增長率。產品結構穩健優化,龍頭優勢持續鞏固。近年來,公司相繼開發多個覆蓋納米級和微米級的光刻與壓印設備,微納光學產品收入穩步提升
208、。圖表157:蘇大維格營業收入圖表158:蘇大維格各業務收入占比資料來源:Wind,華福證券研究所整理 公司光刻設備原主要銷往國內外高校及科研院所,近年來持續拓展,已實現了對半導體領域企業的銷售;在光刻機關鍵器件方面,公司已向上海微電子提供了其半導體領域投影式光刻機用的定位光柵部件。公司專精微納制造核心技術二十余年,通過多年技術攻關,公司已積累近650項專利并榮獲國家科技進步二等獎,擁有扎實強勁的研發實力。資料來源:公司2022年年報,華福證券研究所整理光刻設備圖微納結構圖圖表156:公司光刻設備及產品-20%0%20%40%60%80%100%120%140%0 2 4 6 8 10 12
209、14 16 18 20 2016 2017 2018 2019 2020 2021 2022營業收入(億元)YoY0%10%20%30%40%50%60%70%80%90%100%201720182019202020212022微鈉光學產品反光材料設備其他資料來源:Wind,華福證券研究所整理106 公司核心技術晶圓級光學元件技術晶方科技是國內領軍專業封測廠商,近年通過其子公司自研和并購拓展光學器件技術及業務,公司核心技術為晶圓級光學元件技術。晶圓級光學元件(WLO)是半導體工藝與光學技術結合的產物,制造WLO的過程為:通過半導體工藝中的壓印光刻和UV固化方法,批量復制制造光學鏡頭,將多個鏡頭
210、晶圓壓合在一起,然后切割成單顆鏡頭。WLO具有尺寸小、高度低、一致性好等特點,其光學透鏡間的位置精度達到納米級,因此成為未來標準化光學透鏡組合的最佳選擇。公司已經實現了微鏡頭陣列MLA的規模量產,并在汽車用光學器件開始商業化應用。資料來源:晶方光電官網,華福證券研究所整理5.5 晶方科技:收購ASML核心供應商之一 通過子公司晶方光電收購荷蘭Anteryon,實現技術多樣化Anteryon為全球光刻機龍頭ASML公司的核心供應商之一。Anteryon可在晶圓級元件上應用復制技術,并在玻璃或硅晶圓上實現光學結構,堆疊各種晶圓和間隔物,將孔徑或電子設備等功能集成到層中,實現復雜光學組件的大批量低成
211、本生產。整合Anteryon公司先進技術及資源,子公司晶方光電持續擴大商業化應用規模。公司研發及制造的產品涉及應用領域包括半導體、工業感測/掃描、建筑、農業、醫療和安全等。圖表159:產品矩陣 超精密非球面、自由曲面的面形復雜,曲率變化大,精度高。適用于機器視覺、自動駕駛等領域。廣泛應用于傳感器、AIoT領域。完全定制的光學鏡和濾光片,用于高端光路操控。適用于點光譜測量、多光譜成像、干涉測量或可調諧光源的應用。光學鏡頭激光模組光學鏡和濾光片光機電一體化1075.6 新萊應材:半導體管閥等核心零部件供應商 圖表160:新萊應材主要業務板塊與產品資料來源:新萊應材公司公告,華福證券研究所整理 一個
212、底層技術,三大應用領域 新萊應材深耕半導體潔凈領域多年,并持續往食品與醫藥的潔凈工藝領域滲透。公司核心技術為高純超潔凈不銹鋼材料的加工技術,并圍繞該技術生產高潔凈流體管路系統和超高真空系統的關鍵零部件。公司為半導體核心設備提供涵蓋真空閥門、管道管件、反應腔體、氣體鋼瓶等多種零部件產品。在半導體核心設備領域:新萊應材與世界知名半導體設備廠商以及與國內知名半導體設備廠商開展合作,如美商應材(AMAT)、拉姆研究(LAM)、北方華創、中微半導體;與終端客戶合作,如臺積電、英特爾、三星、長江存儲、惠科等,滿足眾多消費者的需求。上游原材料主營產品應用系統下游應用原紙、鋁箔、PE粒ASTM、304/304
213、L/316/316L、高純不銹鋼無菌包裝材料液態食品包裝機械泵管道法蘭管件閥門真空室動力裝置管路裝置控制裝置高潔凈流體管路系統超真空作業系統食品乳制品/飲料等醫藥疫苗/注射液/化妝品/基因工程泛半導體半導體設備(薄膜沉積、刻蝕)、光伏等1085.6 新萊應材:半導體管閥等核心零部件供應商 營收凈利雙穩增,業績維持高增幅近三年來,公司營業收入及凈利潤保持穩定增長的趨勢。2022年度實現營業收入26.20億元,較2021年度增加56,565.19萬元,增幅為28.04%。公司泛半導體業務規??焖僭鲩L。2022年,公司泛半導體領域實現營收7.11億元,同比增長33.54%。公司泛半導體領域產品覆蓋半
214、導體設備的真空系統和氣體管路系統,國際頂尖客戶的認可以及與國內知名設備企業的合作使公司迅速擴大銷售規模。109圖表161:新萊應材營業收入及增速圖表162:新萊應材凈利潤及增速4.96.3811.7513.8713.2320.5426.2-10%0%10%20%30%40%50%60%70%80%90%0510152025302016201720182019202020212022營業總收入(億元)營收YOY0.10.210.380.620.821.73.4500.511.522.533.542016 2017 2018 2019 2020 2021 2022凈利潤(億元)凈利YOY資料來源:
215、新萊應材年報,華福證券研究所整理不斷推進半導體核心設備零部件布局,技術實力媲美國際大廠由于半導體管道閥門的高技術壁壘,該領域常年被Swagelok、VAT、Valex、Kuze等海外公司壟斷。新萊應材作為該領域國內稀缺標的,專注于超凈管閥近三十年,半導體產品覆蓋面廣,擁有廣泛客戶群體。經過多年持續努力,公司產品通過了美國排名前二的半導體應用設備廠商(美商應材、LAM)的認證,填補了國內超高純應用材料的空白。資料來源:新萊應材年報,華福證券研究所整理5.7 騰景科技:精密光學器件核心技術掌握者 公司專注精密光學器件十余年騰景科技是專業從事各類精密光學元件、光纖器件研發、生產和銷售的高新技術企業。
216、公司的產品主要應用于光通信、光纖激光等領域,其他少量產品應用于量子信息科研、生物醫療、消費類光學等領域。公司與下游知名企業及科研機構建立了合作關系,包括光通信領域的Lumentum、Finisar、華為等;光纖激光領域的銳科激光、nLIGHT等。同時公司憑借較強的技術研發實力和創新能力,為科研機構及其承擔的國家重大科研項目提供光電子元器件。公司營業收入穩中向好騰景科技常年專注精密光學器件研究,掌握該領域核心技術。近年來,公司營業收入穩定增長,2022年,由于光通信市場需求旺盛,以及公司積極開拓光通信和光纖激光下游市場,公司營業收入達3.44億元,同比增長13.7%。公司凈利潤存在一定的波動,在
217、2021年出現大幅下跌,近兩年趨于穩定。圖表164:騰景科技營業收入及凈利潤 資料來源:騰景科技公司公告,華福證券研究所整理-50%0%50%100%150%200%250%-101234201720182019202020212022營業收入(億元)凈利潤(億元)營收同比增長率凈利潤同比增長率 圖表163:公司核心客戶 國內知名科研機構及各領域相關國內外知名企業資料來源:騰景科技招股說明書,華福證券研究所整理1105.7 騰景科技:精密光學器件核心技術掌握者 圖表165:公司主要產品 資料來源:騰景科技招股說明書,華福證券研究所整理新興應用領域項目合分束器項目在公司披露的2022年年度報告中
218、,在研項目“合分束器項目”的相關產品即應用于光刻機光學系統,該項目為客戶定制化產品開發項目,應用的技術為公司在光學光電子精密制造領域深耕多年形成的光學鍍膜、光學精密加工、玻璃非球面模壓以及光纖器件的設計制造工藝。該項目預計投資170萬元,目前已經累計投入51.4萬元,進度約為30.2%。精密光學元件透鏡柱面鏡模壓玻璃非球面透鏡光纖器件鍍膜光纖器件準直器聲光器件激光片反射鏡窗口片1115.8 茂萊光學:國內領先精密光學解決方案供應商 圖表166:茂萊光學主要業務板塊與產品 縱向深耕工業光學,橫向拓展下游應用 公司產品覆蓋深紫外DUV、可見光到遠紅外全譜段:主要包括精密光學器件、光學鏡頭和光學系統
219、三大類,產品廣泛應用于半導體(包括光刻機及半導體檢測裝備)、生命科學、航空航天、無人駕駛、生物識別、AR/VR檢測等領域。公司已與國內外頭部廠商達成深度合作。公司為Camtek、KLA等全球知名半導體檢測裝備商提供半導體檢測光學模組,并與Camtek、KLA、上海微電子等多家全球領先企業達成長期戰略合作伙伴關系。資料來源:茂萊光學招股說明書,華福證券研究所整理 透鏡精密光學器件精密光學鏡頭光學系統 平片 棱鏡 顯微物鏡系列 機器視覺鏡頭 成像鏡頭 監測鏡頭 醫療檢測光學系統模組 半導體檢測光學模組生物識別光學模組 AR/VR 光學測試設備1125.8 茂萊光學:國內領先精密光學解決方案供應商
220、國產半導體鏡頭組合優質供應商 公司業績保持高增長,凈利潤穩定2022年公司營業收入達到4.39億元,2017-22年CAGR為23.61%,2022年公司歸母凈利潤為0.59億元,2017-22年CAGR為23.39%。半導體光學業務快速成長。根據弗若斯特沙利文的報告,2021年公司在全球半導體領域工業級精密光學市場的占有率為2.4%。2022 年半導體檢測光學模組收入較上年同期增加 689.01 萬元,增幅為 112.93%圖表168:茂萊光學營收與歸母凈利潤資料來源:茂萊光學年報,華福證券研究所整理 公司的產品是國產光刻機的重要光學部件,是我國半導體前道晶圓和后道封裝檢測技術進步的重要環節
221、,光刻機曝光物鏡超精密光學元件加工技術為公司九大核心技術之一。在半導體應用領域,公司的精密光學產品主要應用于半導體檢測和光刻機中,為光刻機光學系統提供用于勻光、中繼照明模塊的光學器件、投影物鏡,以及用于工件臺位移測量系統的棱鏡組件。核心技術終端應用設備在核心產品的應用情況主要客戶光刻機曝光物鏡超精密光學元件加工技術光刻機基于該技術研制的半導體透鏡元件,主要用于先進光刻機中的曝光成像,該類元件在紫外波段低吸收、高透過率、可實現更優的像質,從而可以獲得更細的曝光線寬上海微電子圖表167:茂萊光學光刻機相關核心技術應用情況 資料來源:茂萊光學招股說明書,華福證券研究所整理21%11%35%32%42
222、%-5%13%25%-650%-450%-250%-50%150%350%0100002000030000400005000020182019202020212022營業收入(萬元)歸母凈利潤(萬元)營收YoY歸母YoY113 聚焦光子產業鏈上游,積極拓展中游業務炬光科技成立于2007年9月,是國家級高級技術企業,在全球高功率半導體激光器及應用領域擁有一定影響力,被中國光學學會激光加工專業委員會授予“高功率半導體激光產業先驅”稱號。炬光科技主要從事光子產業鏈上游的高功率半導體激光元器件和原材料的研發、生產和銷售,目前正在積極拓展光子產業鏈中游的光子應用模塊、模組、子系統業務,重點布局汽車應用、
223、泛半導體制程、醫療健康等領域。勻光系統是影響光刻技術發展的重要因素,也是光刻質量的重要保證。公司生產的光場勻化器和廣角勻化擴散器(WAD)為光刻機的重要元件。1145.9 炬光科技:高功率半導體激光產業領軍者圖表169:公司業務矩陣資料來源:公司官網,華福證券研究所整理泛半導體制程解決方案集成電路晶圓退火系統、可變光斑激光系統、紅外激光線光斑系統、工業激光模塊。五大典型業務半導體激光元器件和原材料先進材料、有源器件、模塊及無源器件、專業醫美應用元器件。代表產品激光無創溶脂模塊、家用嫩膚模塊、家用凈膚模塊。激光光學元器件柱面透鏡、微透鏡陣列、精密模壓透鏡、數字光學、高損傷閾值鍍膜與光學。汽車應用
224、解決方案醫療健康解決方案閃光式、掃描時激光雷達發射端模組、激光雷達發射端用光學組件。預制金錫氮化鋁襯底(AMC)慢軸準直鏡(SAC)全固態激光雷達發射端半導體集成電路晶圓退火系統(DLight S)激光凈膚模組預制金錫薄膜DPC材料作為高功率激光二極管芯片散熱的襯底材料,是對氮化鋁陶瓷基材進行金屬化后,在特定區域預制微米級金錫薄膜制成。常規慢軸準直鏡、全新藍光彎月型慢軸準直鏡等可供選擇;低曲率差、基于晶圓技術的高光學一致性、可自由選擇材料;高透射,準直,高轉換效率。采用高能量固體激光或VCSEL激光器集成diffuser,形成激光雷達面光源發射模組,實現純固態閃光式激光雷達。極細線光斑、超高能
225、量密度;模塊化設計、易于維護;完善的安全監控保護系統;適配多種外置傳感器。更高的光電轉換效率,優化的冰點制冷方案,大幅提升治療效果與舒適性;尺寸緊湊小巧。1155.9 炬光科技:高功率半導體激光產業領軍者圖表170:2014-2023年營業收入及凈利潤資料來源:Choice,華福證券研究所整理 通過多年耕耘與不斷創新,公司經營情況穩中有升。2022年公司總營收達到5.52億元,同比增長15.98%;凈利潤達1.26億元,同比增長94.72%,近三年來上升趨勢明顯。多年耕耘,行穩致遠 圖表171:2022年產品營收占比11%42%42%5%泛半導體制程解決方案產品半導體激光產品激光光學產品汽車應
226、用(激光雷達)產品醫療健康解決方案產品33.831.482.87100.4634.61-5.597.4332.2115.98-57.64397.05-37.22-149.70297.59-530.89141.7593.20 94.72-600-400-2000200400600-2-10123456201420152016201720182019202020212022營業總收入(億元)凈利潤(億元)營收YoY(%)凈利潤YoY(%)資料來源:Choice,華福證券研究所整理非線性光學晶體聲光和電光晶體激光晶體磁光晶體雙折射晶體閃爍晶體柱面鏡、球面鏡、非球面鏡窗口片、反射鏡、棱鏡波片、膜系衍射
227、光柵偏振器磁光器件聲光器件電光器件光纖傳輸類器件驅動器、光彈調制器光學系統、光學鏡頭 精密光學元件供應商福晶科技由中科院福建物質結構研究所于1990年成立。公司主要從事非線性光學晶體、激光晶體、精密光學元件和激光器件的研發、生產和銷售,其產品廣泛應用于激光、光通訊、醫療設備、檢測分析儀器等領域,同時也為光刻機的生產與制造提供重要零部件,公司曾經是ASML的供應商之一。圖表174:公司產品矩陣資料來源:公司官網,華福證券研究所整理福晶科技目前已成為世界上著名的LBO晶體、BBO晶體、Nd:YVO4晶體、TGG晶體、精密光學元件、高功率隔離器、聲光及電光開關的領先生產商。在光刻機產業鏈上,公司生產
228、的KBBF晶體屬于激光設備的上游關鍵零部件,KBBF晶體是可直接倍頻產生EUV激光的非線性光學晶體,用于超高光分辨率光電子能譜儀、光刻技術等前沿領域。資料來源:Choice,2023年第一季度報告,華福證券研究所整理5.10 福晶科技:光電行業發展的有力推動者 圖表173:2022年產品營收占比晶體元件精密光學元件激光器件精密光學檢測儀器CRD反射率測試儀二階非線性光學測試儀光熱共路干涉弱吸收儀 圖表172:2012-2023年營收及凈利潤非光線性光學晶體元器件激光光學元器件激光晶體元器件激光器件其他-200.00-100.000.00100.00200.00300.00400.00-1012
229、345678920122013201420152016201720182019202020212022營業總收入(億元)凈利潤(億元)營收YoY(%)凈利潤YoY(%)116光學鏡片一站式服務商福光股份成立于2004年2月,專業從事光學鏡頭生產和銷售,逐步成長為全球光學鏡頭的重要制造商,是國家“神舟”系列航天飛船配套產品指定制造商,中國安防百強企業。公司主要經營光學鏡頭、光學元器件、光電儀器、光學電子產品、通信設備、計算機及其他電子設備、環保設備的開發、生產、加工、銷售;自營和代理各類商品和技術的進出口。公司鏡片的粗加工業務是光刻機制造的基礎,其特種光學鏡頭及光電系統,廣泛應用于光刻機等高端裝
230、備,為下游客戶提供“一站式”服務。資料來源:公司官網,華福證券研究所整理5.11 福光股份:光學鏡頭制造佼佼者 圖表176:2016-2023年營收(億元)及增長率(%)圖表175:公司產品矩陣資料來源:Choice,華福證券研究所整理 圖表177:2016-2023年研發費用(億元)及增長率(%)產品名稱產品簡介產品圖片安防系列鏡頭率先研發推出 300萬、500萬、1000萬像素的高清監控鏡頭;采用高清像素紅外校正技術,多點變焦,超大廣角。車載系列鏡頭在高低溫控制上有更好的控制能力;介入疲勞駕駛市場較早,廣泛應用于ADAS、疲勞監控等。紅外系列鏡頭電動變焦、變焦全程同步清晰、長波及中波紅外透
231、過率優于 80%、工作溫度:-4080。機器視覺系列鏡頭手動變焦;低畸變;超高清晰度;采用高分辨率及低色散鏡片,表面多層寬帶鍍膜;支持較短距離;支持在高溫及低溫環境下工作。特種鏡頭與光電系統相對孔徑大,視場角度大;多光譜集成、小型化高分辨率、高可靠性等。光學鏡片天瞳元件事業部專業從事光學鏡片以及精密結構件生產。產品包括玻璃球面玻璃、玻璃非球面、玻璃棱鏡、塑膠非球面、塑膠結構件。福光股份通過創新技術實現業績穩步增長。2022年總營收為7.81億元,同比增長15.76%,連續四年實現穩定增長。其中研發費用0.62億元,持續加碼研發投入。創新賦予生命,業績穩步增長-10010203002468201
232、6201720182019202020212022營業總收入(億元)營收YoY(%)-40-2002040600.000.100.200.300.400.500.600.702016201720182019202020212022研發費用(億元)研發費用YoY(%)117 致力于成為全球潔凈空氣設備制造商和服務商美??萍加?001年6月成立,現已成為國內空氣凈化行業的領先供應商之一。公司目前擁有7個境內生產基地和1個境外生產基地,生產廠房面積超5萬平米。公司注重科研,創新成果不斷涌現。2020年獲國家CNAS認可實驗室;2021年獲工信部國家級專精特新“小巨人”企業稱號;2022年獲批設立國家
233、級博士后科研工作站。資料來源:Choice,華福證券研究所整理圖表178:2018-2023年營業收入及凈利潤5.12 美??萍迹焊叨嗽O備潔凈環境創造者資料來源:公司官網,華福證券研究所整理為光刻設備的潔凈環境提供解決方案公司產品主要應用于半導體&生物潔凈室空氣凈化、室內空氣品質優化、大氣污染排放治理。下游細分應用領域主要包括半導體、生物制藥、食品、醫療機構、公共場所、家居環境、工業除塵、VOCs治理等。芯片制造的全產業鏈,從IC制造到封裝測試,各個環節都離不開具有極高潔凈度空氣的環境保障??諝鉂崈舫潭冗_不到要求,產品良率會受到很大影響。公司研發的EFU(超薄型設備端自帶風機過濾機組)及ULP
234、A(超高效過濾器)等產品將為上海微電子光刻設備工藝制程所需的國際高潔凈等級標準(ISO Class1級)潔凈環境提供解決方案,助力國內光刻機事業突破。過濾器產品風機過濾單元空氣凈化設備圖表179:公司產品矩陣風機過濾單元FFU1175mmx1175mm/1175mmx575mm/875mmx1175mm設備端風機過濾單元1200mmx600mm/1000mmx500mm/1220mmx610mm介質過濾器(PTFE、PAO、V型);化學過濾器(Puro系列、模組MM系列);功能性過濾器;靜電過濾器(AC系列、DS系列、FC-ST系列、NML系列、EAC-FC-SE系列);濾筒過濾器生物安全無菌
235、凈化設備;商用空氣凈化設備(D-Genius系列、D-Breath系列、E-Guard系列);醫用消毒機、醫用計劃設備;除塵、除油霧設備;廚房油煙設備(EAC-KE系列、W系列)受技術創新驅動和全球空氣凈化產品需求提升的影響,公司營收和凈利潤連續五年實現穩步增長。2022年營業總收入和凈利潤分別為12.27億元和1.24億元,分別增長6.72%和15.24%,上升趨勢明顯。營業收入穩步增長0204060801001200246810121420182019202020212022營業總收入(億元)凈利潤(億元)營收YoY(%)凈利潤YoY(%)1185.13 清溢光電:掩膜版國內領先企業 圖表
236、180:清溢光電發展歷程資料來源:華經產業研究院,華福證券研究所整理 國內成立最早、規模最大的掩膜版生產企業之一 公司是國內成立最早的、生產用于平板顯示、半導體芯片、觸控、電路板等行業的掩膜版的企業之一。產品聚焦于低溫多晶硅(LTPS)、金屬氧化物(IGZO)、有源矩陣有機發光二極體(AMOLED)、MicroLED、Micro OLED、半導體芯片、Chiplet 先進封裝等領域,為客戶提供品類多樣的掩膜版。持續助力半導體掩膜版的國產化率提升目前公司已量產250nm工藝節點的6英寸和8英寸半導體芯片用掩膜版,主要應用在IGBT、MOSFET、碳化硅和MEMS等領域。公司與國內重點的IC Fo
237、undry、功率半導體器件、MEMS、MicroLED芯片、先進封裝企業均建立了深度的合作關系,如株洲半導體、三安集成、安靠、士蘭微、泰科天潤、上海先進、華微電子、方正微電子、中芯國際、賽微電子和長電科技等。營收凈利雙穩增近三年,公司營業收入及凈利潤保持穩定增長的趨勢。2022年,公司實現營業收入7.62億元,同比增長40.12%;實現歸母凈利潤0.99億元,同比增長122.41%。119圖表181:清溢光電營業收入及增速圖表182:清溢光電歸母凈利潤及增速資料來源:清溢光電公司年報,華福證券研究所整理半導體掩膜版業務持續發展1997199820082019清溢成立國內第一張大面積高精度鉻版掩
238、膜版國內第一張五代TFT用掩膜版成功在上交所上市2022年公司半導體掩膜版產品實現銷售收入10,227.00萬元,同比增長16.26%。深圳工廠引進的光刻機用掩膜版已量產,2023Q1,配套的檢測設備已經投產。技術方面:公司長期專注于自主創新,半導體芯片用掩膜版技術方面,公司完成了180nm半導體芯片用掩膜版的客戶測試認證,正在開展130nm-65nm半導體芯片用掩膜版的工藝研發和28nm節點的掩膜版工藝開發規劃。314.66 319.38407.36479.65487.19543.91762.15-10%0%10%20%30%40%50%0 100 200 300 400 500 600 7
239、00 800 2016 2017 2018 2019 2020 2021 2022營業總收入(百萬元)YoY45.7438.6662.6570.2876.2944.5399.03-60%-40%-20%0%20%40%60%80%100%120%140%0 20 40 60 80 100 120 2016 2017 2018 2019 2020 2021 2022歸母凈利潤(百萬元)YoY深耕掩膜版行業多年公司成立至今始終致力于掩膜版的研發、生產和銷售,產品主要服務于平板顯示、半導體、觸控和電路板等行業。經過多年技術積累和自主創新,公司已具有G2.5-G11全世代掩膜版生產能力,可以配套平板顯
240、示廠商所有世代產線;公司實現了180nm及以上制程節點半導體掩膜版量產,并取得了150nm制程節點半導體掩膜版制造關鍵核心技術,可以滿足國內先進半導體封裝和半導體器件等應用需求。資料來源:公司官網,華福證券研究所整理 圖表184:路維光電營業收入及增速 圖表183:公司產品矩陣資料來源:iFinD,華福證券研究所整理 圖表185:路維光電毛利率凈利率變化 產品名稱產品圖片產品簡介應用領域石英掩膜版以高純石英玻璃為基材,具有高透過率、高平坦度、低膨脹系數等優點,通常應用于高精度掩膜版產品。主要用于平板顯示制造和半導體制造等領域。蘇打掩膜版以蘇打玻璃為基材,相比石英玻璃具有更高的膨脹系數、更低的平
241、坦度,通常應用于中低精度掩膜版產品。主要用于半導體制造、觸控制造和電路板制造等領域。其他菲林以感光聚酯PET為基材,應用于低精度掩膜版產品。主要用于液晶顯示制造和電路板制造等領域。凸版以紫外固化聚氨酯類樹脂為基材,主要用于液晶顯示器(LCD)制造過程中定向材料的移印。干版以鹵化銀等感光乳劑為基材,應用于低精度掩膜版產品。公司一直堅持以技術引領產品升級,創新驅動業務高質量發展。2022年,公司實現營業收入6.40億元,同比增加 29.66%,實現歸母凈利潤1.20億元,同比增加 128.99%。技術引領產品升級,業績穩步增長105.18 106.81144.99218.28401.7493.59
242、640.010%20%40%60%80%100%0 200 400 600 800 2016201720182019202020212022營業總收入(百萬元)YoY5.14 路維光電:國內稀缺可覆蓋G2.5-G11全世代掩膜版生產能力的供應商44.09%36.98%39.65%21.42%23.15%26.26%32.88%-50%0%50%2016201720182019202020212022毛利率凈利率1205.15 芯碁微裝:國內直寫光刻設備領軍企業 圖表187:芯碁微裝直寫光刻產品資料來源:芯碁微裝公司招股書,華福證券研究所整理 領銜直寫光刻領域公司深耕泛半導體直寫光刻設備與PCB
243、直接曝光設備,已成長為國內直寫光刻設備領軍企業。近年來公司不斷提升PCB曝光設備性能,同時不斷推出用于IC掩膜版制版、IC載板、先進封裝、光伏電池曝光等細分領域的泛半導體直寫光刻設備,成長空間不斷拓展。PCB業務需求高端化,泛半導體領域持續深挖公司不斷提升PCB阻焊產品性能,阻焊產品的產能得到大幅度提升,迅速替代傳統阻焊曝光機。2022年,公司深化了與生益電子、勝宏科技、定穎電子、滬電股份等客戶的合作,并新增鵬鼎控股的客戶訂單,公司在軟板、類載板、阻焊等細分市場表現優異。泛半導體領域,公司產品應用于IC、MEMS、生物芯片、分立功率器件、IC掩膜版制造、先進封裝等領域,應用場景不斷拓展。在IC
244、載板領域,公司MAS6系列最小線寬達 6m,2022年11月公司載板設備成功銷往日本市場。1212022年營收與業績均穩步增長PCB直接成像設備及自動線系統(PCB系列)Tripod、Acura Mas、UVDI系列RTRDI-LINE泛半導體直寫光刻設備及自動線系統(泛半導體系列)LDWMLL系列LDW-D1其他激光直接成像設備(泛半導體系列)CTS1211得益于新老業務的齊頭并進,公司收入規模持續增長,2019-2022 年營業收入年均復合增速達 47.74%。資料來源:iFinD,華福證券研究所整理 圖表186:芯碁微裝營業收入及增速22.1887.3202.26310.09492.25652.280%100%200%300%400%0 200 400 600 800 201720182019202020212022營業總收入(百萬元)YoY