電子行業深度:半導體設備&材料國產加速-220622(103頁).pdf

編號:79134 PDF 103頁 8.20MB 下載積分:VIP專享
下載報告請您先登錄!

電子行業深度:半導體設備&材料國產加速-220622(103頁).pdf

1、 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 證券研究報告 | 行業深度 2022 年 06 月 22 日 電子電子 半導體設備半導體設備&材料:材料:國產國產加速加速 全球領先的晶圓代工廠將在全球領先的晶圓代工廠將在 20212023 年之間進行大規模半導體設備投資。年之間進行大規模半導體設備投資。根據 IC Insights,全球代工廠資本開支約占半導體總體的 35%,頭部代工廠2022 年資本開支規劃進一步提升。臺積電 2021 年 CapEx 300 億美金(用于N3/N5/N7 的資本開支占 80%),預計 2022 年將提升至 400-440 億美金;聯電2021 年 Cap

2、Ex 18 億美金,預計 2022 年翻倍達到 36 億美金(其中 90%將用于12英寸晶圓);GlobalFoundries 于 2021年 IPO后資本開支大幅提升用于擴產,公司 2020 年 CapEx 4.5 億美金,2021 年提升至 16.6 億美金,預計 2022 年超過40 億美金;中芯國際 2021 年資本開支維持高位,達到 45 億美金(大部分用于擴成熟制程,尤其是 8 寸數量擴 4.5 萬片/月),預計 2022 年達到 50 億美金。 2021 年全球半導體設備市場規模創年全球半導體設備市場規模創 1026 億美元新高,大陸首次占比全球第億美元新高,大陸首次占比全球第一

3、。一。根據 SEMI,2021 年半導體設備銷售額 1026 億美元,同比激增 44%,創歷史新高。大陸設備市場在 2013年之前占全球比重低于 10%,20142017年提升至 1020%,2018 年之后保持在 20%以上,份額呈逐年上行。2020-2021 年,國內晶圓廠投建、半導體行業加大投入,大陸半導體設備市場規模首次排市場全球首位,占比28.9%,2021達到 296.2億美元,同比增長58%。展望 2022年,存儲需求復蘇,韓國預計將領跑全球,但大陸設備市場規模有望保持較高比重。 全球設備五強占市場主導角色全球設備五強占市場主導角色,在手訂單飽滿,供應鏈限制延續在手訂單飽滿,供應

4、鏈限制延續。全球設備競爭格局,主要前道工藝(刻蝕、沉積、涂膠、熱處理、清洗等)整合成三強AMAT、LAM、TEL。此外光刻機龍頭ASML市占率超80%;過程控制龍頭KLA市占率 50%。ASML、AMAT、LAM、TEL、KLA 五大廠商 2021 年收入合計 788 億美元,占全球市場約 77%。海外龍頭一季度受供應鏈、零部件等影響交期延長,毛利承壓,但目前在手訂單飽滿,需求樂觀,展望下半年增長強勁。 2022Q1 設備收入、利潤快速增長,國產替代持續深化。設備收入、利潤快速增長,國產替代持續深化。北方華創產品布局廣泛,刻蝕、沉積、爐管持續放量;中微公司 CCP 打入 TSMC,ICP 加速

5、放量,新款 MOCVD 設備 UniMax 2022Q1 訂單已超 180 腔;拓荊科技 PECVD 已用于國內知名晶圓廠 14nm 及以上制程產線,累計發貨超 150 臺;芯源微新簽訂單結構中前道產品占比大幅提升;精測電子產品迭代加速,OCD、電子束進展超預期;華峰測控訂單飽滿新機臺加速放量;設備核心公司 2022Q1 營收總計 72.7 億元,yoy+55%;扣非歸母凈利潤 10.7 億元,yoy+83%。行業持續高速增長,國產替代空間快速打開,國內核心設備公司成長可期。 半導體材料供應受限,國產替代進程加快。半導體材料供應受限,國產替代進程加快。2021 年全球半導體材料市場規模創643

6、 億美金新高,中國大陸需求占比 18.6%。貿易摩擦、自然災害導致半導體原材料供應受限,致使如光刻膠、CMP 材料及電子特氣等外資廠商高市占率產品存在的斷供可能性,進一步推動國產材料需求及國產替代化進度。隨著技術及工藝的推進以及中國電子產業鏈逐步的完善,在材料領域已經開始涌現出各類已經進入批量生產及供應的廠商。 各類材料持續持續突破,國產替代空間廣闊。各類材料持續持續突破,國產替代空間廣闊。我們選取 10 家代表性公司,2021年電子材料營收綜合約為 98 億元人民幣,考慮到其他未收錄的非上市公司及上市公司,樂觀假設中國電子半導體材料營收規模 150 億元(更多的為中低端產品,高端產品仍然在持

7、續突破及替代),在當前 643 億美元的全球市場之中也僅僅 4%不到的替代率;在中國所需的產值約 119 億美元的市場需求中,也僅占19%,因此可以看到中國無論是在中國市場或者全球市場之中,均有著巨大的國產化空間。 重點推薦:重點推薦:設備設備:北方華創、芯源微、新益昌、華海清科、拓荊科技、華峰測控、中微公司、長川科技、盛美上海、精測電子、至純科技、萬業企業。材料:材料:彤程新材、鼎龍股份、凱美特氣、興森科技、安集科技、滬硅產業、雅克科技、立昂微、華特氣體、金宏氣體、晶瑞股份、南大光電。 風險提示風險提示:國產替代進展不及預期、全球貿易紛爭影響、下游需求不確定性國產替代進展不及預期、全球貿易紛

8、爭影響、下游需求不確定性。 增持增持(維持維持) 行業行業走勢走勢 作者作者 分析師分析師 鄭震湘鄭震湘 執業證書編號:S0680518120002 郵箱: 分析師分析師 佘凌星佘凌星 執業證書編號:S0680520010001 郵箱: 研究助理研究助理 劉嘉元劉嘉元 執業證書編號:S0680120120006 郵箱: 相關研究相關研究 1、 電子:政策刺激助力需求反彈,供應鏈預期逐步恢復2022-05-30 2、 電子:22Q1 全球視角:半導體整體供應不求,高景氣延續2022-05-24 3、 電子:關注汽車電子發展機會2022-05-16 -16%0%16%32%48%64%2020-0

9、62020-092021-01電子滬深300 2022 年 06 月 22 日 P.2 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 內容目錄內容目錄 一、半導體設備:大陸需求快速增長,國產替代加速 . 7 1.1 全球設備市場創新高,受益于資本開支提升、制程節點進步 . 7 1.2 前道設備占主要部分,測試需求高增速 . 14 1.3 全球市場受海外廠商主導,前五大廠商市占率較高 . 16 1.4 海外設備廠商在手訂單飽滿,供應鏈限制延續 . 17 1.5 國內需求爆發,國產替代空間快速打開 . 26 1.6 2022Q1 國產設備廠商營收持續高增 . 28 二、光刻機:半導體制程工藝核

10、心環節,將掩膜板圖形縮小 . 32 三、刻蝕設備:等離子刻蝕復雜程度高,且步驟逐漸增加 . 36 四、薄膜設備:用于沉積物質,在設備市場占比較高 . 44 五、清洗設備:去除晶圓片表面雜質,各制程前后均需使用 . 51 六、過程控制:制造過程的準確性檢測 . 54 七、測試設備:用于測試晶圓片及成品 . 59 八、化學機械拋光:全局納米級平坦化 . 61 九、半導體材料:晶圓廠持續擴產,材料拐點已至 . 68 9.1 晶圓代工擴產拉動材料需求持續增長 . 68 9.2 各類材料持續持續突破,業績佐證國產替代正式開幕 . 71 十、光刻膠:產品逐步突破,國產替代已開啟 . 73 十一、CMP:突

11、破重圍,國產化啟動 . 81 十二、硅片:“第四次硅含量提升周期”,全球硅片需求大幅提升 . 85 十三、電子特氣:需求空間大,拉開進口替代序幕 . 94 十四、濕電子化學品:內資龍頭效應顯著 . 100 十五、投資建議 . 101 15.1 設備 . 101 15.2 材料 . 102 十六、風險提示 . 102 圖表目錄圖表目錄 圖表 1:全球半導體設備季度銷售額(億美元) . 7 圖表 2:全球半導體設備分地域季度銷售額(億美元) . 7 圖表 3:中國大陸半導體設備市場規模(億美元) . 8 圖表 4:中國半導體設備市場維持高速增長 . 8 圖表 5:北美半導體設備月銷售額(億美元)

12、. 8 圖表 6:全球半導體月度銷售額(億美元) . 8 圖表 7:全球半導體銷售規模 . 9 圖表 8:各機構預測 2022 年全球半導體市場增速 . 9 圖表 9:半導體設備市場增速周期性 . 9 圖表 10:海外半導體設備龍頭季度營收跟蹤(億美元) . 10 圖表 11:海外半導體設備龍頭季度營收同比增速跟蹤 . 10 圖表 12:全球半導體資本開支(億美金) . 11 圖表 13:全球晶圓廠前道設備支出(億美金) . 11 圖表 14:全球半導體資本開支集中度持續提升 . 11 圖表 15:2022 年資本開支增速較快的廠商(百萬美金) . 11 圖表 16:2021 年全球代工行業營

13、收份額 . 12 圖表 17:七家晶圓代工企業資本開支(億美元) . 12 圖表 18:全球 12 英寸晶圓制程結構 . 13 圖表 19:100K 產能對應投資額要求(億美元) . 13 圖表 20:集成電路前道工藝對應設備 . 14 圖表 21:半導體測試設備應用環節 . 14 圖表 22:半導體封裝流程 . 14 圖表 23:半導體制造領域典型資本開支分布 . 15 圖表 24:2013-2018 年全球半導體設備按工藝流程劃分(億美元) . 15 2022 年 06 月 22 日 P.3 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 25:2020-2023 年全球半導體設備

14、按工藝流程劃分(億美元) . 15 圖表 26:全球半導體前道設備劃分(百萬美元) . 15 圖表 27:全球半導體測試設備劃分(百萬美元) . 15 圖表 28:泰瑞達分業務季度營收及公司市場看法(百萬美元) . 16 圖表 29:AMAT、LAM、TEL 主導大部分前道工藝 . 16 圖表 30:全球半導體設備廠商排名(億美金) . 17 圖表 31:五大設備廠商行業格局(百萬美元) . 17 圖表 32:ASML 季度營收及其增速 . 18 圖表 33:ASML 季度利潤率 . 18 圖表 34:2022Q1 新增訂單結構情況 . 19 圖表 35:ASML 銷售結構 . 19 圖表 3

15、6:Lam Research 季度營收及增速 . 20 圖表 37:Lam Research 季度利潤率 . 20 圖表 38:Lam Research 2022Q1 營收結構 . 21 圖表 39:愛德萬業績表現(十億日元) . 21 圖表 40:KLAC 營收及增速(億美元,%,取自然年) . 22 圖表 41:KLAC 營收分業務拆分 . 23 圖表 42:KLAC 營收分產品拆分 . 23 圖表 43:KLA 對于 CY2022Q2 業績預告 . 24 圖表 44:泰瑞達 2022Q1 收入情況 . 24 圖表 45:泰瑞達 2022Q1 業績分業務拆分 . 25 圖表 46:東京電子

16、業績情況 . 25 圖表 47:東京電子分業務情況 . 26 圖表 48:國內晶圓廠投資規模(億元) (20202022 年為預測數據) . 27 圖表 49:國產設備替代進程 . 28 圖表 50:設備核心公司營業收入及歸母凈利潤(億元) . 28 圖表 51:設備核心公司毛利率 . 29 圖表 52:設備核心公司研發費用(億元) . 29 圖表 53:設備核心公司經營增速 . 29 圖表 54:設備核心公司預收賬款/合同負債(億元) . 30 圖表 55:設備核心公司合同負債占營收比 . 30 圖表 56:國內晶圓廠投建擴產計劃 . 31 圖表 57:光刻機技術特點 . 33 圖表 58:

17、光刻機技術路徑(2020 年后為預測情況) . 33 圖表 59:不同產品隨著制程節點提升各類光刻技術對應層數的需求比例 . 33 圖表 60:光刻機技術示意圖 . 33 圖表 61:EUV 目標市場范圍(2020 年后為預測情況) . 34 圖表 62:Foundry 和 DRAM 精度仍會不斷提升(2020 年后為預測情況) . 34 圖表 63:兩次技術分水嶺奠定光刻機格局 . 34 圖表 64:全球 EUV 光刻機出貨量(臺) . 35 圖表 65:全球光刻機市場格局(百萬美元) . 35 圖表 66:上海微電子 600 系列光刻機 . 36 圖表 67:上海微電子 600 系列光刻機

18、主要技術參數 . 36 圖表 68:刻蝕的目的是把圖形從光刻膠轉移到待刻蝕的薄膜上 . 37 圖表 69:刻蝕工藝分類 . 37 圖表 70:不同刻蝕設備主要技術指標 . 38 圖表 71:電容性等離子體刻蝕反應腔 . 38 圖表 72:電感性等離子體刻蝕反應腔 . 38 圖表 73:刻蝕類別 . 39 圖表 74:多重成像技術 . 39 圖表 75:電感性等離子體刻蝕反應腔 . 39 圖表 76:刻蝕步驟逐漸增加(步驟數量) . 39 圖表 77:干法刻蝕市場(百萬美元) (20212023 年為預測數據) . 40 圖表 78:刻蝕在晶圓設備市場比重提升 . 40 圖表 79:全球刻蝕業務

19、收入規模分別(百萬美元) . 40 圖表 80:干法刻蝕市場份額 . 41 2022 年 06 月 22 日 P.4 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 81:Conductor Etch 市場份額 . 41 圖表 82:Dielectric Etch 市場份額 . 42 圖表 83:北方華創刻蝕設備發展進程圖 . 42 圖表 84:北方華創刻蝕產品介紹 . 43 圖表 85:中微公司刻蝕產品線布局 . 44 圖表 86:薄膜設備分類 . 45 圖表 87:典型 CVD 工藝流程 . 45 圖表 88:ALD 示例 . 45 圖表 89:典型 CVD 工藝流程 . 46 圖

20、表 90:常見的薄膜材料制備工藝 . 46 圖表 91:CVD 和 PVD 技術比較 . 46 圖表 92:CVD 和 PVD 技術比較示意圖 . 46 圖表 93:全球沉積設備市場趨勢(百萬美元) . 47 圖表 94:2020 年沉積設備市場結構(百萬美元) . 47 圖表 95:CVD 市場份額 . 48 圖表 96:PVD 市場份額 . 48 圖表 97:北方華創 PVD 機臺 eVictor AX30 Al pad PVD . 49 圖表 98:北方華創 LPCVD 機臺 THEORIS SN302D . 49 圖表 99:拓荊科技主要產品情況 . 50 圖表 100:中微公司戰略規

21、劃 . 51 圖表 101:清洗原理 . 52 圖表 102:清洗環節 . 52 圖表 103:全球半導體清洗設備規模(2021-2024 位預測數據) . 52 圖表 104:2020 年全球半導體清洗設備市場格局 . 52 圖表 105:至純科技主要產品 . 53 圖表 106:區分過程控制(檢測、測量)和 ATE(測試) (2019 年市場空間) . 54 圖表 107:檢測缺陷&量測尺寸 . 55 圖表 108:測量、檢測分類 . 55 圖表 109:不同環節關鍵過程控制指標 . 55 圖表 110:全球過程控制市場(百萬美元) . 56 圖表 111:過程控制在晶圓設備市場比重 .

22、56 圖表 112:過程控制細分市場(百萬美元) (2021 年為預測數據) . 57 圖表 113:2020 年全球過程控制市場格局 . 57 圖表 114:公司電子束檢測設備 eViewTM 全自動晶圓缺陷復查設備 . 58 圖表 115:中科飛測系列產品覆蓋 IC 前道和先進封裝的各種檢測需求 . 59 圖表 116:集成電路測試設備主要功能 . 59 圖表 117:華峰測控主要產品系列 . 60 圖表 118:長川科技測試機及分選機主要產品系列 . 61 圖表 119:CMP 設備在芯片制造前道工藝中的環節 . 62 圖表 120:CMP 拋光去除速率對比 . 62 圖表 121: C

23、MP 平坦化效果圖(CMOS 結構剖面圖) . 62 圖表 122:CMP 拋光模塊示意圖 . 63 圖表 123:CMP 拋光作業原理圖 . 63 圖表 124:9-11 層金屬結構 Cu CMP 的示意圖 . 63 圖表 125:三個不同尺寸和制程的晶圓產線項目每萬片月產能對應的設備數量需求 . 64 圖表 126:CMP 工藝應用到研磨頭、研磨墊、研磨液 . 64 圖表 127:CMP 設備的相關配套組成 . 64 圖表 128:2020 年全球 CMP 設備市場區域結構 . 65 圖表 129:2020 年全球 CMP 設備競爭格局 . 65 圖表 130:華海清科發展歷程 . 65

24、圖表 131:華海清科主要產品情況 . 66 圖表 132:華虹無錫 2022 年 1-5 月化學機械拋光設備采購情況 . 67 圖表 133:長江存儲 2019-2020 年化學機械拋光設備采購情況 . 67 圖表 134:全球半導體銷售市場規模 . 68 圖表 135:全球半導體材料市場規模 . 68 圖表 136:2020 及 2021 年分地區半導體材料市場營收(億美元) . 68 2022 年 06 月 22 日 P.5 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 137:封裝及晶圓制造材料市場規模 . 68 圖表 138:半導體材料分類 . 69 圖表 139:晶元制造

25、過程所需材料 . 69 圖表 140:半導體原材料分布情況 . 70 圖表 141:2021 年半導體材料市場按地域分布 . 70 圖表 142:半導體材料國產化進程 . 71 圖表 143:半導體材料公司在電子材料業務領域營收情況(億元) . 71 圖表 144:當前部分 A 股半導體材料公司在細分領域的進展及后續規劃(研發費用為 2021 年數字,億元) . 72 圖表 145:光刻技術及光刻材料的發展 . 73 圖表 146:正性及負性光刻膠的反應原理 . 74 圖表 147:光刻膠分類 . 74 圖表 148:2019-2022 全球光刻膠產業市場規模(億美元) . 75 圖表 149

26、:全球光刻膠應用份額占比 . 75 圖表 150:國內光刻膠場規模 . 76 圖表 151:中國半導體光刻膠及配套試劑市場規模 . 76 圖表 152:國內半導體光刻膠市場規模(億元) . 76 圖表 153:中國光刻膠廠商生產結構情況 . 77 圖表 154:全球光刻膠市占率情況 . 77 圖表 155:全球半導體光刻膠市占率情況 . 77 圖表 156:2019 年 krf 光刻膠市場占比 . 77 圖表 157:2019 年 arf 光刻膠市場占比 . 77 圖表 158:2019 年 g/i 線光刻膠市場占比 . 78 圖表 159:光刻膠龍頭專利積累 . 78 圖表 160:TOK

27、產品分類(按特征尺寸). 79 圖表 161:TOK KrF 正性光刻膠系列 . 79 圖表 162:KrF 深紫外光刻膠系列產品 . 79 圖表 163:海外龍頭光刻膠產品發展歷程 . 80 圖表 164:CMP 拋光模塊示意圖 . 81 圖表 165:CMP 拋光作業原理圖 . 81 圖表 166:CMP 示意圖 . 82 圖表 167:鎢 CMP 流程示意圖 . 82 圖表 168:Poly CMP 流程示意圖(Poly 為 P2) . 83 圖表 169:拋光步驟隨邏輯芯片技術進步增加 . 83 圖表 170:9-11 層金屬結構 Cu CMP 的示意圖 . 83 圖表 171:全球

28、CMP 材料市場規模(百萬美金) . 84 圖表 172:2021 年全球 CMP 材料市場規模及占比(億美金) . 84 圖表 173:拋光液主要生產企業 . 84 圖表 174:拋光墊主要生產企業 . 84 圖表 175:按終端應用領域分的 12 英寸硅片需求(千片/月) . 86 圖表 176:全球 12 英寸拋光片及外延片需求(千片/月) . 86 圖表 177:2020 年全球 8 英寸晶圓代工廠下游需求分布 . 87 圖表 178:全球 8 英寸晶圓下游產能需求預測(等效 8 寸片,千片/月) . 87 圖表 179:全球 8 英寸晶圓廠產能情況(千片/月) . 87 圖表 180

29、:全球 8 英寸硅片季度出貨預測(千片/月) . 88 圖表 181:全球 12 英寸硅片季度出貨預測(千片/月) . 88 圖表 182:晶圓廠 12 英寸硅片庫存 . 88 圖表 183:全球 12 英寸硅片供需情況 . 89 圖表 184:SUMCO 季度及同比增速 . 90 圖表 185:SUMCO 毛利率及凈利率 . 90 圖表 186:信越化學電子材料季度營收及增速 . 90 圖表 187:信越化學電子材料季度盈利水平及資本投資情況 . 90 圖表 188:臺勝科月度營收情況 . 91 圖表 189:環球晶圓季度營收及同比增速 . 92 圖表 190:環球晶圓盈利水平 . 92 圖

30、表 191:全球硅片營收規模(億美元,%) . 92 圖表 192:全球硅晶圓出貨面積(百萬平方英寸,%) . 92 2022 年 06 月 22 日 P.6 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 193:不同尺寸半導體硅片的市場份額預測 . 93 圖表 194:全球硅片平均單價及增速 . 93 圖表 195:2016-2018 年半導體硅片廠商盈利水平快速提升 . 93 圖表 196:中國臺灣 12 英寸及以上硅片月度進口價格及趨勢 . 94 圖表 197:中國臺灣 12 英寸及以上硅片進口量(萬片/月) . 94 圖表 198:中國臺灣 8”及以上 12”(不含)以下硅片

31、進口量(萬片/月) . 94 圖表 199:我國電子特氣市場規模(億元) . 95 圖表 200:高純電子特氣市場格局(按應用) . 95 圖表 201:電子氣體分類 . 95 圖表 202:電子氣體分種類份額占比 . 96 圖表 203:電子特氣在晶圓制造中的應用 . 96 圖表 204:我國集成電路產業銷售額 . 97 圖表 205:全球各地區 OLED 產能占比情況及預測 . 97 圖表 206:全球電子特氣市場規模(億美金) . 97 圖表 207:全球電子氣體市場規模及預測(百萬美元) . 97 圖表 208:我國電子特氣市場規模(億元) . 98 圖表 209:我國電子氣體市場格局

32、(2020 年) . 98 圖表 210:公司電子特氣項目建設規劃 . 99 圖表 211:公司電子特氣產品認證情況 . 99 圖表 212:2021 年至今公司披露的電子特氣銷售訂單 . 100 圖表 213:美國 SEMI 工藝化學品的國際標準等級 . 100 2022 年 06 月 22 日 P.7 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 一一、半導體半導體設備設備:大陸需求快速增長,國產替代:大陸需求快速增長,國產替代加速加速 1.1 全球設備市場全球設備市場創新高,受益于資本開支提升、制程節點進步創新高,受益于資本開支提升、制程節點進步 2021 年年全球半導體設備市場規模

33、全球半導體設備市場規模創創 1026 億美元新高億美元新高,大陸,大陸首次占比全球第一。首次占比全球第一。根據SEMI,2021 年半導體設備銷售額 1026 億美元,同比激增 44%,全年銷售額創歷史新高。大陸設備市場在 2013 年之前占全球比重為 10%以內,20142017 年提升至1020%,2018 年之后保持在 20%以上,份額呈逐年上行趨勢。2020-2021 年,國內晶圓廠投建、半導體行業加大投入,大陸半導體設備市場規模首次在市場全球排首位,2021達到296.2億美元,同比增長58%,占比28.9%。展望2022年,存儲需求復蘇,韓國預計將領跑全球,但大陸設備市場規模有望保

34、持較高比重。 圖表 1:全球半導體設備季度銷售額(億美元) 資料來源:SEMI,國盛證券研究所 圖表 2:全球半導體設備分地域季度銷售額(億美元) 資料來源:SEMI,國盛證券研究所 -30%-20%-10%0%10%20%30%40%50%60%70%0501001502002503002016-032016-122017-092018-062019-032019-122020-092021-062022-03全球半導體設備銷售額全球半導體設備銷售額yoy大陸占比050100150200250300其他歐洲韓國中國臺灣日本北美中國大陸 2022 年 06 月 22 日 P.8 請仔細閱讀本報

35、告末頁聲明請仔細閱讀本報告末頁聲明 圖表 3:中國大陸半導體設備市場規模(億美元) 圖表 4:中國半導體設備市場維持高速增長 資料來源:日本半導體制造裝置協會,國盛證券研究所 資料來源:日本半導體制造裝置協會,國盛證券研究所 北美半導體設備廠商月銷售北美半導體設備廠商月銷售額額 2021 年以來穩站年以來穩站 30 億億+美金美金。通過復盤半導體行業景氣周期歷史,我們認為北美半導體設備廠商月銷售額對于全球半導體行業景氣度分析具有重要意義,北美半導體設備銷售額水平通常領先全球半導體銷售額一個季度。2021年 1 月,北美半導體設備廠商月銷售額首次突破了 30 億美金關口,創歷史新高,達到了 30

36、.4 億美金。此后月度銷售額逐季創新高,至 12 月份銷售額達到 39.2 億美金,同比增長 46%。與此同時我們看到全球半導體銷售市場自 2021 年 4 月以來連續 12 個月同比增速超過 20%,2022 年 3 月,全球半導體銷售額達到 505.8 億美金,同比增長23.0%,展望 2022全年,從各機構當前預測平均值來看,預計2022年全球半導體市場仍將保持 10%以上同比增長。 圖表 5:北美半導體設備月銷售額(億美元) 圖表 6:全球半導體月度銷售額(億美元) 資料來源:SEMI,國盛證券研究所 資料來源:SEMI,國盛證券研究所 -100%-50%0%50%100%150%20

37、0%250%300%350%0501001502002503003502005 2007 2009 2011 2013 2015 2017 2019 2021中國大陸半導體設備市場yoy-100%-50%0%50%100%150%200%250%300%350%中國半導體設備增速全球半導體設備增速-40.0%-20.0%0.0%20.0%40.0%60.0%80.0%0510152025303540452017-01 2017-09 2018-05 2019-01 2019-09 2020-05 2021-01 2021-09北美半導體設備制造商出貨額yoy-25%-20%-15%-10%-5

38、%0%5%10%15%20%25%30%01002003004005006002017-01 2017-12 2018-11 2019-10 2020-09 2021-08全球半導體月度銷售額yoy 2022 年 06 月 22 日 P.9 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 7:全球半導體銷售規模 圖表 8:各機構預測 2022 年全球半導體市場增速 資料來源:SIA,國盛證券研究所 資料來源:各機構官網,國盛證券研究所 半導體設備行業呈現明顯的周期性,受下游廠商資本開支節奏變化較為明顯。半導體設備行業呈現明顯的周期性,受下游廠商資本開支節奏變化較為明顯。2017 年,存

39、儲廠商的大幅資本開支推動半導體設備迎來巨大需求,且這一勢頭一直延續到 2018年上半年。但隨后產能過剩致使存儲價格走低,導致 DRAM和 NAND廠商紛紛推遲設備訂單。存儲產能過剩一直持續到 2019 年上半年,同時上半年整體半導體行業景氣度不佳,雖然下半年隨著行業景氣度恢復,以臺積電為代表的晶圓廠陸續調高資本開支大幅擴產,2019 年全年半導體設備需求同比仍回落約 2%。2020 年全球各地先后受疫情影響,但存儲行業資本支出修復、先進制程投資疊加數字化、5G 帶來的下游各領域強勁需求,全年設備市場同比增長 19%。伴隨半導體廠商新一輪資本開支開啟,2021 年全球設備市場繼續大幅增長 44%

40、。當前海外設備龍頭應用材料、泛林集團等均預計 2022年全球設備市場規模將進一步增長。 圖表 9:半導體設備市場增速周期性 資料來源:Wind,國盛證券研究所 下游資本開支提升,下游資本開支提升,半導體設備周期半導體設備周期向上向上。伴隨著下游資本開支提升,設備廠商營業收入增速從 2019Q2 觸底后逐漸回暖。2020Q1 由于疫情沖擊,產品發貨推遲,導致單季度收入增速下調。復盤 2021 年,海外龍頭全年營收高增速: 2021Q1:低基數高增長,北美設備出貨在:低基數高增長,北美設備出貨在 2021-01 首次單月超首次單月超 30 億美元。億美元。設備廠商營業收入增速從 2019Q2 觸底

41、后逐漸回暖。2020Q1 由于疫情沖擊,產品發貨推遲,導致單季度收入增速下調。以 ASML 為例,疫情后營收增速恢復,2021Q1 半導體設備營收增速更是達到 95.1%,ASML 表示下游對于先進的光刻設備需求有增無減。 -15%-10%-5%0%5%10%15%20%25%30%0100020003000400050006000201120122013201420152016201720182019202020212022Q1全球半導體銷售規模(億美金)yoy8.8%10.4%11.0%13.6%15.0%0%2%4%6%8%10% 12% 14% 16%SIA 2022.2WSTS 20

42、22.3IC Insights 2022.1Gartner 2022.4SemiconductorIntelligence 2022.2 2022 年 06 月 22 日 P.10 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 2021Q2:晶圓制造設備龍頭展望:晶圓制造設備龍頭展望 2022 年需求強勁,核心設備在手訂單超過一年。年需求強勁,核心設備在手訂單超過一年。ASML 單季度收入 40 億歐元,新增 83 億歐元訂單(其中 EUV 為 49 億歐元) 。單季度BB 值創 2017 年以來最高,累計在手訂單 170 億歐元,供貨延期將持續到 2022H2。全年增速指引從 30%提升

43、到 35%。Lam Research 單季度營收入、利潤率均高于預期,公司預計 2022 年需求仍然很強勁本輪,資本密集度提升在半導體領域是全面的。KLA 訂單也已經延續至 2022 年,部分產品交付期超過 12 個月。 2021Q3:全球各環節設備均供不應求,新增訂單仍然較多。:全球各環節設備均供不應求,新增訂單仍然較多。全球光刻機龍頭 ASML 本季度收入 52 億歐元,新增訂單 62 億歐元,BB 值持續高于 1,且在手訂單遠超一年產值。前道工藝龍頭 Lam Research 展望 2021H2 市場需求強于 2021H1,且 2022 年將延續增長。 2021Q4:全球核心設備龍頭訂單

44、整體強勁,短期收入受限于供應鏈制約,預計:全球核心設備龍頭訂單整體強勁,短期收入受限于供應鏈制約,預計 2022年年 WFE 增長約增長約 1020%。其中,ASML 在 2021Q4 新增訂單 71 億歐元,BB 值 2.0,累計訂單充沛。2022Q1 收入僅為 3335 億歐元,預計有 20 億歐元無法在 2022Q1 確認收入;預計 2022 年增長 20%。Lam Research 預計 2022Q1 同比大幅增長、環比下滑,主要受限于零部件和運輸因素;預計 2022 年全球 WFE 增長至 1000 億美元,增速18%。TEL 預計 2022Q1 營收同比增長 16%、環比增長 1%

45、;KLAC 預計 2022Q1 營收22 億美元,環比下降 9%,下降主要由于供應鏈限制,積壓訂單依然強勁。 圖表 10:海外半導體設備龍頭季度營收跟蹤(億美元) 圖表 11:海外半導體設備龍頭季度營收同比增速跟蹤 資料來源:彭博,國盛證券研究所 資料來源:彭博,國盛證券研究所 未來兩年全球晶圓廠設備開支持續未來兩年全球晶圓廠設備開支持續增長增長。2020 年疫情帶來的居家及遠程辦公帶來筆電等消費電子需求激增作為本輪周期的催化劑,2020H2 以車用芯片為代表的供應鏈開始緊張,下游持續增長的需求與上游有限產能的矛盾演繹為 2021 年全年行業供需失衡加劇。2022 年以來,消費性電子、智能手機

46、、PC 等領域需求確有下滑,但更值得注意的是全球正步入第四輪硅含量提升周期,服務器、汽車、工業、物聯網等需求大規模提升。 在 6 月臺積電召開的股東大會上,公司管理層表示未來 10 年是半導體行業非常好的機會,主要原因就是 5G 及高效能運算的普及,生活數字化轉型,帶來對車用(新車半導體含量可達傳統車的 10 倍) 、手機、服務器等終端內半導體含量的增加,推動半導體需求大幅成長。中芯國際在 22Q1 法說會表示,盡管消費電子,手機等存量市場進入去庫存階段,開始軟著陸,但高端物聯網、電動車、綠色能源、工業等增量市場尚未建立足夠的庫存,近年來硅含量提升與晶圓廠有限的產能擴充矛盾,疊加產業鏈轉移帶來

47、的本土化產能缺口,使得公司需要大幅擴產,推出新產品工藝平臺,滿足客戶旺盛的增量需求。我們認為疫情、全球經濟及半導體周期性雖然會帶來短期內的不確定性,但是技術進步、硅含量提升是長期支撐半導體行業持續發展的最關鍵驅動力。 050100150200250Q1 2019 Q3 2019 Q1 2020 Q3 2020 Q1 2021 Q3 2021 Q1 2022 KLAC TEL-Semiconductor Production Equipment LRCX AMAT-Semicondu Systems ASML-40%-20%0%20%40%60%80%100%120%Q12018Q32018Q1

48、2019Q32019Q12020Q32020Q12021Q32021Q12022ASMLAMAT-Semicondu SystemsLRCXTEL-Semiconductor Production EquipmentKLAC 2022 年 06 月 22 日 P.11 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 正因如此,2020 年開始全球領先的晶圓廠紛紛加速擴產提升資本開支,根據 IC Insights,2021年全球半導體資本開支增速達到 36%,預計 2022年將繼續增長 24%,2020-2022 年將會成為自 1993-1995 年以來的首次 CapEx 連續三年增速超過 2

49、0%。半導體設備作為晶圓廠擴產的重要開支部分,根據 SEMI,2021 年全球晶圓廠前道設備支出增速達到 42%,預計 2022 年將進一步增長 18%。 圖表 12:全球半導體資本開支(億美金) 圖表 13:全球晶圓廠前道設備支出(億美金) 資料來源:IC Insights,國盛證券研究所 資料來源:SEMI,國盛證券研究所 圖表 14:全球半導體資本開支集中度持續提升 圖表 15:2022 年資本開支增速較快的廠商(百萬美金) 資料來源:IC Insights,國盛證券研究所 資料來源:IC Insights,國盛證券研究所 臺積電、中芯國際紛紛增加資本開支臺積電、中芯國際紛紛增加資本開支

50、,CapEx 進入上行期。進入上行期。根據 IC Insights,全球代工廠資本開支約占半導體總體的 35%,根據頭部代工廠的資本開支規劃來看,2022 年代工領域資本開支將進一步提升。臺積電從 2020 年 170 億美金增長到 2021 年的 300億美金(用于 N3/N5/N7 的資本開支占 80%) ,公司 2021 年 4 月 1 日公布未來三年資本開支 1000 億美金,2022 年資本開支將進一步提升至 400-440 億美金,預計 2023 年資本開支仍有望超過 400 億美金;聯電 2021 年 CapEx 18 億美金,預計 2022 年翻倍達到 36 億美金(其中 90

51、%將用于 12 英寸晶圓) ;GlobalFoundries 于 2021 年 IPO 后資本開支大幅提升用于擴產,公司 2020年 CapEx 4.5億美金,2021年提升至 16.6億美金,預計2022年超過 40億美金;中芯國際2021年資本開支維持高位,達到 45億美金(大部分用于擴成熟制程,尤其是 8 寸數量擴 4.5 萬片/月) ,預計 2022 年達到 50 億美金。 -60%-40%-20%0%20%40%60%80%100%120%020040060080010001200140016001800200020082010201220142016201820202022F資本開

52、支yoy-20%-10%0%10%20%30%40%50%020040060080010001200晶圓廠前道設備支出yoy20%30%40%50%60%70%80%19951997199920012003200520072009201120132015201720192021全球前五大半導體廠商資本開支集中度 2022 年 06 月 22 日 P.12 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 16:2021 年全球代工行業營收份額 資料來源:TrendForce,國盛證券研究所 圖表 17:七家晶圓代工企業資本開支(億美元) 資料來源:彭博,各公司公告,國盛證券研究所 存儲廠

53、商存儲廠商: 三星三星 22Q1 資本支出為 7.9 萬億韓元,其中用于半導體的投資為 6.7 萬億韓元,用于顯示的投資為 0.7 萬億韓元。對存儲的投資集中在 P3 晶圓廠的投資建設,及華城、平澤和西安工廠的工藝轉換,重點開發 5nm 以下先進工藝的制造能力。平澤P3 晶圓廠系三星全球建設的園區最大的晶圓廠,用于生產存儲和邏輯芯片,建成后陸續將有NAND FLASH、DRAM芯片投產,其后也將采用 3nm工藝為其他廠商進行晶圓代工; 海力士海力士 22Q1 資本支出為 4 萬億韓元,預計 2023 年資本支出將繼續增長。海力士22Q1 支出的 4 萬億韓元主要用于對大連、利川、M15 工廠的

54、投資建設。海力士資本開支通常集中在上半年,從而有利于推動全年位元的成長。但公司在 22Q1 法說會上稱今年由于設備交付時間的延長,公司會在全年各季度更均勻的進行資本支出。此外,Solidigm 的資本支出也將計入公司總資本開支中,故 23 年的合計資本支出將繼續增加; TSMC, 53%UMC, 7%PSMC, 2%VIS, 1%Samsung, 18%DBHiTeK, 1%SMIC, 5%HH Group, 2%Nexchip, 1%GF, 6%Tower, 1%-20%-10%0%10%20%30%40%50%60%0100200300400500600700201120122013201

55、420152016201720182019202020212022E高塔世界華虹格芯聯電中芯國際臺積電資本開支合計yoy 2022 年 06 月 22 日 P.13 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 美光美光一季度資本支出 26 億美元,全年資本開支指引保持在 110-120 億美元。美光預計 22H2 與 22H1 資本支出將基本持平。此外,美光表示雖然 22Q1 資本支出受制于設備交付周期的延長,但其對全年的供應前景仍保持信心。隨著 1-alphaDRAM 和 176 層 NAND 產品在終端市場的快速增長,公司將持續加強在上述工藝技術上的投資布局,鞏固先發地位。 下游汽車

56、、工控需求持續增長驅動,下游汽車、工控需求持續增長驅動,IDM 廠商廠商同樣大幅擴產。同樣大幅擴產。 英特爾英特爾 22Q1 資本開支 46 億美金,并預計將在下半年加大資本支出力度。公司俄亥俄州新工廠即將投產,并將在愛爾蘭、以色列和德國投建新工廠,以滿足 IFS 業務和 IDM 業務的雙重需求,以滿足在 24-25 年放量的代工客戶需求,公司預計2022 年 capex 達到 270 億美金 英飛凌英飛凌表示將會繼續加大在 40 至 130nm 級別的資本支出,推進氮化鎵和碳化硅制造的需求增長,盡快滿足包括 CMD 和其他業務在內的需求放量,預計 2022 年capex 達到 24 億美金;

57、 意法半導體意法半導體 22Q1 資本支出 8.4 億美金,受益汽車、工業市場明確的需求提升,公司預計 22 年資本開支總額 35-36 億美金用于擴產,包括在意大利阿格拉特的 300毫米晶圓廠建設新產線; 德州儀器德州儀器預計各系統中的半導體含量都將在未來五年中持續提升,公司將繼續重點投資模擬和嵌入式產品,以工業汽車應用為重心,投資擴張 12 英寸晶圓廠,包括德州理查森的 RFAB2 和猶他州的 LFAB,預計 2022 年資本支出 35 億美金; 恩智浦恩智浦打造的汽車首款專用 16nm 成像雷達處理器 NXP S32R45 已投入量產,公司22 年資本支出額將超過長期計劃,主要為了保證晶

58、圓供應,滿足客戶穩定的訂單需求,公司與代工伙伴簽訂了長期采購協議,投資重點圍繞混合信號和模擬工藝; 索尼索尼 2018-2020 年實際資本支出共 91.7 億美金,將 2021 年至 2023 年預計資本支出自 114.6 億美金提高至 130 億美金。 “芯拐點” 、新制程、新產能推動需求“芯拐點” 、新制程、新產能推動需求。我們判斷本輪反轉首先來自于全球“芯”拐點,行業向上;其次,先進制程帶來的資本開支越來越重,7nm 投資在 100 億美元,研發30 億美元;53nm 投資在 200 億美元;7nm 單位面積生產成本跳升,較 14nm 直接翻倍;并且,大陸晶圓廠投建帶動更多設備投資需求

59、。 圖表 18:全球 12 英寸晶圓制程結構 圖表 19:100K 產能對應投資額要求(億美元) 資料來源:SUMCO(2021Q3),國盛證券研究所 資料來源:SEMI,國盛證券研究所 2022 年 06 月 22 日 P.14 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 1.2 前道設備占主要部分,測試需求前道設備占主要部分,測試需求高高增速增速 半導體設備按照制造環節可以分為前道晶圓制造設備、封裝設備和測試設備。 圖表 20:集成電路前道工藝對應設備 資料來源:芯源微招股書,國盛證券研究所 圖表 21:半導體測試設備應用環節 資料來源:華峰測控招股書,國盛證券研究所 圖表 22:半

60、導體封裝流程 資料來源:ASMPT,國盛證券研究所 2022 年 06 月 22 日 P.15 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 前道設備占主要部分。前道設備占主要部分。設備投資一般占比 7080%,當制程到 16/14nm 時,設備投資占比達 85%;7nm 及以下占比將更高。按工藝流程分類,典型的產線上前道、封裝、測試三類設備分別占 85%、6%、9%。 圖表 23:半導體制造領域典型資本開支分布 資料來源:Gartner,國盛證券研究所 圖表 24:2013-2018 年全球半導體設備按工藝流程劃分(億美元) 圖表 25:2020-2023 年全球半導體設備按工藝流程劃分

61、(億美元) 資料來源:Gartner,國盛證券研究所 資料來源:SEMI,國盛證券研究所 測試需求測試需求高增長高增長。半導體設備 20132018 年復合增長率為 15%,前道、封裝、測試設備增速分別為 15%、11%、16%。增速最快的子項目分別為刻蝕設備(CAGR 24%)和存儲測試設備(CAGR 27%) 。根據SEMI,2021年全球半導體測試設備市場規模達到77.9 億美金,同比增長 29.6%,預計 2022 年市場規模進一步增長至 81.7 億美金。 圖表 26:全球半導體前道設備劃分(百萬美元) 圖表 27:全球半導體測試設備劃分(百萬美元) 資料來源:Gartner,國盛證

62、券研究所 資料來源:Gartner,國盛證券研究所 0100200300400500600700201320142015201620172018Wafer Fab EquipmentAssembly EquipmentTest Equipment020040060080010001200140020202021F2022F2023FWafer Fab EquipmentTest EquipmentAssembly&Packaging Equipment 2022 年 06 月 22 日 P.16 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 28:泰瑞達分業務季度營收及公司市場看法(

63、百萬美元) 資料來源:泰瑞達官網,國盛證券研究所 1.3 全球市場受海外廠商全球市場受海外廠商主導主導,前五大廠商市占率較高,前五大廠商市占率較高 全球全球設備五強設備五強占市場主導角色占市場主導角色。全球設備競爭格局,主要前道工藝(刻蝕、沉積、涂膠、熱處理、清洗等)整合成三強 AMAT、LAM、TEL。另外,光刻機龍頭 ASML 市占率80%+;過程控制龍頭 KLA 市占率 50%。根據 SEMI,ASML、AMAT、LAM Research、TEL、KLA 五大廠商 2021 年收入合計 788 億美元,占全球市場約 77%。 圖表 29:AMAT、LAM、TEL 主導大部分前道工藝 資料

64、來源:中微公司公告,國盛證券研究所 2022 年 06 月 22 日 P.17 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 30:全球半導體設備廠商排名(億美金) 資料來源:各公司公告、國盛證券研究所 綜合看下來,設備五強市場在各賽道合計市占率基本在綜合看下來,設備五強市場在各賽道合計市占率基本在 50%以上。以上。AMSL 優勢在光刻方面遙遙領先;AMAT 優勢在產品線廣,沉積(CVD、PVD)市占率高;LAM 優勢在刻蝕領域;TEL 優勢在小賽道如涂膠、去膠、熱處理;KLA 優勢在過程控制。 圖表 31:五大設備廠商行業格局(百萬美元) 資料來源:彭博、公司公告、國盛證券研究所

65、 1.4 海外設備廠商在手訂單飽滿,海外設備廠商在手訂單飽滿,供應鏈限制延續供應鏈限制延續 在手訂單依舊強勁,供應鏈限制延續,設備大廠積極擴產。在手訂單依舊強勁,供應鏈限制延續,設備大廠積極擴產。1)供給高度緊張:ASML 22Q1 營收 yoy-19%,下滑主要系部分訂單確認延遲;毛利率同比-5pt,承壓主要系材料、供應鏈、運輸等成本上升;庫存周轉率降低。泛林毛利率同比-1.7pt,主要系成本壓力(原材料、物流、通脹等) 。2)訂單依舊強勁:ASML新增在手訂單約70億歐元,環比持平。KLA:當前在手訂單交期總體56個月,部分產品78月。愛德萬客戶訂單提前量增加,由于系半導體等材料和零件短缺

66、,交期延長。3)積極擴產:ASML 預計2030年產能至少翻番,2025年年產能增加到約 90套 0.33孔徑 EUV和 600套 DUV。泰瑞達預計2023研發費用1900億日元,yoy+20.1%;資本開支750億日元,yoy+31.1%,規劃金額皆較往年有大幅提升。 2022 下半年下半年展望樂觀,全年需求強勁將有訂單遞延至明年。展望樂觀,全年需求強勁將有訂單遞延至明年。泛林 2022Q2 毛利率指引中樞仍略降,持續成本和供應壓力影響持續,二季度訂單積壓不斷增加。隨產能落地、產品競爭力效益顯現及部分訂單延遲多數企業對 H2展望樂觀。ASML預計 2022H2表現強勁,毛利率約 54%,高

67、于全年 52%指引,主要由 EUV和 DPV 出貨及安裝基礎管理業務利潤率提升驅動。Q4 部分 EUV 系統收入將遞延到 2023 年。泛林預計 2022 WFE 需求將超 1000 億美元,未滿足的設備需求將遞延至明年。泰瑞達積極建立庫存及擴產,預計 H2 出貨有更大增量及靈活性,預計 Q2 實現增長,僅高端產品出貨受限。 ASML:業績達到預期,新增訂單:業績達到預期,新增訂單 70 億歐元億歐元 2022 年 06 月 22 日 P.18 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 Q1 營收符合預期,快速出貨模式下訂單延遲確認。營收符合預期,快速出貨模式下訂單延遲確認。ASML

68、22Q1 營收為 35 億歐元,達指引上限,yoy -19%,qoq -29%,凈利潤 6.95 億歐元,yoy-48%,qoq-61%,營收及凈利的下降主要系快速出貨模式下部分訂單確認收入時間延遲到 22Q2。毛利率為49.0%,達到預期。本季度出貨 9 套 EUV 系統,并確認了 3 套 EUV 設備 5.91 億歐元的收入。 圖表 32:ASML 季度營收及其增速 圖表 33:ASML 季度利潤率 資料來源:彭博,國盛證券研究所 資料來源:彭博,國盛證券研究所 新增在手訂單約新增在手訂單約 70 億歐元億歐元。22Q1公司新增在手訂單約 70億歐元,其中邏輯占 66%,存儲占 34%。其

69、中包含 25 億歐元的 0.33 孔徑 EUV 系統和 0.55 高孔徑 EUV 系統,DPV訂單量為 45 億歐元,反映出對先進和成熟節點的持續強勁需求。 -40%-20%0%20%40%60%80%100%120%010203040506070 21Q1 21Q2 21Q3 21Q4 22Q1總營收(億美元)yoyqoq0102030405060 20Q1 20Q2 20Q3 20Q4 21Q1 21Q2 21Q3 21Q4 22Q1毛利率(%)凈利率(%) 2022 年 06 月 22 日 P.19 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 34:2022Q1 新增訂單結構

70、情況 資料來源:ASML 官網,國盛證券研究所 收入構成中,從技術路線上看,ArFi 占比最高為 47%,EUV 占比 26%, KrF 占比 12%,量測和檢測占比 7%,干法 ArF 占比 6%,I-line 占比 2%。從地區來看,中國大陸占比34%,韓國占比 29%,中國臺灣地區占比 22%,日本占比 7%,美國占比 6%,亞洲其他地區占比 1%,歐非中東合計占比 1%。從終端應用上看,邏輯與存儲各占 50%。 圖表 35:ASML 銷售結構 資料來源:ASML 官網,國盛證券研究所 2022 全年展望:全年展望: 收入端:收入端:指引保持不變,同比增長約 20%(增長不包括 Q4 快

71、速出貨部分 EUV 系統價值,這些收入延遲到 2023 年) 成本:成本:由于材料、供應鏈、運輸等成本上升,利潤率面臨壓力,預計將對 2022 年全年毛利率有 1%的影響。 毛利率:毛利率:預計 2022H2 表現強勁,毛利率約為 54%。主要由較高的 EUV 和 DPV 產量以及安裝基礎管理業務的利潤率提高驅動。預計今年毛利率接近 52%。 細分市場:細分市場:EUV 業務:預計今年將出貨約預計今年將出貨約 55 套系統。套系統。由于第四季度也將快速出貨其中一些系統,預計部分收入將被推遲到 2023年,今年該部分收入預計約為78億歐元。DUV業務上,預計浸入式和干式系統都會有顯著增長,對量測

72、和檢測系統的需求也將持續。除了先進的節點,成熟細分市場對深紫外系統的需求也將不斷增長,如模擬電路、電源和傳感器。預計邏輯相關收入將同比增長超過 20%,存儲相關收入將同比增長約 25%。 2022 年 06 月 22 日 P.20 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 產能規劃(暫時) :產能規劃(暫時) :目前需求超過公司產能,ASML 及供應商正計劃積極增加產能。預計 2030 年產能至少翻一番,2025 年年產能增加到約年年產能增加到約 90 套套 0.33 孔徑孔徑 EUV 系系統和統和 600 套套 DUV 系統。系統。 泛林:短期供應受限影響收入,需求仍然旺盛泛林:短期

73、供應受限影響收入,需求仍然旺盛 供應嚴重受限,業績處于指引下限。供應嚴重受限,業績處于指引下限。供應鏈限制對公司的收入和盈利能力產生了負面影響,此外,公司還面臨與供應相關的通脹壓力、運輸物流價格上升、原材料成本以及集成電路的成本壓力。為更好應對供應鏈挑戰,公司采取增加資源、與客戶合作、新增額外零部件供應商等措施以提高供應鏈靈活性。公司 22Q1 營收 40.60 億美元,同比+5.51%,qoq-3.95%;毛利率 44.7%,成本壓力較大導致毛利波動。持續的成本和供應限制挑戰將繼續影響公司對二季度業績的預測。 圖表 36:Lam Research 季度營收及增速 圖表 37:Lam Rese

74、arch 季度利潤率 資料來源:彭博,國盛證券研究所 資料來源:彭博,國盛證券研究所 預計預計 2022 年年 WFE 需求將超需求將超 1000 億美元,任何未滿足的設備需求都將遞延至明年。億美元,任何未滿足的設備需求都將遞延至明年。即使供應受限,存儲、代工、邏輯需求仍然非常強勁。存儲一季度營收環比增長 58%,DRAM 業務為公司帶來了創紀錄的收入水平;代工一季度收入環比下降,與下游客戶投資時機有關。隨著公司今年在領先和成熟節點設備投資方面的進展,預計這一領域將出現增長;邏輯一季度貢獻了 13%的總營收,創下記錄??涛g領域發展前景良好,公司預計 2022 年這一領域將繼續增長;CSBG 收

75、入約為 14 億美元,受到了持續的供應鏈約束的負面影響,環比下降 5%,但下游需求依然強勁。公司預計 2022 年 WFE 需求將超過 1000 億美元,任何未滿足的設備需求都將遞延至明年。 展望展望 2022Q2 需求能見度高。需求能見度高。盡管客戶需求持續強勁,但基于持續的供應鏈約束,公司預計 22Q2收入 42億美元,上下浮動 3億美元。毛利率預計為 44.5%,上下浮動 1%。公司的指引預期反映了對通貨膨脹的成本環境的預期,以及對供應鏈執行的持續策略管理的需求。營業利潤率預計為 29.5%,上下浮動 1%。雖然公司面對供應鏈不斷限制產能的挑戰,但需求依然強勁。一季度結束后,公司二季度的

76、訂單積壓不斷增加,終端一季度結束后,公司二季度的訂單積壓不斷增加,終端需求的能見度很高。需求的能見度很高。展望 2022 全年,隨著產能的增加,預計下半年毛利率趨好。 -10%0%10%20%30%40%50%60%363738394041424344 21Q1 21Q2 21Q3 21Q4 22Q1總營收(億美元)yoyqoq05101520253035404550 20Q1 20Q2 20Q3 20Q4 21Q1 21Q2 21Q3 21Q4 22Q1毛利率(%)凈利率(%) 2022 年 06 月 22 日 P.21 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 38:Lam

77、Research 2022Q1 營收結構 資料來源:公司官網,國盛證券研究所 愛德萬愛德萬測試測試:2021 年業績高增,積極籌資擴產年業績高增,積極籌資擴產 年度業績高增,訂單、銷售額、營收及凈利潤均創歷史新高。年度業績高增,訂單、銷售額、營收及凈利潤均創歷史新高。2021 財年公司收到訂單相比上年由 3,306億日元增至 7,003億日元,同比增加 111.82%,營業收入同比 62.2%增至 1147 億日元,凈利潤同比 25.1%增至 873 億日元。其中凈利潤的增長相對較小,主要是因為日本稅負結轉會計準則的調整和上一財年計提遞延所得稅資產的稅收負擔降低所致。整體上,公司受益于半導體需

78、求長期擴張和業績改善的趨勢。訂單增長主要由于半導體等材料和零件的短缺,產品交貨時間更長,公司的相關客戶訂單提前量增加。21Q4 營業收入和稅前收入也創下了披露季度財報以來的歷史新高,主要受益于:客戶提前訂購測試設備量增加、設備種類增多,測試設備業務和系統級測試業務的增長驅動了機電一體化系統和服務支持業務的業績增長,同時公司在采購必要零件方面的完善減少了半導體元件和必要零件短缺的影響。 圖表 39:愛德萬業績表現(十億日元) 資料來源:愛德萬公司官網,國盛證券研究所 2022 年 06 月 22 日 P.22 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 毛利率改善,增加研發投入并籌備資金,

79、為未來產能和業務擴張奠定基礎。毛利率改善,增加研發投入并籌備資金,為未來產能和業務擴張奠定基礎。2021 財年公司毛利率為 56.6%,較 2020 年的 53.8%略有提升,主要受益于整體銷售中高附加值測試設備占所有高性能半導體的比例增加,增加了智能手機和數據中心相關設備的高端SoC 半導體測試設備的銷售額,改善產品組合。公司自 21Q2 開始對在美國的產能擴張進行投資,并在第四季度進一步投資。 多方需求增加使公司對多方需求增加使公司對 2022 財年業績持樂觀態度,需求的增長主要受益于技術的增財年業績持樂觀態度,需求的增長主要受益于技術的增長。長。SoC 測試設備的需求主要來自于半導體和相

80、關制造工藝的復雜性增加,內存測試設備的需求側驅動力主要是小型化、高密度以及更高的速度和帶寬等趨勢數據中心對 HPC設備的高需求方面,公司有希望增加DRAM測試設備的銷售。由于對設備接口產品的持續高需求,公司預計納米技術產品的銷售額也將增加,這與測試設備業務和 EUV 光刻技術的日益普及密切相關。主要的困難仍然來自于采購半導體和其他零件,公司將與正在開發領先半導體技術的客戶合作。 KLA:把握先進封裝和汽車電子機遇把握先進封裝和汽車電子機遇 公司 FY22Q3 營收 22.89 億美元,yoy+26.88%,接近之前的業績指引上限;凈利潤7.76 億美元,yoy+28.92%,qoq+1.95%

81、。 把握先進封裝和汽車電子機遇。把握先進封裝和汽車電子機遇。公司 FY22Q3 在汽車應用的晶圓檢測收入方面創下有史以來最高客戶參與度。利用半導體工藝控制(SPC)和電子、封裝、組件(EPC)的組合,公司加強在先進封裝和汽車電子領域的開發。公司擴大產品組合,開發一套全面的產品和技術,包括用于先進封裝市場的晶圓級封裝、最終組裝和測試產品,以及一套旨在幫助客戶實現其零缺陷目標的檢測系統和工藝工具。該產品組合包括零缺陷項目中的持續改進項目(CIP)設計的檢查系統,如:在線模具篩選、電源設備可靠性、封裝和PCB 質量。 圖表 40:KLAC 營收及增速(億美元,%,取自然年) 資料來源:Wind,國盛

82、證券研究所 分業務來看,分業務來看,半導體工藝控制及相關服務業務 FY22Q3 營收達到 19.8 億美元,yoy+31%,qoq-4%,符合預期,其中 63%營收來自于代工廠和邏輯客戶,37%來自于存儲客戶(26%來自于 DRAM,11%來自于 NAND) ;特種半導體工藝業務實現營收11.7 億美元,yoy+28%,qoq+4%;PCB、顯示器和元件檢測業務實現營收 1.93 億美元,yoy-6%,qoq+2%。 -10.00%0.00%10.00%20.00%30.00%40.00%50.00%05101520252018Q12018Q32019Q12019Q32020Q12020Q32

83、021Q12021Q32022Q1營業收入(億美元)yoy(%)qoq(%) 2022 年 06 月 22 日 P.23 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 41:KLAC 營收分業務拆分 資料來源:KLAC 官網,國盛證券研究所 分產品來看,分產品來看,晶圓檢測系統實現營收 9.19 億美元,yoy+29%,qoq-17%,該部分營收占比 40%;圖案制作(包括光罩檢測)收入為 6.11 億美元,yoy+53%,qoq+20%,營收占比 27%;特種半導體工藝收入為 1.06 億美元,yoy+38%,qoq+1%,營收占比5%;PCB、顯示器和元件檢測收入為1.23億美元

84、,yoy-13%,qoq+1%,營收占比5%;服務營收達到 4.88 億美元,yoy+14%,qoq+7%,營收占比 21%,該部分增長超預期主要系長期服務協議的增長、產能利用率的提高以及傳統節點服務的拓展等。 圖表 42:KLAC 營收分產品拆分 資料來源:KLAC 官網,國盛證券研究所 業績展望:預計業績展望:預計下一季度下一季度總收入預計為總收入預計為 24.25 億美元,上下浮動億美元,上下浮動 1.25 億美元;代工億美元;代工/邏輯預計約占半導體工藝控制系統收入的邏輯預計約占半導體工藝控制系統收入的 56%,存儲預計約占,存儲預計約占 44%,在存儲中,在存儲中,DRAM 預計約占

85、細分市場的預計約占細分市場的 66%,NAND 預計約占預計約占 34%。 2022 年 06 月 22 日 P.24 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 43:KLA 對于 CY2022Q2 業績預告 資料來源:KLA 官網,國盛證券研究所 泰瑞達:泰瑞達:汽車和閃存市場強勁汽車和閃存市場強勁 業績高于指引中點,汽車和閃存市場需求強勁。業績高于指引中點,汽車和閃存市場需求強勁。22Q1 營業收入達 7.55 億美元,yoy -3.36%,qoq -14.65%。22Q1 毛利率為 60.2%,同比增長 1.10 pct,環比增長 0.70 pct。營收中工業自動化收入同比

86、提升 29%。 公司表示目前不斷增長的汽車設備復雜性、ADAS 相關器件和電動汽車設備是 SoC 測試的長期驅動力,未來 SoC 測試需求主要是在汽車終端市場,預計 2022 年高端市場總量將達到 4050 億美元。存儲器市場將維持穩定,其中 NAND 需求將增強,DRAM 需求將持續減弱。 圖表 44:泰瑞達 2022Q1 收入情況 資料來源:泰瑞達官網,國盛證券研究所 半導體測試業務方面,22Q1 銷售收入達到 4.82 億美元,同比下降 9%,其中 SoC收入為 3.87 億美元,存儲收入為 0.96 億美元,DRAM 市場的下降被閃存市場的新增需求所抵消。 系統測試業務方面,22Q1銷

87、售收入達到1.19億美元,同比下降11%。其中國防、航空、汽車電路板測試領域的出貨量增長超 30%。 2022 年 06 月 22 日 P.25 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 無線測試業務方面,22Q1 銷售收入達到 0.52 億美元,同比增長 26%。由于 Wi-Fi6/6E/7、UWB 等產品的高需求,無線測試業務實現高速增長。 工業自動化業務方面,22Q1 銷售收入達到 1.03 億美元,同比增長 29%。UR 銷售收入同比增長 30%,其中美國地區收入增長 55%,需求尤為強勁;MiR 銷售收入同比增長 22%。 圖表 45:泰瑞達 2022Q1 業績分業務拆分 資

88、料來源:泰瑞達官網,國盛證券研究所 東京電子:營收高增,東京電子:營收高增,半導體半導體市場市場熱度不減熱度不減 營收營收大幅提升,半導體市場熱度不減。大幅提升,半導體市場熱度不減。公司 FY22 總營收為 2萬億日元,yoy+ 43.2%,主要得益于全球經濟的逐步復蘇、碳中和的逐步推廣以及通信技術的不斷發展帶來的半導體需求提升;其中日本國內營收為 2303.7 億日元,yoy+16.6%,占比 11.5%;海外營收為 1.77 萬億日元,yoy+47.6%,占比 88.5%。歸母凈利 4370.8 億日元,yoy+79.9%。毛利率達 45.5%,yoy+5.1pt。 圖表 46:東京電子業

89、績情況 資料來源:東京電子官網,國盛證券研究所 2022 年 06 月 22 日 P.26 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 分業務看:分業務看: SPE半導體生產設備:半導體生產設備:在社會數字化的推動下,從尖端的半導體到成熟的幾代半導體需求強烈,資本方對 DRAM 和 NAND 閃存的投資也繼續保持在高水平。FY22 SPE 部門對外營收為 1.94 萬億日元,yoy+47.8%,占比 97%。 FPD 平板顯示器生產設備:平板顯示器生產設備:隨著電視用大尺寸液晶面板的資本投資已基本走到盡頭,FPD TFT 陣列的整體制造設備市場開拓已經放緩。同時,中小型 OLED 面板的

90、資本投資仍在繼續,終端產品中安裝的顯示器正在從 LCD 面板轉換為 OLED 面板。FY22 前三季度該部門的營收為 598.3 億日元,同比減少 28.6%,占比 3%。 圖表 47:東京電子分業務情況 資料來源:公司官網,國盛證券研究所 2023 展望:展望:公司預計 FY2023 營收 2.35 萬億日元,yoy+17.3%,其中 SPE 部門營收2.29萬億日元,yoy+18.1%;FPD部門營收 550億日元,yoy-8.1%;歸母凈利 5230億日元,yoy+19.7%。研發費用預計 1900 億日元,yoy+20.1%,資本開支 750 億日元,yoy+31.1%。公司 FY20

91、23 研發投入及資本開支規劃較往年有大幅提升。 1.5 國內需求爆發,國內需求爆發,國產替代空間快速打開國產替代空間快速打開 國內晶圓廠投資進入高峰期。國內晶圓廠投資進入高峰期。根據集微網統計,20202022 年國內晶圓廠總投資金額分別約 1500/1400/1200 億元,其中內資晶圓廠投資金額約 1000/1200/1100 億元。20202022 年國內晶圓廠投資額將是歷史上最高的三年,且未來還有新增項目的可能。 2022 年 06 月 22 日 P.27 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 48:國內晶圓廠投資規模(億元)(20202022 年為預測數據) 資料來

92、源:集微網、公司公告、國盛證券研究所 設備國產化率較低,海外龍頭壟斷性較高。設備國產化率較低,海外龍頭壟斷性較高。我國半導體設備市場仍非常依賴進口,從市場格局來看,細分市場均有較高集中度,主要參與廠商一般不超過5家,top3份額往往高于 90%,部分設備甚至出現一家獨大的情況,目前國內廠商目標市場主要是國內晶圓廠需求,尤其是內資投建的需求。 制程越制程越先進先進,設備投資額占比越高。,設備投資額占比越高。設備投資一般占比 7080%,當制程到 16/14nm時,設備投資占比達 85%;7nm 及以下占比將更高。光刻、刻蝕、沉積、過程控制、熱處理等均是重要投資環節。 國內國產化逐漸起航,從國內國

93、產化逐漸起航,從 0 到到 1 的過程基本完成。的過程基本完成。北方華創產品布局廣泛,刻蝕機、PVD、CVD、氧化/擴散爐、退火爐、清洗機、ALD等設備新產品市場導入節奏加快,產品工藝覆蓋率及客戶滲透率進一步提高,在集成電路領域主流生產線實現批量銷售,產品加速迭代;第三代半導體、新型顯示、光伏設備產品線進一步拓寬,出貨量實現較快增長。拓荊科技作為國內唯一一家產業化應用 PECVD 和 SACVD 設備的供應商,PECVD累計發貨 150臺,廣泛用于中芯國際、華虹集團、長江存儲、合肥長鑫、廈門聯芯、燕東微電子等國內主流晶圓廠,PEALD 已實現銷售;中微公司介質刻蝕機已經打入 5nm制程,新款用

94、于高性能Mini-LED量產的MOCVD設備UniMax 2022Q1訂單已超180腔;芯源微前道涂膠顯影設備在 28nm 及以上多項技術及高產能結構方面取得進展,并實現多種核心零部件的國產替代,公司前道物理清洗設備已經達到國際先進水平并成功實現國產替代,新簽訂單結構中前道產品占比大幅提升;華海清科 CMP 設備在邏輯芯片、3D NAND、DRAM 制造等領域的工藝技術水平已分別突破至 14nm、128 層、1X/1Ynm,到 2021 年底,公司 CMP 設備累計出貨超過 140 臺,未發出產品的在手訂單超 70 臺。Mattson(屹唐半導體)在去膠設備市占率全球第二;盛美半導體單片清洗機

95、在海力士、長存、SMIC 等產線量產。精測電子、上海睿勵在測量領域突破國外壟斷。 2022 年 06 月 22 日 P.28 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 49:國產設備替代進程 資料來源:公司公告,國盛證券研究所 設備國產化率較低,設備國產化率較低,國產廠商成長空間巨大國產廠商成長空間巨大。我國半導體設備市場仍非常依賴進口,目前國內廠商目標市場主要是國內晶圓廠需求,尤其是內資投建的需求,潛在收入目標空間較大。 1.6 2022Q1 國產設備廠商國產設備廠商營收營收持續持續高增高增 2021 年年及及 2022Q1 設備收入、利潤快速增長,國產替代持續深化。設備收入、

96、利潤快速增長,國產替代持續深化。設備行業核心公司(北方華創、芯源微、華峰測控、中微公司、新益昌、長川科技、萬業企業、精測電子、至純科技,拓荊科技、華海清科及盛美上海由于 2020 年數據不完整未被算入)2022Q1 營業收入總計 76.2 億元,同比增長 55.3%;扣非歸母凈利潤 11.4 億元,同比增長83.0%。設備行業持續處于高速增長,國產替代空間快速打開,國內核心設備公司成長可期。 圖表 50:設備核心公司營業收入及歸母凈利潤(億元) 20Q1 20Q2 20Q3 20Q4 21Q1 21Q2 21Q3 21Q4 22Q1 營業收入 31.2 41.2 46.1 69.3 49.1

97、69.9 70.9 95.6 76.2 yoy 11.5% 3.2% 32.6% 53.4% 57.2% 69.7% 53.8% 37.9% 55.3% 扣非歸母凈利潤 1.9 5.3 4.3 7.3 6.2 9.2 12.6 13.8 11.4 yoy -42.1% -9.1% -19.4% 193.8% 226.0% 72.6% 194.0% 89.5% 83.0% 資料來源:Wind,國盛證券研究所 2022 年 06 月 22 日 P.29 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 51:設備核心公司毛利率 圖表 52:設備核心公司研發費用(億元) 資料來源:Wind,國

98、盛證券研究所 資料來源:Wind,國盛證券研究所 圖表 53:設備核心公司經營增速 2021 增速增速 22Q1 增速增速 2021 增速增速 22Q1 增速增速 2021 增速增速 22Q1 增速增速 北方華創 60% 50% 101% 183% 309% 382% 拓荊科技 74% 86% 696% 15% -44% 10% 芯源微 152% 62% 58% 398% 396% 580% 華峰測控 121% 124% 120% 356% 194% 195% 中微公司 37% 57% 105% -15% 1291% 1578% 新益昌 70% 74% 116% 84% 114% 77% 長川

99、科技 88% 82% 157% 60% 340% 47% 華海清科 109% 193% 103% 122% 680% 458% 萬業企業 -6% -77% 19% -86% -7% -83% 精測電子 16% 2% -21% -56% -51% -64% 至純科技 49% 137% 8% -71% 47% 1131% 盛美上海 61% 29% 35% -89% 111% - 晶盛機電 56% 114% 99% 57% 99% 78% 資料來源:Wind,國盛證券研究所 設備廠商在手訂單充足,合同負債保持較高增速。設備廠商在手訂單充足,合同負債保持較高增速。2022Q1,設備板塊主要公司合同負債

100、合計分別為 139.5 億元,同比增長 76.2%,保持高增速。其中,北方華創 2022Q1 合同負債達到 50.9 億元。 30%32%34%36%38%40%42%44%46%19Q119Q320Q120Q321Q121Q322Q1毛利率毛利率8%9%10%11%12%13%14%02468101220Q1 20Q2 20Q3 20Q4 21Q1 21Q2 21Q3 21Q4 22Q1研發費用研發費用率 2022 年 06 月 22 日 P.30 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 54:設備核心公司預收賬款/合同負債(億元) 20Q1 20Q2 20Q3 20Q4 2

101、1Q1 21Q2 21Q3 21Q4 22Q1 北方華創 26.4 27.3 23.5 30.5 44.9 47.4 55.0 50.5 50.9 拓荊科技 0.0 0.0 0.0 1.3 2.2 0.0 4.5 4.9 7.8 芯源微 0.8 0.7 0.6 1.3 1.6 2.2 2.9 3.5 4.3 華峰測控 0.2 0.2 0.4 0.4 0.8 1.3 1.4 1.3 1.2 中微公司 7.3 3.9 5.1 5.9 4.6 4.3 8.9 13.7 15.0 新益昌 0.0 0.9 0.0 1.4 1.9 2.1 2.3 2.2 1.8 長川科技 0.0 0.0 0.1 0.1

102、0.1 0.1 0.1 0.1 0.0 華海清科 0.0 0.2 0.0 1.6 0.0 0.0 0.0 7.8 8.4 萬業企業 0.0 0.6 3.5 3.4 1.2 0.6 0.9 6.2 9.2 精測電子 2.1 1.9 2.8 0.0 0.0 0.0 0.0 0.8 0.8 至純科技 1.7 1.6 4.9 1.7 2.0 2.5 1.5 2.4 2.5 盛美上海 0.0 0.0 0.0 0.9 0.0 2.3 2.7 3.6 4.4 晶盛機電 8.9 14.2 22.2 20.0 23.9 40.1 38.3 49.6 55.6 資料來源:Wind,國盛證券研究所 圖表 55:設備

103、核心公司合同負債占營收比 資料來源:Wind,國盛證券研究所 大陸大陸 12 寸寸晶圓廠建廠潮帶動設備需求持續增長。晶圓廠建廠潮帶動設備需求持續增長。生產效率及降低成本因素推動下,全球 8 寸擴產放緩,12 寸晶圓廠擴產如火如荼。2020 年以來,國內 12 寸晶圓廠遍地開花,除中芯國際外,聞泰、格科微、海芯等公司紛紛計劃建設 12 寸晶圓廠,粵芯半導體、華虹無錫等 12 英寸生產線陸續建成投產。根據 SEMI,2019年至 2024 年,全球至少新增 38 個 12 寸晶圓廠,其中中國臺灣 11 個,中國大陸 8 個,到 2024 年,中國 12寸晶圓產能將占全球約 20%。大量晶圓廠的擴建

104、、投產,將帶動對上游半導體設備的需求提升,更有望為國產化設備打開發展空間。 20%25%30%35%40%45%50%02040608010012014016020Q120Q220Q320Q421Q121Q221Q321Q422Q1合同負債(億元)合同負債占LTM營收比 2022 年 06 月 22 日 P.31 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 56:國內晶圓廠投建擴產計劃 序號序號 企業名稱企業名稱/項項目名稱目名稱 尺寸尺寸 地點地點 現 有現 有產能產能 2021 產能產能增加增加 總 目 標總 目 標產能產能 是 否 新 建是 否 新 建 (2015 年后年后投

105、產)投產) 類型類型 股東股東 備注備注 1 中 芯 國 際(北京) 12 北京 - - - 否 代工 內資 量產 2 中芯北方 12 北京 - - - 否 代工 內資 量產 3 中芯南方 12 上海 - - - 是 代工 內資 量產 4 中 芯 國 際(上海) 12 上海 - - - 否 代工 內資 量產 5 中芯京城 12 北京 - - - 是 代工 內資 在建 6 中 芯 國 際(深圳) 12 深圳 - - - 是 代工 內資 在建 7 武漢新芯 12 武漢 2.7 1.3 4.5 否 代工 內資 量產 8 合 肥 晶 和 集成 12 合肥 4 3 10 是 代工 內資 量產 9 廣州粵

106、芯 12 廣州 1.6 0.4 3.5 是 代工 內資 量產 10 士蘭微廈門 12 廈門 - - - 是 IDM 內資 量產 11 華潤微電子 12 重慶 - - - 是 IDM 內資 在建 12 積塔 12 上海 0 0 0.5 是 代工 內資 在建 13 長江存儲 12 武漢 4 6 30 是 IDM 內資 量產 14 長鑫存儲 12 合肥 4.5 3.5 30 是 IDM 內資 量產 15 福建晉華 12 泉州 - - - 是 IDM 內資 量產 16 華虹無錫 12 無錫 2 2 4 是 代工 內資 量產 17 華力微 12 上海 3.5 0 3.5 否 代工 內資 量產 18 華力

107、微二期 12 上海 2.5 1 4.5 是 代工 內資 量產 19 杭州積海 12 杭州 0 0 2 是 代工 內資 在建 20 杭州富芯 12 杭州 0 0 3 是 IDM 內資 在建 21 上海聞泰 12 上海 - - - 是 IDM 內資 在建 22 上海格科微 12 上海 0 0 2 是 IDM 內資 在建 23 中 芯 國 際(上海) 8 上海 - - - 否 代工 內資 量產 24 中 芯 國 際(天津) 8 天津 - - - 否 代工 內資 量產 25 中 芯 國 際(深圳) 8 深圳 - - - 否 代工 內資 量產 26 積 塔 ( 原 上海先進) 8 上海 2.8 0 3

108、否 代工 內資 量產 27 積塔 8 上海 1 1 10 是 代工 內資 量產 28 中芯紹興 8 紹興 - - - 是 代工 內資 量產 29 士蘭微 8 杭州 - - - 是 IDM 內資 量產 30 華潤微電子 8 重慶 - - - 否 IDM 內資 量產 31 燕東微電子 8 北京 1.5 3.5 5 是 代工 內資 量產 32 華潤微電子 8 無錫 - - - 否 代工 內資 量產 2022 年 06 月 22 日 P.32 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 33 華虹宏力 8 上海 - - - 否 代工 內資 量產 34 華虹宏力 8 上海 - - - 否 代工 內

109、資 量產 35 華虹宏力 8 上海 - - - 否 代工 內資 量產 36 中 車 時 代 電氣 8 株洲 - - - 是 IDM 內資 量產 37 芯恩 8 青島 - 0 4 是 代工 內資 在建 38 濟南富元 8 濟南 0 0 3 是 IDM 內資 在建 39 中科漢天下 8 杭州 0 0 1 是 IDM 內資 在建 40 賽微 8 北京 1 0 3 是 代工 內資 量產 41 中芯寧波 8 寧波 - - - 是 代工 內資 量產 42 比亞迪長沙 8 長沙 0 0 2 是 IDM 內資 在建 43 大連宇宙 8 大連 1 0 2 否 IDM 內資 量產 44 揚 州 晶 新 微電子 8

110、 揚州 0 0 5 是 IDM 內資 在建 總計 12 38.9 21.2 145.4 總計 8 74.0 16.6 135.0 資料來源:集微網、國盛證券研究所 二二、光刻機:、光刻機:半導體制程工藝核心環節,將掩膜板圖形縮小半導體制程工藝核心環節,將掩膜板圖形縮小 光刻是光刻是將掩膜板上的圖形曝光至預涂了光刻膠的晶圓表面上將掩膜板上的圖形曝光至預涂了光刻膠的晶圓表面上的過程的過程。光刻膠(正膠)受到照射的部分,將發生化學變化,從而易溶于顯影液。 瑞利公式:瑞利公式:CD=k1*(/NA)。CD為關鍵尺寸,為了降低 CD,有三種方式: (1)降低波長; (2)提高鏡頭的數值孔徑 NA; (3

111、)降低綜合因素 k1。 生產參數:生產參數: (1)分辨率:可達到最小光刻圖形尺寸; (2)套準精度:圖形尺寸在亞微米數量級上,套刻誤差在特征尺寸 10%; (3)產率:對給定掩膜板,每小時能曝光的晶片數量。 方案升級:方案升級:接觸式接近式步進式。 光源升級:光源升級:1985 年之前,以 g 線(436nm)為主,最小線寬為 1um 以上;1985 年以后,出現少量i線(365nm)光刻機,最小線寬0.5um;1990年開始出現DUV光刻機,最小線寬為 0.25um;踏入 21 世紀,193nm 的深紫外線開始使用。 2022 年 06 月 22 日 P.33 請仔細閱讀本報告末頁聲明請仔

112、細閱讀本報告末頁聲明 圖表 57:光刻機技術特點 資料來源:集成電路產業全書,國盛證券研究所 圖表 58:光刻機技術路徑(2020 年后為預測情況) 資料來源:ASML,國盛證券研究所 圖表 59:不同產品隨著制程節點提升各類光刻技術對應層數的需求比例 圖表 60:光刻機技術示意圖 資料來源:ASML,國盛證券研究所 資料來源:維基百科,國盛證券研究所 EUV 的采用利好光刻、過程控制(的采用利好光刻、過程控制(ASML、KLA) 。) 。根據 ASML,45K/M 的 logic 產能,每一層需要一臺 EUV;100K/M 的 DRAM 產能,每一層需要 1.52 臺 EUV。預估 TSMC

113、 N7 使用 7 層;N5 使用 14 層。ASML 預估 EUV 層數 1020 層,目前工藝總層數多達400600 層。 2022 年 06 月 22 日 P.34 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 61:EUV 目標市場范圍(2020 年后為預測情況) 圖表62:Foundry和DRAM精度仍會不斷提升(2020年后為預測情況) 資料來源:ASML,國盛證券研究所 資料來源:ASML,國盛證券研究所 光刻機發展歷史,兩次技術分水嶺奠定格局變化。光刻機發展歷史,兩次技術分水嶺奠定格局變化。20032004 年為第一個分水嶺:ASML 選擇浸潤式,Nikon 選擇 15

114、7nm。2010 年為第二個分水嶺:EUV 量產,差距拉大。 圖表 63:兩次技術分水嶺奠定光刻機格局 資料來源:集微網,國盛電子整理,國盛證券研究所 2020 年,全球光刻機市場約年,全球光刻機市場約 135 億美元,占全球半導體制造設備市場億美元,占全球半導體制造設備市場 21%。光刻機市場一直以來在全球設備市場中的比重都較高,具有較高技術難度,并且單臺設備價值量也較高,屬于半導體制造設備的“皇冠” 。 光刻機單機價值量高,每年出貨數量約光刻機單機價值量高,每年出貨數量約 300400 臺。臺。根據 ASML、Nikon、Canon 三家光刻機財報數據統計,近兩年全球光刻機每年出貨量大約在

115、 300400 臺之間,整體均價約0.3億美元。其中主要產品是KrF約90100臺,ArFi約90100臺。近幾年EUV出貨量在逐步增長,全球僅有 ASML具備供應能力,每年出貨 3050臺,均價超過 1億美元。 2022 年 06 月 22 日 P.35 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 光刻機的供給有限,光刻機的供給有限,前三大前三大晶圓制造領先廠商占據大部分需求。晶圓制造領先廠商占據大部分需求。ASML 在 2020 年一共銷售 34 臺 EUV 光刻機,2021 年 EUV 光刻機的產能將增長到 4550 臺。從歷史需求端來看,全球 90%以上的 EUV 光刻機由 TS

116、MC、Samsung、Intel 三家采購,其他諸如代工廠 GobalFoundries、存儲廠海力士、美光每年最多采購 1 臺光刻機。 圖表 64:全球 EUV 光刻機出貨量(臺) 資料來源:ASML,TSMC,Intel 公告數據統計、國盛證券研究所 ASML 主導全球光刻機市場。主導全球光刻機市場。從光刻機格局來看,2020 年 ASML 占據全球光刻機市場84%的市場空間,Nikon 約 7%,Canon 約 5%。ASML 具有高度的壟斷地位,并且由于EUV 跨越式的升級進步,ASML 在技術上的領先性更加明顯。 圖表 65:全球光刻機市場格局(百萬美元) 資料來源:ASML,Nik

117、on,Canon 財報統計數據、國盛證券研究所 國內上海微布局前道光刻機設備。國內上海微布局前道光刻機設備。上海微電子裝備(集團)股份有限公司主要致力于半導體裝備、泛半導體裝備、高端智能裝備的開發、設計、制造、銷售及技術服務。公司于 2002 年成立,2006 年公司光刻機產品注冊商標獲得國家工商局批準。2008 年十五光刻機重大科技專項通過了國家科技部組織的驗收。2009 年交付首臺先進封裝光刻機產品。2013 年公司國產首臺用于 2.5 代 AM-OLED TFT 電路制造的光刻機成功交付用戶。2016 年,公司首臺暨國內首臺前道掃描光刻機交付用戶。2018 年,公司 90nm 光刻機項目

118、通過正式驗收。 公司建立了產品開發過程的技術創新以及知識產權保護的制度程序與管理組織體系,并于 2015 年通過 GB/T29490-2013 國家知識產權管理體系審核認證,體系涵蓋了產品的預研、設計、制造以及市場投放等全過程。 2022 年 06 月 22 日 P.36 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 SSX600 系列步進掃描投影光刻機采用四倍縮小倍率的投影物鏡、工藝自適應調焦調平技術,以及高速高精的自減振六自由度工件臺掩模臺技術,可滿足IC前道制造 90nm、110nm、280nm 關鍵層和非關鍵層的光刻工藝需求。該設備可用于 8 寸線或 12 寸線的大規模工業生產。

119、圖表 66:上海微電子 600 系列光刻機 資料來源:上海微官網,國盛證券研究所 圖表 67:上海微電子 600 系列光刻機主要技術參數 資料來源:上海微官網,國盛證券研究所 三三、刻蝕設備:等離子刻蝕復雜程度高,且步驟逐漸增加刻蝕設備:等離子刻蝕復雜程度高,且步驟逐漸增加 刻蝕是刻蝕是用化學、物理、化學物理結合的方法有選擇的去除(光刻膠)開口下方的材料。用化學、物理、化學物理結合的方法有選擇的去除(光刻膠)開口下方的材料。被刻蝕的材料包括硅、介質材料、金屬材料、光刻膠??涛g是與光刻相聯系的圖形化處理工藝??涛g就是利用光刻膠等材料作為掩蔽層,通過物理、化學方法將下層材料中沒有被上層遮蔽層材料遮

120、蔽的地方去掉,從而在下層材料上獲得與掩膜板圖形對應的圖形。 2022 年 06 月 22 日 P.37 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 68:刻蝕的目的是把圖形從光刻膠轉移到待刻蝕的薄膜上 資料來源:中微公司招股說明書、國盛證券研究所 濕法刻蝕:用液體化學劑去除襯底表面的材料。濕法刻蝕:用液體化學劑去除襯底表面的材料。早期普遍使用,在 3um 以后由于線寬控制、刻蝕方向性的局限,主要用干法刻蝕。目前,濕法刻蝕仍用于特殊材料層的去除和殘留物的清洗。 干法刻蝕:常用等離子體刻蝕,也稱等離子體刻蝕,即把襯底暴露于氣態中產生的等干法刻蝕:常用等離子體刻蝕,也稱等離子體刻蝕,即把

121、襯底暴露于氣態中產生的等離子,與暴露的表面材料發生物理反應、化學反應。離子,與暴露的表面材料發生物理反應、化學反應。 刻蝕主要參數:刻蝕主要參數:刻蝕速率、均勻性、選擇比(對不同材料的刻蝕速率比) 、刻蝕坡面(各向異性、各向同性) 圖表 69:刻蝕工藝分類 資料來源:維基百科,國盛證券研究所 2022 年 06 月 22 日 P.38 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 70:不同刻蝕設備主要技術指標 資料來源:維基百科,國盛證券研究所 應用最廣泛的刻蝕設備是應用最廣泛的刻蝕設備是 ICP 與與 CCP,技術發展,技術發展方向方向是原子層刻蝕(是原子層刻蝕(ALE) 。)

122、。 電容性等離子體刻蝕電容性等離子體刻蝕 CCP:能量高、精度低,主要用于介質材料刻蝕(形成上層線路)諸如邏輯芯片的柵側墻、硬掩膜刻蝕、中段的接觸孔刻蝕、后端的鑲嵌式和鋁墊刻蝕等,以及 3D 閃存芯片工藝(氮化硅/氧化硅)的深槽、深孔和連線接觸孔的刻蝕等。 電感性等離子體刻蝕電感性等離子體刻蝕 ICP:能量低、精度高,主要用于硅刻蝕和金屬刻蝕(形成底層器件)硅淺槽隔離(STI) 、鍺(Ge) 、多晶硅柵結構、金屬柵結構、應變硅(Strained-Si) 、金屬導線、金屬焊墊(Pad) 、鑲嵌式刻蝕金屬硬掩模和多重成像技術中的多道刻蝕工藝。 ALE:技術發展方向,能精確刻蝕到原子層(約 0.4n

123、m) ,具有超高刻蝕選擇率。應用廣泛。 圖表 71:電容性等離子體刻蝕反應腔 圖表 72:電感性等離子體刻蝕反應腔 資料來源:中微公司招股書,國盛證券研究所 資料來源:中微公司招股書,國盛證券研究所 2022 年 06 月 22 日 P.39 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 73:刻蝕類別 資料來源:維基百科,國盛證券研究所 光刻光刻技術技術中許多先進制程涉及多重圖形技術。中許多先進制程涉及多重圖形技術。即使是 EUV,波長為 13.5nm,要實現7nm的精度,仍需要依靠多重圖形技術,即多次刻蝕。因此制程升級,精度越高,需要的刻蝕復雜度、步驟數量也在提升。所以刻蝕設備和

124、化學薄膜設備成為更關鍵的設備。 圖表 74:多重成像技術 圖表 75:電感性等離子體刻蝕反應腔 資料來源:中微公司招股書,國盛證券研究所 資料來源:中微公司招股書,國盛證券研究所 圖表 76:刻蝕步驟逐漸增加(步驟數量) 資料來源:digitimes,國盛證券研究所 2022 年 06 月 22 日 P.40 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 產業發展趨勢:產業發展趨勢: (1)0.13um工藝的銅互連技術出現時(300mm時代) ,金屬刻蝕比例下降,介質刻蝕的比例大幅上升; (2)30nm 之后,多重圖像技術、軟刻蝕應用的提升,硅刻蝕(ICP)的占比快速提升。 (3)數十層的

125、金屬互聯層(后道工藝,BEOL) ,精度一般在 20nm 以上的以 CCP 為主;CMOS 核心器件(前道工藝,FEOL)線寬比較小,往往使用 20nm 以下的 ICP。 (4)EUV 在 foundry/DRAM 的采用,使得刻蝕步驟減少;3D Nand 采用,使得刻蝕步驟增多,高深寬比刻蝕需求增多。 刻蝕設備市場超過刻蝕設備市場超過 130 億美元,是億美元,是晶圓設備占比最高的市場。晶圓設備占比最高的市場。2011 年以來,刻蝕在晶圓設備的占比從 11%逐漸提升到 20%以上,2017 年起成為全球晶圓設備中占比最高的裝備類別,重要性不斷提升??涛g設備市場基本是干法刻蝕設備,2020 年

126、全球干法刻蝕設備市場約 137 億美元,其中介質刻蝕(Dielectric Etch)60 億美元,導體刻蝕(Conductor Etch)76 億美元。 圖表 77:干法刻蝕市場(百萬美元)(20212023 年為預測數據) 圖表 78:刻蝕在晶圓設備市場比重提升 資料來源:Gartner,國盛證券研究所 資料來源:Gartner,國盛證券研究所 刻蝕由海外龍頭主導,國內公司保持快速增長??涛g由海外龍頭主導,國內公司保持快速增長。根據 Gartner,全球刻蝕企業前三大分別是 Lam Research、TEL、AMAT,全球市占率合計 91%。國內刻蝕業務前三大企業分別為中微公司、北方華創、

127、屹唐半導體。2021 年國內的刻蝕龍頭企業中微公司、北方華創的刻蝕業務都取得較高收入增長,并在規模體量逐步接近全球前五大廠商。 圖表 79:全球刻蝕業務收入規模分別(百萬美元) 資料來源:Gartner,國盛證券研究所 2022 年 06 月 22 日 P.41 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 80:干法刻蝕市場份額 資料來源:Gartner,國盛證券研究所 從導體刻蝕市場結構看,Lam 一家獨大,長期全球市占率超過 50%;其次 AMAT 占據約 30%市場份額。剩下的廠商如日立高新、TEL、KLA、北方華創、SEMES、中微公司等公司合計,在導體刻蝕合計市占率不超過

128、 20%。近兩年,國內設備龍頭廠商北方華創、中微公司該產品線放量加速,逐步提高半導體設備刻蝕供應鏈份額。 圖表 81:Conductor Etch 市場份額 資料來源:Gartner,國盛證券研究所 從介質刻蝕市場結構看,TEL 一家獨大,長期全球市占率超過 50%;其次 Lam 占據接近 40%的市場份額,兩家廠商主導整個市場,寡占程度較強。全球介質刻蝕設備供應商還有 SEMES、中微公司、AMAT、Ulvac、屹唐半導體等。中微公司開發了系列介質刻蝕裝備,并承擔多項重大科研項目,是國內領先的介質刻蝕設備廠商。 2022 年 06 月 22 日 P.42 請仔細閱讀本報告末頁聲明請仔細閱讀本

129、報告末頁聲明 圖表 82:Dielectric Etch 市場份額 資料來源:Gartner,國盛證券研究所 北方華創是北方華創是國內領先的半導體高端裝備及一體化解決方案供應商。國內領先的半導體高端裝備及一體化解決方案供應商。公司深耕于芯片制造刻蝕領域、薄膜沉積領域近 20 年,現已成為國內領先的半導體高端工藝裝備及一站式解決方案的供應商。公司立足半導體裝備、真空裝備、新能源鋰電裝備及精密元器件構成公司四大核心事業集群,半導體設備品類國內最為完備,客戶覆蓋中芯國際、華虹、三安光電、京東方等各產業鏈龍頭,營銷服務輻射歐、美、亞等全球主要國家和地區。 北方華創北方華創ICP刻蝕機領域國內領先,金屬

130、刻蝕刻蝕機領域國內領先,金屬刻蝕8英寸打破國外壟斷,英寸打破國外壟斷,12英寸突破英寸突破28nm以下制程以下制程。北方華創 2005年第一臺 8 英寸 ICP刻蝕機在客戶端商顯,12 英寸刻蝕機在客戶端 28nm 實現國產替代,2020 年 12 月,北方華創 ICP 刻蝕機交付突破 1000 腔,標志著國產刻蝕機得到客戶廣泛認可。 2017 年公司 8 英寸鋁金屬刻蝕機進入國內主流代工廠生產線,獨特的腔室結構和溫度控制設計,可大幅提升了設備的穩定性、重復性和生產工藝水平,打破了國際廠商長期壟斷 8 英寸刻蝕機的局面;同時公司推出 12 英寸 TiN 硬掩膜刻蝕機,可應用于 28-14nm

131、邏輯制程中。2016 年自主研發的國內首臺應用于 14nm 制程的 ICP 刻蝕機NMC612D 進入上海集成電路研發中心,正式邁入 14nm 刻蝕工藝。 圖表 83:北方華創刻蝕設備發展進程圖 資料來源:公司官網,國盛證券研究所 2022 年 06 月 22 日 P.43 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 84:北方華創刻蝕產品介紹 類別類別 設備設備 晶圓尺寸晶圓尺寸 支持工藝支持工藝 應用領域應用領域 金屬金屬刻蝕刻蝕 NMC508M 8 英寸鋁金屬刻蝕機 8 英寸 0.350.35- -0.110.11m m 集成電路;集成電路;200mm200mm 硅片硅片的金

132、屬鋁和鎢的刻蝕工藝 集成電路 NMC612M 12 英寸 氮化鈦金屬硬掩膜刻蝕機(TiN Metal HardMask) 12 英寸 4040- -14nm14nm 制程制程 IC 的金屬干法刻蝕設備;28-14nm 邏輯制程中 TiN MHM,HR 和 M0C 結構刻蝕工藝;RRAM 中Al/TiN,TaN 等刻蝕工藝。 NMC612G 12 英寸刻蝕機 12 英寸 IC 領域的金屬鋁刻蝕工藝,以及 Micro OLED 領域金屬及非金屬刻蝕工藝;Al Etch、多晶硅刻蝕、介質刻蝕、Al/Mo/ITO 等金屬刻蝕 硅刻硅刻蝕蝕 NMC508C 8 英寸硅刻蝕機 8 英寸 0.350.35-

133、 -0.110.11m m 集成電路;集成電路;200mm200mm 硅片硅片的多晶硅硅柵(poly gate)、淺溝槽隔離(STI)和硅的金屬鎢化物(WSix)刻蝕 NMC612C 12 英寸硅刻蝕機 12 英寸 90nm90nm- -40nm40nm 干法刻蝕設備;55nm Logic,65nm NOR flash,55nm CIS,90MCU 等芯片集成電路制造領域;適用于 STI,Gate,PAA,CAA,ONO,Zero, AA HM 等多種刻蝕工藝。 NMC612D 12 英寸硅刻蝕機 12 英寸 28-14nm 邏輯制程中 STI、Gate 以及 FinFET 結構刻蝕工藝;3D

134、 NAND 領域 AA、Gate、Spacer 以及臺階、SADP 等刻蝕工藝;DRAM 領域 line cut、etch back、SADP 以及 AA、Gate 等刻蝕工藝;并具備 10-7nm 工藝延伸能力 深硅深硅槽刻槽刻蝕蝕 NMC508DTE 8 英寸硅深槽刻蝕機 8 英寸及以下 8 英寸及以下 IGBT、MOSFET 及 Super Junction 中的Deep Trench 刻蝕 功率器件 化合化合物刻物刻蝕蝕 HSE 系列等離子刻蝕機 8-12 英寸 8 英寸及以下 MEMS 刻蝕,以及 8-12 英寸先進封裝硅刻蝕。 先進封裝/微機電系統 GSE C200 系列等離子刻蝕

135、機 8 英寸及以下 GaN、SiC、SiO2、Al2O3等材料的刻蝕 功率器件/失效分析/光通信器件 DSE200 系列等離子刻蝕機 8 英寸及以下 8 英寸及以下 IGBT、MOSFET 及 Super Junction 中的Deep Trench 刻蝕 功率器件 GDE C200 系列等離子刻蝕機 GaN、SiC、SiO2、Al2O3等材料的刻蝕 功率器件 BMD P230 等離子去膠機 8-12 英寸 5050- -100100m m 的的 PitchPitch;光刻膠去除工藝、Descum 工藝、Plasma 表面處理工藝等 先進封裝 ELEDE 380E PSS 刻蝕機 2-6 英寸

136、 LED 領域 PSS 刻蝕 LED 半導體照明 介 質介 質刻蝕刻蝕 ELEDE 380G+/G380C 刻蝕機 2-6 英寸 電極刻蝕(刻蝕材料 GaN, AlGaInP/GaP),隔離刻蝕(刻蝕材料 GaN, GaAs),鈍化層刻蝕(刻蝕材料 SiO2, SiNX),介質反射層刻蝕(刻蝕材料 SiO2 和 TiO2),金屬阻擋層刻蝕(刻蝕材料 TiW)。 資料來源:公司官網,國盛證券研究所 中微公司是中微公司是國內領先、世界排名前列的半導體高端設備制造商。國內領先、世界排名前列的半導體高端設備制造商。公司主營業務是刻蝕設備和 MOCVD??涛g機用于半導體制程,客戶涵蓋臺積電、中芯國際、海

137、力士、華力 2022 年 06 月 22 日 P.44 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 微、聯華電子、長江存儲等;MOCVD 用于 LED 外延片制程,客戶涵蓋三安、華燦、乾照等。 中微公司刻蝕產品線中微公司刻蝕產品線逐步逐步成熟,從成熟,從 CCP 向向 ICP 快速開拓??焖匍_拓。中微公司 CCP 刻蝕設備應用于國際一線客戶從 65nm 到 5nm、64 層及 128 層 3D NAND 晶圓產線及先進封裝生產線,中微公司 ICP 刻蝕設備已經趨于成熟,在 10 家客戶生產線進行驗證,并逐步取得客戶的重復訂單。中微公司 CCP 刻蝕設備包括雙反應臺 Primo AD-RI

138、E 和單反應臺的HD-RIE,覆蓋了 65 納米、45 納米、32 納米、28 納米、22 納米、14 納米、7 納米到 5 納米關鍵尺寸的眾多刻蝕應用;中微公司的 ICP 設備 Nanova 已經累計交付超過 100臺反應腔,在領先的邏輯芯片、DRAM 和 Nand 廠商產線實現大規模量產。 圖表 85:中微公司刻蝕產品線布局 資料來源:公司公告、國盛證券研究所 屹唐股份擁有干法刻蝕設備 paradigmE 系列,采用專有的法拉第屏蔽電感耦合等離子 (ICP) 源與蝕刻偏置控制相結合,設備采取雙晶圓反應腔、雙反應腔產品平臺設計,主要可用于 65 納米到 5 納米邏輯芯片、10 納米系列 DR

139、AM 芯片以及 32 層到 128 層 3 閃存芯片制造中若干關鍵步驟的大規模量產。 四四、薄膜設備:用于沉積物質,在設備市場占比薄膜設備:用于沉積物質,在設備市場占比較高較高 薄膜生長:采用物理或化學方法使物質附著于襯底材料表面的過程,常見生長物質包薄膜生長:采用物理或化學方法使物質附著于襯底材料表面的過程,常見生長物質包括金屬、氧化物、氮化物等不同薄膜。括金屬、氧化物、氮化物等不同薄膜。根據工作原理不同,薄膜沉積生長設備可分為:物理氣相沉積(PVD)、化學氣相沉積(CVD)和外延等類別。 PVD和和CVD是主要的薄膜設備,是主要的薄膜設備,ALD是產業技術發展趨勢。是產業技術發展趨勢。在半

140、導體領域,薄膜主要分給絕緣薄膜、金屬薄膜。大部分絕緣薄膜使用 CVD,金屬薄膜常用 PVD(主要是濺射) 。其他常用的鍍膜方式包括 ECD、SOD、MOCVD、Epitaxy 等。在薄膜設備整體中,CVD 的使用越來越廣泛,基于 CVD 發展的 ALD 更是行業升級的技術方向。 2022 年 06 月 22 日 P.45 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 86:薄膜設備分類 資料來源:Gartner,國盛證券研究所 CVD:用于沉積介質絕緣層、半導體材料、金屬薄膜。:用于沉積介質絕緣層、半導體材料、金屬薄膜。典型的 CVD 流程包括氣體輸入、氣體對流、氣象擴散、表面吸附、

141、表面反應、表面脫附及薄膜成核生長。 (1)微米時代,化學氣相沉積多采用常壓化學氣相沉積(APCVD)設備,結構簡單。 (2)亞微米時代,低壓化學氣相沉積(LPCVD)成為主流,提升薄膜均勻性、溝槽覆蓋填充能力。 (3)90nm 以后,等離子增強化學氣相沉積(PECVD)扮演重要角色,等離子體作用下,降低反應溫度,提升薄膜純度,加強薄膜密度。 (4)45nm 以后,高介電材料(High k)和金屬柵(Metal Gate) ,引入原子層沉積(ALD)設備,膜層達到納米級別。(a)高介電材料(High k)替代 SiO2,用于制備 MOS 器件的柵介質層,需要引入 ALD。 (b)多晶硅同步地被替

142、代為金屬柵(Matal Gate)電極,也用 ALD 設備制備。 圖表 87:典型 CVD 工藝流程 圖表 88:ALD 示例 資料來源:集微網,國盛證券研究所 資料來源:集微網,國盛證券研究所 2022 年 06 月 22 日 P.46 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 89:典型 CVD 工藝流程 圖表 90:常見的薄膜材料制備工藝 資料來源:集成電路產業全書,國盛證券研究所 資料來源:集成電路產業全書,國盛證券研究所 物理氣相沉積(物理氣相沉積(PVD) :利用蒸發或濺射,實現原子從源物質到沉底材料表面的物質轉) :利用蒸發或濺射,實現原子從源物質到沉底材料表面的物

143、質轉移,沉積形成薄膜。移,沉積形成薄膜。物理氣相沉積是一種物理氣相反應生長法,沉積過程是在真空或低壓氣體放電條件下,涂層物質源是固態物質,經過“蒸發或濺射”后,在零件表面生成與基材性能完全不同的新的固態物質涂層。PVD 具有成膜速率高、鍍膜厚度及均勻性可控好、薄膜致密性好、粘結力強及純凈度高等優點。 PVD 可以分為真空蒸鍍(可以分為真空蒸鍍(Vacuum Evaporator)和濺射()和濺射(Sputtering) 。) 。PVD 發展初期以真空蒸鍍鍍膜為主,特點是工藝簡單、操作容易、純度較高,缺點是難以蒸發某些金屬和氧化物。由于濺射設備制備的薄膜更加均勻、致密,對襯底附著性強,純度更高,

144、濺射設備取代了蒸鍍設備。 圖表 91:CVD 和 PVD 技術比較 圖表 92:CVD 和 PVD 技術比較示意圖 資料來源:集成電路產業全書,國盛證券研究所 資料來源:維基百科,國盛證券研究所 2020 年全球薄膜設備市場達到年全球薄膜設備市場達到 138 億美元,億美元,占占 IC 制造設備制造設備 21%;其中主要是其中主要是 CVD和和 PVD,合計占,合計占 IC 制造設備制造設備 18%。其中,CVD 市場規模高度 89 億美元,主流是設備包括 PECVD、Tube CVD、LPCVD 和 ALD 等。整個薄膜市場市占率最高的是 AMAT。高端領域如 ALD 受 ASM、TEL 和

145、 Lam 等海外龍頭主導。國內布局 IC 制造領域薄膜設備的主要國產廠商包括北方華創和沈陽拓荊。 2022 年 06 月 22 日 P.47 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 93:全球沉積設備市場趨勢(百萬美元) 資料來源:Gartner,國盛證券研究所 圖表 94:2020 年沉積設備市場結構(百萬美元) 資料來源:Gartner,國盛證券研究所 CVD 市場主要由海外龍頭主導,國內市場主要由海外龍頭主導,國內北方華創、沈陽拓荊北方華創、沈陽拓荊積極布局積極布局。根據 Gartner 數據,全球 CVD 市場前五大供應商包括 AMAT(28%) 、Lam Resear

146、ch(25%) 、TEL(17%) 、Kokusai(原日立高新,8%) 、ASM(11%) 。國內半導體設備龍頭北方華創、沈陽拓荊在該領域也有布局。 2022 年 06 月 22 日 P.48 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 95:CVD 市場份額 資料來源:Gartner,國盛證券研究所 從從 PVD 市場格局來看,市場格局來看,AMAT 一家獨大,長期占據約一家獨大,長期占據約 80%的市占率。的市占率。PVD 市場主要供應商包括 AMAT、ULVAC、Evatec、KLA、TEL、北方華創等。根據 Gartner,2020 年北方華創的半導體 PVD 設備全球市

147、占率為 3%,屬于國內領先地位。隨著國產替代加速,北方華創 PVD 業務有望加速成長。 圖表 96:PVD 市場份額 資料來源:Gartner,國盛證券研究所 北方華創北方華創在集成電路領域在集成電路領域可提供刻蝕機、可提供刻蝕機、PVD 設備、單片退火設備、設備、單片退火設備、ALD 設備、氧化設備、氧化/擴散爐、擴散爐、LPCVD、單片清洗機以及槽式清洗機等產品,覆蓋刻蝕、薄膜、擴散、清洗、單片清洗機以及槽式清洗機等產品,覆蓋刻蝕、薄膜、擴散、清洗四大工藝模塊,為集成電路領域提供先進的工藝解決方案。四大工藝模塊,為集成電路領域提供先進的工藝解決方案。由北方華創自主研發的面向先進制程的等離子

148、硅刻蝕機、金屬刻蝕機、TiN hardmask PVD、Al Pad PVD、ALD、單片退火系統以及 SiNx LPCVD 等已逐步進入集成電路主流代工廠供應鏈體系。 引領引領國產高端集成電路國產高端集成電路 PVD 薄膜工藝,公司多項產品進入國際供應鏈體系。薄膜工藝,公司多項產品進入國際供應鏈體系。公司 PVD產品布局廣泛,近幾年陸續推出了 TiN PVD、AIN PVD、Al Pad、ALD 等 13 款自主研發的 PVD 產品并成功產業化,可應用于集成電路、先進封裝、LED 等領域。公司自主設計和生產的 exiTin H630 TiN 金屬硬掩膜 PVD 系統是國內首臺專門針對 55-

149、28nm 制程 12 寸金屬硬掩膜設備。2016 年,公司 28nm/12英寸晶圓生產的 TiN Hardmask PVD進入國際供應鏈體系。2017 年公司緊隨市場需求,更新設備工藝,推出適用于 28-14nm 制程的大馬士革工藝的 exiTin H430 TiN Hardmask PVD 系統。 LPCVD 設備設備在在半導體薄膜淀積半導體薄膜淀積中中應用最為廣泛應用最為廣泛,具更低成本及更優性能,具更低成本及更優性能。該工藝是通過將反應器內進行沉積反應時的操作壓力降低的一種 CVD 反應。和常壓的 CVD 相比,LPCVD 設備有更低的綜合成本、更高的產能和更好的薄膜性能。北方華創先后推

150、出 2022 年 06 月 22 日 P.49 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 THEORIS 302 LPCVD、HORIS L6371 多功能 LPCVD 等多個系列產品。 公司產品技術上公司產品技術上不斷不斷突破,突破,下游導入持續取得新進展:下游導入持續取得新進展: 硬掩板(硬掩板(Had Mask) PVD 應用較為廣泛。應用較為廣泛。硬掩膜為金屬互連線提供精準控制和區域處理:硬掩膜工藝就是采用選定的圖像、圖形或物體對待處理圖像(全部或局部)進行遮擋,來控制圖像處理的區域或處理過程,廣泛應用于 IC 制備流程的前段(FEOL)和后段工藝(BEOL)。2015 年,北

151、方華創 TiN PVD 沉積系統獲得海外主流 IC廠訂單,并正式進入國際先進IC大廠。由北方華創微電子自主設計和生產的exiTin H630 TiN金屬硬掩膜物理氣相沉積(Metal hardmask PVD)系統是專門針對55-28nm制程 12 寸金屬硬掩膜設備。 鋁襯墊(鋁襯墊(Al Pad)PVD 60-28nm 導入導入客戶客戶,更先進制程支持加速驗證更先進制程支持加速驗證。芯片器件用使用 Al Pad PVD 用于其后道金屬互聯,提供電子信號、微鏈接等作用。Al Pad物理氣相沉積系統作為集成電路工藝中的一道重要工序,主要應用于 Bond pad 和Al interconnect

152、工藝。公司于 2015 年推出 eVictor A830 Al Pad 物理氣相沉積系統(配置 8 個工藝模塊,可據客戶需求多樣化配置) 。該設備目前已進入等國內、國外一線廠商,被應用于 9028nm制程產線,更先進制程正加速驗證。2018年北方華創 Al Pad PVD 成功進駐上海集成電路研發中心。 銅互聯(銅互聯(CuBS) PVD 已在客戶獲得已在客戶獲得放量放量訂單。訂單。金屬銅可以降低互連線電阻率,因此銅互聯技術被廣泛使用。北方華創是 02 轉向“14-7nm CuBS 多工藝腔室集成裝備研發及產業化”項目執行單位。根據招投標統計,公司銅互聯 PVD 已經實現突破,打破 AMAT

153、在該領域的壟斷,極大打開公司在 PVD 領域的目標市場。 12英寸氮化硅沉積設備導入下游龍頭企業。英寸氮化硅沉積設備導入下游龍頭企業。2020年4月 7日,北方華創 THEORIS SN302D 型 12英寸氮化硅沉積設備 Move in 國內集成電路制造龍頭企業。該設備的交付,意味著國產立式 LPCVD 設備在先進集成電路制造領域的應用拓展上實現重大進展。 12 英寸英寸 ALD 已實現商用。已實現商用。北方華創自 2014 年開始布局 ALD 設備,2017 年推出量產型單片 ALD 設備并首次交付。公司 Promi 系列 ALD 設備是用加熱的方式,通過在工藝循環周期內分步向真空腔內添加

154、前驅體、實現對膜層厚度的精確控制,可用于沉積 Al2O3、HfO2、ZrO2、TiO2、TiN、TaN 和 ALN 等多種薄膜。 圖表 97:北方華創 PVD 機臺 eVictor AX30 Al pad PVD 圖表 98:北方華創 LPCVD機臺 THEORIS SN302D 資料來源:公司官網、國盛證券研究所 資料來源:公司官網、國盛證券研究所 2022 年 06 月 22 日 P.50 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 拓荊科技拓荊科技擁有三個完整系列擁有三個完整系列 CVD 產品線,累計出貨量超過產品線,累計出貨量超過 150 臺套。臺套。拓荊科技成立于 2010 年

155、 4 月,多次承擔國家專項,公司擁有 12 英寸 PECVD(等離子體化學氣相沉積設備) 、ALD(原子層薄膜沉積設備) 、SACVD(次常壓化學氣相沉積設備)三個完整系列產品。沈陽拓荊 2012 年推出 12 英寸多反應腔 PF-300T;2014 年獲得中芯國際首臺量產機臺 PF-300T訂單;2016 年首臺 ALD 出廠到客戶端;2017 年首臺 3D Nand PECVD 出廠到客戶端;2018 年 12 英寸 ALD 獲得客戶端 14nm 工藝驗證;截至 2021 年 9 月,公司研發的 PECVD、ALD 及 SACVD 設備系列產品已累計發貨超 150 臺,公司技術人員共 31

156、8 人,占比達 74.13%。 公司半導體薄膜沉積設備技術指標已達到國際廠商設備水準。公司半導體薄膜沉積設備技術指標已達到國際廠商設備水準。公司具體產品包括等離子體增強化學氣相沉積(PECVD)設備、原子層沉積(ALD)設備和次常壓化學氣相沉積(SACVD)設備三個系列。在 PECVD 設備領域,公司產品可以適配180-14nm 邏輯芯片、19/17nmDRAM 等工藝需求,能夠兼容 SiO2、SiN 等多種反應材料;在 ALD 設備領域,公司的 PE-ALD 目前已適配 55-14nm 邏輯芯片制造工藝需求,可以沉積 SiO2 和 SiN 材料薄膜;在 SACVD 設備領域,公司產品可以沉積

157、BPSG、SAF材料薄膜,適配 12 英寸 40/28nm及 8英寸 90nm以上的邏輯芯片工藝需求。 圖表 99:拓荊科技主要產品情況 產品型號產品型號 應用領域應用領域 研發研發/生產階段生產階段 產品圖示產品圖示 12 英寸 PECVD 設備 PF-300T PF-300T 型號主要應用于 28nm 以上邏輯芯片及 FLASH、DRAM 存儲芯片制造,TSV 封裝和 OLED 制造領域??梢猿练e SiO2、SiN、SiON、BPSG、PSG、TEOS、Lok、Lok、ACHM、ADC 等介質材料薄膜。 產業化應用 12 英寸 PECVD 設備 PF-300T eX PF-300T eX

158、設備型號主要應用于 14nm-28nm 邏輯芯片及 FLASH、DRAM 存儲芯片制造??梢猿练e SiO2、SiN、SiON、BPSG、PSG、TEOS、Lok、Lok、ACHM、ADC等介質材料薄膜。 產業化應用 12 英寸 PECVD 設備 PF-300T pX PF-300T pX 設備型號主要應用于 10nm 以下邏輯芯片制造??梢猿练e通用介質材料薄膜及先進介質材料薄膜。 研發中 8 英寸 PECVD 設備 PF-200T PF-200T 系列設備主要應用于 90nm 以上集成電路前道工藝及 3D TSV先進封裝環節??梢猿练e SiO2、SiN、SiON、TEOS 等介質材料薄膜。此設

159、備可實現與 12 英寸 PECVD 設備兼容,具有高產能,低生產成本優勢。 產業化應用 12 英寸HTMPECVD 設備NF-300H NF-300H 系列設備主要應用于存儲芯片制造,目前可適用于 32-128層 3D NAND FLASH 芯片、19nm 以下 DRAM 芯片制造。NF-300H 設備成功突破了存儲芯片制造工藝的顆粒度、均勻性、應力及產能是四大關鍵技術挑戰??梢猿练e NO stack、Thick TEOS 等介質材料薄膜。 產業化驗證 TFLITE TFLITE 系列設備主要應用于 LED 芯片制造領域,該設備通過反應腔、沉積站的優化設計,具有高產能、高安全性優勢??梢猿练eS

160、iO2、SiN 材料薄膜。 產業化驗證 12 英寸 PE-ALD 設備 FT-300T FT-300T(PE)系列設備主要應用于邏輯芯片 28-14nm 納米 SADP、STI Liner 工藝,55-40nm BSI 工藝的晶圓制造、2.5D、3DTSV 先進封裝領域。FT-300T 具有優異的薄膜均勻性和保形性,特別適合高深寬比晶圓孔洞的薄膜沉積??梢猿练e SiO2 和 SiN 介質材料薄膜。 產業化應用 12 英寸 Thermal-ALD 設備 FT-300T FT-300T(Thermal)系列設備主要應用于邏輯芯片 28nm 以下制程。FT-300T 具有優異的薄膜均勻性和純度,薄膜

161、內雜質含量少,刻蝕性能優越,同時也適合高深寬比晶圓孔洞的薄膜沉積??梢猿练eAl2O3、AlN 等多種金屬化合物薄膜材料。 研發中 12 英寸 ALD 設備 FT-300H FT-300H 系列設備主要應用于 128 層以上 3D NAND FLASH 存儲芯片、19/17 nmDRAM 存儲芯片晶圓制造,可以沉積 SiO2 和 SiN 介質材料薄膜。 產業化驗證 12 英寸 SACVD 設備 SA-300T SA-300T 設備主要應用于 40-28nm 制程 STI、ILD 工藝的晶圓制造,可以沉積 BPSG、SAF 等介質材料薄膜。 產業化應用 2022 年 06 月 22 日 P.51

162、請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 8 英寸 SACVD 設備 SA-200T SA-200T 設備主要應用于 90nm 以上制程 STI、ILD 工藝的晶圓制造,可以沉積 BPSG、SAF 等介質材料薄膜。 產業化應用 資料來源:拓荊科技公司官網,拓荊科技招股說明書,國盛證券研究所 中微公司研發布局薄膜市場。中微公司研發布局薄膜市場。中微公司持有拓荊科技 8.4%股權,是其第三大股東。根據公司定增公告,中微公司 CVD 研發項目包括 HPCVD、導體薄膜 LPCVD、ALD、EPI 等設備的開發及工藝應用開發。項目由公司副總裁級主管人員牽頭主持,其擁有 25 年以上的半導體從業

163、經驗,在主機平臺和 MOCVD 設備上有著豐富的經驗。 圖表 100:中微公司戰略規劃 資料來源:中微公司公告,國盛證券研究所 五五、清洗設備:去除晶圓片表面雜質,各制程前后均需使用清洗設備:去除晶圓片表面雜質,各制程前后均需使用 清洗機是將晶圓表面上產生的顆粒、有機物、自然氧化層、金屬雜質等污染物去除,清洗機是將晶圓表面上產生的顆粒、有機物、自然氧化層、金屬雜質等污染物去除,以獲得所需潔凈表面的工藝設備。以獲得所需潔凈表面的工藝設備。從工藝應用上來說,清洗機目前已廣泛應用于集成電路制造工藝中的成膜前/成膜后清洗、等離子刻蝕后清洗、離子注入后清洗、化學機械拋光后的清洗和金屬沉積后清洗等各個環節

164、。 升級方向:高效且無損。升級方向:高效且無損。在過去的 25 年中,隨著制程升級,晶圓濕法清洗變得越來越復雜和高效。清洗需要強力有效,還要減少對晶圓表面的損傷。 清潔步驟占半導體工藝所有處理步驟清潔步驟占半導體工藝所有處理步驟 1/3,最多已經達到,最多已經達到 200 次。次。幾乎所有制程的前后都需要清洗環節。 2022 年 06 月 22 日 P.52 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 101:清洗原理 資料來源:盛美上海招股書,國盛證券研究所 圖表 102:清洗環節 資料來源:盛美上海招股書,國盛證券研究所 根據 Gartner,2018 年全球清洗設備市場規模為

165、 34.17 億美元,2019 年受全球半導體行業景氣度下行的影響,下降為 30.49 億美元。預計 2021 年全球半導體行業復蘇,半導體清洗設備行業將呈現增長趨勢,市場規模預計在 2024 年達到 31.9 億美元。市場份額來看,日本迪恩士一家份額達到 45%,迪恩士、東京電子、SEMES 三家合計份額超過 85%。 圖表 103:全球半導體清洗設備規模(2021-2024 位預測數據) 圖表 104:2020 年全球半導體清洗設備市場格局 資料來源:Gartner,國盛證券研究所 資料來源:Gartner,國盛證券研究所 盛美上海盛美上海國內半導體清洗設備龍頭。國內半導體清洗設備龍頭。2

166、005 年,美國 ACMR 在上海投資設立公司的前身盛美有限,并將其前期研發形成的半導體專用設備相關技術使用權投入盛美有限。此后公司在半導體專用設備領域深耕多年,已在半導體專用設備多個細分領域實現突破,公司的兆聲波單片清洗設備、單片槽式組合清洗設備及銅互連電鍍工藝設備領域的技術水平達到國際先進水平,主要產品也得到以海力士、中芯國際、長江存儲、長電科技等為代表的國內外主流半導體廠商的認可。 05101520253035402018201920202021202220232024市場規模(億美元)迪恩士, 45.1%東京電子, 25.3%SEMES, 14.8%Lam Research, 12.5

167、%其他, 2.3% 2022 年 06 月 22 日 P.53 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 持續研發投入和技術積累,產品線逐步豐富。持續研發投入和技術積累,產品線逐步豐富。公司經過多年持續的研發投入和技術積累,先后開發出了單片清洗、槽式清洗以及單片槽式組合清洗等清洗設備,用于芯片制造的前道銅互連電鍍設備、后道先進封裝電鍍設備,以及用于先進封裝的濕法刻蝕設備、涂膠設備、顯影設備、去膠設備、無應力拋光設備及立式爐設備等。 至純科技至純科技深耕高純工藝系統二十余年,國內高純工藝系統的解決方案供應商。深耕高純工藝系統二十余年,國內高純工藝系統的解決方案供應商。至純科技成立于 20

168、00年,通過 20多年在行業內的深耕,公司在高純工藝系統領域已經形成了較強競爭優勢,主要服務于一線集成電路用戶,競爭對手也均為國際廠商。在濕法裝備領域,公司近年投入高強度資源進行自主研發,已經具備了濕法工藝全系列的設備。公司主營業務包括高純工藝系統、半導體濕法清洗設備研發、光傳感應用及相關光學元器件的研發、生產和銷售。 公司產品包括高純工藝系統、半導體濕法清洗設備、光纖傳感器及光電子元器件、晶公司產品包括高純工藝系統、半導體濕法清洗設備、光纖傳感器及光電子元器件、晶圓再生業務。圓再生業務。高純工藝系統提供精密制造所需的各類高純介質,系統的前端連接高純介質儲存裝置,系統的終端連接客戶自購的工藝生

169、產設備。半導體濕法設備包含濕法槽式清洗設備及濕法單片式清洗設備,隨著制程的升級,晶圓清洗步驟也更加復雜,清洗設備及工藝也在不斷迭代。光纖傳感器及光電子元器件方面,是由子公司波匯科技研發、生產及銷售。晶圓再生領域,公司合肥晶圓再生項目基地基礎建設完成,晶圓再生和腔體部件清洗及表面處理項目也已經基本通線待試生產。 圖表 105:至純科技主要產品 資料來源:至純科技招股書,國盛證券研究所 半導體設備半導體設備業務發力,濕法設備持續放量。業務發力,濕法設備持續放量。至純科技 2021年濕法設備訂單達到 11.2 億元,yoy+111.3%。公司自 2015 年開始布局濕法設備,2018 年首次拿到億元

170、級別訂單,2020 年訂單超過 5 億,2021 年超過 11 億元,訂單的高速增長凸顯公司技術實力。公司在 28nm 節點已獲得全部工藝設備訂單,在 14nm 以下制程也獲得 4 臺濕法設備訂單。2021 年公司單片濕法設備和槽式濕法設備全年出貨超過 97 臺。12 英寸濕法設備新增 2022 年 06 月 22 日 P.54 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 訂單金額超過 6 億元,其中單片式濕法設備新增訂單金額超過 3.8 億元。 六六、過程控制:過程控制:制造過程的準確性檢測制造過程的準確性檢測 過程控制:過程控制:半導體晶圓制造過程中不同工藝之后,往往需要進行尺寸測量

171、、缺陷檢測等,用于工藝控制、良率管理,要求快速、準確。尺寸測量、缺陷檢測等應用于每道制程工藝之后。IC 量測設備用于工藝控制、良率管理,檢測要求快速、準確、非破壞。IC 量測在發展過程中,在尺寸微縮、復雜 3D、新型材料方面面臨各類技術難點,面對諸如存儲、CIS、化合物半導體等不同半導體檢測等多種需求不斷升級。IC 量測設備的技術類別包括探針顯微鏡、掃描/透射電鏡、光學顯微鏡、橢偏/散射儀等,技術發展方向包括延續現有的非破壞測量技術,電鏡方面推進并行電子束技術,散射儀向 EUV、X 射線延伸以縮小波長,并聯合多種測量手段和機器學習實現混合測量等。 圖表 106:區分過程控制(檢測、測量)和 A

172、TE(測試)(2019 年市場空間) 資料來源:Gartner,國盛電子整理,國盛證券研究所 尺寸測量:尺寸測量:測量關鍵尺寸(CD critical dimension) 、膜厚度(thickness) 、應力(stress) 、折射率(refractive index) 、階梯覆蓋(step coverage) 、接觸角度(contact-angle) 無圖形缺陷檢測:無圖形缺陷檢測:顆粒(particle) 、殘留物(residue) 、刮傷(scratch) 、警惕原生凹坑(COP)等等。 有圖形缺陷檢測:有圖形缺陷檢測:短線(break) 、線邊缺陷(bite) 、橋接(bridge

173、) 、線形變化(Deformation)等等。 2022 年 06 月 22 日 P.55 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 107:檢測缺陷&量測尺寸 資料來源:KLA 公司公告,國盛證券研究所 圖表 108:測量、檢測分類 資料來源:KLA 公司公告,國盛證券研究所 圖表 109:不同環節關鍵過程控制指標 資料來源:集微網,國盛證券研究所 過程控制在過程控制在 IC 制造設備占比約制造設備占比約 1113%,持續有升級需求。,持續有升級需求。2020 年全球過程控制設備市場空間約 73 億美元,其中光刻相關(套刻誤差量測、掩膜板測量及檢測等)相關需求約 20 億美元、

174、缺陷檢測需求約 39 億美元、膜厚測量需求約 11 億美元。過程控制市場中在全球市場比例基本維持在 1113%之間,相對穩定,隨著制程微縮、3D 堆疊推進,晶圓制造對于量測、檢測需求不斷增加,精度要求也不斷提高,過程控制設備持續有升級需求。 離子注入擴散CMP蝕刻光學顯影金屬介電層1薄膜厚度2片電阻3薄膜應力4折射率5摻質濃度6未圖案化的表面缺陷7圖案化的表面缺陷8臨界尺寸9階梯覆蓋10重迭對準11電容電壓12接觸角度質量檢驗薄膜 2022 年 06 月 22 日 P.56 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 110:全球過程控制市場(百萬美元) 資料來源:Gartner,

175、國盛證券研究所 圖表 111:過程控制在晶圓設備市場比重 資料來源:SEMI,國盛證券研究所 全球過程控制市場主要由全球過程控制市場主要由海外龍頭海外龍頭 KLA 主導主導。根據 SEMI,全球過程控制主要賽道由海外廠商主導并壟斷,KLA 在大多細分領域具有明顯優勢,此外 AMAT、ASML、Nova、Hitachi 也有所布局。國內公司上海精測、睿勵科學、中科飛測、賽騰股份等主要布局。 2022 年 06 月 22 日 P.57 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 112:過程控制細分市場(百萬美元)(2021 年為預測數據) 圖表 113:2020 年全球過程控制市場格

176、局 資料來源:Gartner,國盛電子整理,國盛證券研究所 資料來源:Gartner,國盛證券研究所 上海精測上海精測增資加速布局增資加速布局,聚焦聚焦半導體半導體前道前道測試設備測試設備。上海精測成立于2018年7月,主要布局半導體前道測試,以橢圓偏振技術為核心開發了適用于半導體工業級應用的膜厚測量以及光學關鍵尺寸測量系統。上海精測半導體技術有限公司常務副總經理馬駿,原任天馬微電子助理總經理。在 2019年 9月增資 5.5億的公告計劃中,馬駿認繳出資額 2500 萬元,與上海精測高度綁定。2020 年 12 月底,公司定增再次增資上海精測,增資完成后,上海精測注冊資本將由 7.5 億元增加

177、至 13.7 億元。 上海精測上海精測全面全面布局膜厚布局膜厚及及 OCD 檢測、檢測、SEM 檢測檢測等技術方向等技術方向。公司產品規劃路徑清晰,技術覆蓋面齊全。在膜厚方面,上海精測已經推出了膜厚檢測設備、OCD 檢測設備等多款半導體測量設備。技術演進路徑從膜厚檢測的 EFILM 200UF 到 EFILM 300IM,再到 EFILM 300SS/DS,再到 OCD 測量的 EPROFILE 300FD,功能更加豐富,精密度逐漸提高。在電子光學 SEM檢測方向,公司已于 2020年底交付首臺電子束檢測設備、2021年交付首臺 OCD 設備。 首款半導體電子束檢測設備首款半導體電子束檢測設備

178、 2020 年底正式交付。年底正式交付。隨集成電路工藝節點推進,光學缺陷檢測設備已無法滿足大規模生產和先進制程開發需求。上海精測從自主研發的電子束檢測設備 eViewTM全自動晶圓缺陷復查設備,采用了掃描電子顯微鏡技術,具有超高分辨率,可用于 10 x nm 及以下集成電路制程的工藝缺陷自動檢測。此外,設備搭載可自主開發的基于深度神經網絡的AI算法,提升缺陷分類準確度;運用全新超低壓EDSX射線探測技術,實現輕量元素高分辨率解析。這一設備也是國內首臺擁有完全自主知識產權的半導體前道檢測設備。 2022 年 06 月 22 日 P.58 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 1

179、14:公司電子束檢測設備 eViewTM 全自動晶圓缺陷復查設備 資料來源:上海精測官網,國盛證券研究所 2021 年,公司出貨年,公司出貨國內首臺國內首臺 OCD 設備。設備。2021 年 7 月 13 日,公司首臺 12 寸獨立式光學線寬測量設備(OCD)與國內唯一 12 寸全自動電子束晶圓缺陷復查設備(Review SEM)順利出機。12 寸獨立式光學線寬測量機臺(OCD)是該類型的國內首臺機臺,主要用于 45nm 以下、特別是 28nm 平面 CMOS 工藝的量測,并可以延伸支持上述先進工藝節點的快速線寬測量。EPROFILE 300FD 測量系統擁有完全自主知識產權,包括寬譜全穆勒橢

180、偏測頭、對焦對位系統、系統軟件等核心零部件均為自主研發,是真正意義上的高端國產化機臺 睿勵科學成立于睿勵科學成立于 2005 年,專注于半導體量測檢測設備。年,專注于半導體量測檢測設備。睿勵的主營產品為光學膜厚測量設備和光學缺陷檢測設備,以及硅片厚度及翹曲測量設備等。睿勵自主研發的 12 英寸光學測量設備TFX3000系列產品,已應用在65/55/40/28納米芯片生產線并在進行了14 納米工藝驗證,在 3D存儲芯片產線支持 64 層 3DNAND芯片的生產,并正在驗證 96層 3DNAND 芯片的測量性能。2021 年年 3 月,睿勵獲得中微公司月,睿勵獲得中微公司 1 億元投資,其他股億元

181、投資,其他股東包括東包括浦東科創、張江科投、國家大基金、上海創投、上海國盛等一眾知名產業投資浦東科創、張江科投、國家大基金、上海創投、上海國盛等一眾知名產業投資機構機構。 2021 年 4 月 18 日,睿勵首臺自主研發的高精度光學缺陷檢測設備(WSD200)裝箱出貨,交付國內知名客戶,這是睿勵研發的光學缺陷檢測設備進入集成電路晶圓缺陷檢測市場的重大突破。 2021 年 6 月,公司自主研發的第三代光學膜厚測量設備 TFX4000i 交付設備。相對于早已實現批量生產的 TFX3000P,TFX4000i 延續使用了與 TFX3000P 相同的主框架及軟件架構,最大程度保持了二代產品的優良測量性

182、能和可靠性,同時 TFX4000i 新增加了反射測量模塊和深紫外測量模塊,具有更寬的光譜范圍,涵蓋了更廣泛的工藝段應用,可以滿足更先進的工藝要求。 中科飛測總部位于深圳龍華區,自主研發針對生產質量控制的世界領先的光學檢測技中科飛測總部位于深圳龍華區,自主研發針對生產質量控制的世界領先的光學檢測技術,以工業智能檢測設備為核心產品。術,以工業智能檢測設備為核心產品。公司最具代表的產品和服務有:三維形貌量測系統 SKYVERSE-900 系列,表面缺陷檢測系統 SPRUCE 系列,智能視覺缺陷檢測系統BIRCH 系列,3C 電子行業精密加工玻璃手機外殼檢測系統 TOTARA 系列,公司產品已經獲得國

183、內多家頂尖先進封裝廠商的設備驗收及批量訂單,填補了國內集成電路先進封裝檢測設備在高端市場的空白。 2022 年 06 月 22 日 P.59 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 115:中科飛測系列產品覆蓋 IC 前道和先進封裝的各種檢測需求 資料來源:中科飛測公司官網,國盛證券研究所 七、七、測試測試設備設備:用于測試晶圓片及成品用于測試晶圓片及成品 半導體測試包括晶圓允收測試(半導體測試包括晶圓允收測試(WAT) 、晶圓檢測() 、晶圓檢測(CP) 、成品測試() 、成品測試(FT) 。WAT 環節涉及測試機、分選機、探針臺;CP 由測試機、探針臺搭配完成;FT 涉及測

184、試機、分選機搭配完成。 晶圓檢測(晶圓檢測(CP)是指在晶圓完成后進行封裝前,通過探針臺和測試機的配合使用,對晶圓上的裸芯片進行功能和電參數測試。 成品測試(成品測試(FT)是指芯片完成封裝后,通過分選機和測試機的配合使用,對封裝完成后的芯片進行功能和電參數測試。 圖表 116:集成電路測試設備主要功能 測試機 分選機 探針臺 芯片設計 晶圓樣品、封裝樣品測試 晶圓樣品、封裝樣品測試 晶圓樣品、封裝樣品測試 晶圓制造 晶圓片測試 - 傳送、標記 封裝測試 封裝成品測試 傳送、標記、分選、收料或編帶 - 資料來源:華峰測控招股說明書、國盛證券研究所 測試機行業面臨的測試任務日益復雜,測試機的測試

185、能力和配置需求都在提高。測試機行業面臨的測試任務日益復雜,測試機的測試能力和配置需求都在提高。隨著集成電路管腳數增多、測試時間增長,包括華峰測控在內的測試機企業越來越多地采用多工位并測的方案來降低測試時間,推出測試覆蓋面更廣、資源更多的測試設備,不斷提高測試系統的可靠性和穩定性,以降低客戶平均到每顆器件的測試成本。 測試技術要求不斷提高。測試技術要求不斷提高。測試產品技術發展趨勢主要包括: (1)并行測試數量和測試速度的要求不斷提升; (2)功能模塊需求增加; (3)對測試精度的要求提升; (4)要求使用通用化軟件開發平臺; (5)對數據分析能力提升 全球半導體測試設備市場保持穩步增長,全球半

186、導體測試設備市場保持穩步增長,其中其中測試機占比最高。測試機占比最高。根據 VLSI,全球半導體后道測試設備市場(含測試機、分選機、探針臺)規模約 50 億美元。檢測設備市場空間大,包括 CP 測試和 FT 測試在內的半導體測試設備占半導體設備市場空間15%20%。整個測試設備市場中,測試機比重最高,分選機與探針臺相對較少。測試 2022 年 06 月 22 日 P.60 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 機按測試對象包括模擬、混合、數字、SOC、存儲器測試機等市場。 半導體測試設備市場呈現寡頭壟斷格局半導體測試設備市場呈現寡頭壟斷格局。集成電路檢測在測試精度、速度、效率和可靠

187、性等方面要求高。全球先進測試設備制造技術基本掌握在美國、日本等集成電路產業發達國家廠商手中,市場格局呈現泰瑞達、愛德萬、科休、科利登等四家廠商寡頭壟斷。各家廠商在檢測設備側重點也有所區別,如泰瑞達(Teradyne)主要產品為測試機,愛德萬(Advantest)主要產品為測試機和分選機,科利登(Xcerra)主要產品為測試機,東京電子(Tokyo Electron)主要產品為探針臺,北京華峰主要產品為測試機,上海中藝主要產品為分選機。愛德萬和泰瑞達在全球測試設備合計市場份額達到 70%以上。 華峰測控華峰測控國內半導體測試設備龍頭,國內半導體測試設備龍頭,SoC 及大功率測試逐步突破及大功率測

188、試逐步突破。公司是一家聚焦模擬和混合信號測試設備企業,主要面向集成電路封測、晶圓制造和集成電路設計企業等客戶。公司主要產品為半導體自動化測試系統和測試系統配件,公司的測試系統包括 STS8200 系列、STS8250 系列和 STS8300 系列;測試系統配件主要包括浮動 V/I 源表、時間測量、數字測量、及電器控制、交流 V/I 源表等關鍵測試模塊。 圖表 117:華峰測控主要產品系列 資料來源:華峰測控招股說明書,國盛證券研究所 公司已成長為國內最大的半導體測試系統本土供應商,也是為數不多進入國際封測市公司已成長為國內最大的半導體測試系統本土供應商,也是為數不多進入國際封測市場供應商體系的

189、中國半導體設備廠商。場供應商體系的中國半導體設備廠商。目前全球半導體專用設備生產企業主要集中于歐美和日本等,中國半導體專用設備自給率低。為推動我國半導體專用設備制造的技術升級。公司通過承擔 02 專項自主研發的 STS 8200 模擬器件測試系統、高端模擬混合電路測試系統已得到客戶認可和使用。 新產能順利釋放,加大新產品布局投放。新產能順利釋放,加大新產品布局投放。2021 年 9 月,公司天津產業基地正式啟用。隨著新基地的投入使用,公司產能瓶頸問題將得到大幅解決,并為公司研發、生產能力更上一臺階奠定基礎。公司持續推出新產品、新測試模塊,以適應于 SoC、GaN、大功率測試等更多增量需求,進一

190、步拓寬測試能力。 新業務快速放量,傳統業務有望支撐。新業務快速放量,傳統業務有望支撐。新業務方面,公司 2022 年 PIM 模塊發貨有望大幅增長,STS8300出貨進一步放量,GaN有望持續貢獻,奠定公司增量業務。傳統業務 2022 年 06 月 22 日 P.61 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 方面,參考泰瑞達指引2022年全球soc測試設備增速為12%,我們預計未來全球capex仍處于穩步增長態勢,且國內下游設計公司占比持續提高,新應用需求增長,預計持續貢獻增長。 長川科技長川科技半導體測試設備優質標的,技術研發實力半導體測試設備優質標的,技術研發實力強勁。強勁。公司

191、主要產品為測試機、分選機、探針臺和AOI設備及自動化設備,主要面向集成電路封測、制造、設計企業等客戶。公司的測試機包括大功率測試機(CTT系列) 、模擬/數字混合測試機(CTA系列) ;分選機包括重力下滑式分選機(C1、C3、C3Q、C37、C5、C7、C8、C9、C9Q 系列) 、平移式分選機(C6、C7R 系列)等。 圖表 118:長川科技測試機及分選機主要產品系列 資料來源:長川科技招股說明書、國盛證券研究所 整合整合 AOI 檢測優質標的,技術與客戶互補效應強檢測優質標的,技術與客戶互補效應強。長川科技于 2019 年收購 STI,STI是研發和生產為芯片以及 wafer 提供光學檢測

192、、分選、編帶等功能的集成電路封裝檢測設備商。STI 的主要產品為 AT468 機臺、Hexa 機臺、iSort 機臺及 iFocus 機臺四種型號高精度光學檢測設備,面向市場包括傳統封裝、BGA、QFN、有引線封裝、晶圓級封裝等封裝測試市場。技術研發方面,STI 的 2D/3D 高精度光學檢測技術(AOI)位于行業前列,長川科技于 STI 通過深入研發合作,STI 可為公司探針臺等產品在光學領域技術難題的突破提供有力支持,客戶方面,STI 與 TI、安靠、三星、日月光、美光、力成等多家全球領先的 IDM 及封測廠建立長期穩定合作關系,為長川科技進入國際知名半導體企業的供應體系提供有力支持。 八

193、、化學機械拋光:八、化學機械拋光:全局納米級平坦化全局納米級平坦化 CMP 設備工藝復雜、研制難度大設備工藝復雜、研制難度大,為集成電路工藝流程為集成電路工藝流程中使用中使用的主要設備之一。的主要設備之一。芯片制造主要包括光刻、CMP、刻蝕、薄膜和摻雜等關鍵工藝技術,其中 CMP 是在芯片制造制程和工藝演進到一定程度、摩爾定律因沒有合適的拋光工藝無法繼續推進之時才誕生的一項新技術。CMP 設備主要用于單晶硅片制造和芯片制造前道工藝,依托 CMP 技術的化學-機械動態耦合作用原理,通過化學腐蝕與機械研磨的協同配合作用,實現晶 2022 年 06 月 22 日 P.62 請仔細閱讀本報告末頁聲明請

194、仔細閱讀本報告末頁聲明 圓表面多余材料的高效去除與全局納米級平坦化全局平整落差 5nm 以內的超高平整度,CMP 設備集摩擦學、表/界面力學、分子動力學、精密制造、化學/化工、智能控制等多領城最先進技術于一體,工藝復雜。 圖表 119:CMP 設備在芯片制造前道工藝中的環節 資料來源:華海清科招股說明書,國盛證券研究所 CMP 設備結合機械拋光和化學拋光長處,在超大規模集成電路中有廣泛應用。設備結合機械拋光和化學拋光長處,在超大規模集成電路中有廣泛應用。CMP 的主要檢測參數包括研磨速率、研磨均勻性和缺陷量。研磨均勻性又分為圓片內研磨均勻性和圓片間研磨均勻性。對于 CMP 而言,主要的缺陷包括

195、直接影響產品的成品率的表面顆粒、表面刮傷、研磨劑殘留等。傳統的機械拋光和化學拋光去除速率均低至無法滿足先進芯片量產需求, CMP 技術利用了磨損中的“軟磨硬”原理,綜合兩者優勢,避免了由單純機械拋光造成的表面損傷,即用較軟的材料來進行拋光以實現高質量的表面拋光,將化學腐蝕和機械研磨作用達到一種平衡,最終實現晶圓表面的超高平整度。未經加工的原料晶圓裸片的表面凹凸不平,無法直接在上面印制電路圖形。因此,需要先通過研磨和化學刻蝕工藝去除表面瑕疵,然后通過拋光形成光潔的表面,再通過清洗去除殘留污染物,即可獲得表面整潔的成品晶圓。因而,CMP技術為后續重復進行光刻、刻蝕、薄膜和摻雜等關鍵工藝提供了重要的

196、基礎。 圖表 120:CMP 拋光去除速率對比 圖表 121: CMP 平坦化效果圖(CMOS 結構剖面圖) 資料來源:華海清科招股說明書,國盛證券研究所 資料來源:華海清科招股說明書,國盛證券研究所 2022 年 06 月 22 日 P.63 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 CMP 設備功能的實現需要設備功能的實現需要拋光、清洗、傳送三大模塊拋光、清洗、傳送三大模塊組合作業。組合作業。10nm 的全局平整度要求,相當于 44 萬平方米面積中任意兩點的高低差不超過 0.03 毫米、表面粗糙度小于0.5nm,作業過程中,拋光頭將晶圓待拋光面壓抵在粗糙的拋光墊上,借助拋光液腐蝕、

197、微粒摩擦、拋光墊摩擦等耦合實現全局平坦化。拋光盤帶動拋光墊旋轉,通過先進的終點檢測系統對不同材質和厚度的膜層實現 310nm 分辨率的實時厚度測量防止過拋。拋光頭用于全局分區施壓,其在限定的空間內對晶圓全局的多個環狀區域實現超精密可控單向加壓,從而可以響應拋光盤測量的膜厚數據調節壓力控制晶圓拋光形貌,使晶圓拋光后表面達到超高平整度的控制。制程線寬不斷縮減、拋光液配方愈加復雜均加大了清洗的難度,對清洗后的顆粒物數量要求也指數級降低,需要 CMP 設備中清洗單元在滿足清潔效果的同時保證晶圓表面極限化微縮的特征結構不被破壞。 圖表 122:CMP 拋光模塊示意圖 圖表 123:CMP 拋光作業原理圖

198、 資料來源:華海清科招股說明書,國盛證券研究所 資料來源:華海清科招股說明書,國盛證券研究所 研磨研磨材料更加豐富,材料更加豐富,CMP 設備升級需求增加設備升級需求增加。9065nm 節點,隨著銅互連技術和絕緣材料低 k 介質的廣泛采用,CMP 的研磨對象主要是銅互連層、絕緣膜和淺溝槽隔離。28nm 后,邏輯器件的晶體管中引入高 k 金屬柵結構(HKMG) ,從而推動了虛擬柵開口 CMP 工藝和替代金屬柵 CMP 工藝兩種關鍵平坦化工藝的發展。在 22nm 開始出現的 FinFET 晶體管增加了虛擬柵平坦化工藝,也是實現后續 3D 結構刻蝕的關鍵技術。先進的制程節點發展至 7nm 以下時,芯

199、片制造過程中 CMP 的應用在最初的氧化硅 CMP 和鎢 CMP 基礎上新增了包含氮化硅 CMP、鰭式多晶硅 CMP、鎢金屬柵極 CMP 等先進 CMP 技術,所需的拋光步驟也增加至 30 余步,大幅增加了集成電路制造過程中對 CMP 設備的采購和升級需求。 圖表 124:9-11 層金屬結構 Cu CMP 的示意圖 資料來源:華海清科招股說明書,國盛證券研究所 晶圓尺寸增加,制程節點升級提升對晶圓尺寸增加,制程節點升級提升對 CMP 設備數量需求。設備數量需求。按工藝流程分類,典型的產 2022 年 06 月 22 日 P.64 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 線上前道、封

200、裝、測試三類設備分別占 85%、6%、9%。不同的晶圓尺寸和制程的 IC 制造產線所需的設備數量不同。以每 1 萬片/月產能計算,8 英寸產線、12 英寸成熟制程產線、12 英寸先進制程產線所使用的設備數量依次增加。如以中芯國際天津 T2 8 英寸線、中芯國際天津 T3 90nm 12 英寸線、臺積電南京一期 16nm 12 寸線為例,每萬片月產能平均所需的 CMP 設備臺數分別為 3.7 臺、12 臺、17.5 臺,產線制程的精進將對CMP 產生成倍的增量需求。 圖表 125:三個不同尺寸和制程的晶圓產線項目每萬片月產能對應的設備數量需求 每每 1 萬片萬片月月產能的設備需求產能的設備需求

201、中芯國際天津中芯國際天津 T2 8 英寸線英寸線 中芯國際天津中芯國際天津 T3 90nm 12 英寸線英寸線 臺積電南京一期臺積電南京一期 16nm 12 英寸英寸線線 化學機械拋光機 3.7 12 17.5 CVD 9.9 42 30.5 光刻機 4.3 8 7.5 刻蝕設備 10.2 25 59.5 離子注入機 3.4 13 9 資料來源:華海清科招股說明書,國盛證券研究所 拋光、清洗模塊有定期維護更換需求,帶動拋光、清洗模塊有定期維護更換需求,帶動 CMP 設備廠商技術服務收入不斷提升。設備廠商技術服務收入不斷提升。CMP設備屬于集成電路設備中使用耗材較多、核心部件有定期維保更新需求的

202、制造設備之一。CMP利用機械力作用于圓片表面,由研磨液中的化學物質與圓片表面材料發生化學反應來增加其研磨速率,首先讓研磨液填充在研磨墊的空隙中,圓片在研磨頭帶動下高速旋轉,與研磨墊和研磨液中的研磨顆粒發生作用,此時需要控制研磨頭下壓力等其他參數。CMP工藝中最重要的兩大組成部分是研磨液和研磨墊。晶圓廠需要更換設備外部的拋光液、拋光墊等,同時需要對設備內部長時間運行磨損的拋光頭、清洗等單元進行定期維保更新,且設備配套服務需求會隨著廠商銷售設備數量的增加而快速增長。因此 CMP 設備廠商在設備出貨后,將向客戶提供專用耗材銷售和關鍵耗材維保等技術服務,隨之實現有長期穩定和高盈利能力的服務收入。 圖表

203、 126:CMP 工藝應用到研磨頭、研磨墊、研磨液 圖表 127:CMP 設備的相關配套組成 資料來源:集成電路產業全書,國盛證券研究所 資料來源:集成電路產業全書,國盛證券研究所 中國大陸中國大陸 CMP 設備市場規模第一,海外龍頭仍占據大份額。設備市場規模第一,海外龍頭仍占據大份額。2018 年全球 CMP 設備市場規模約 18.4 億美元 2013-2018 年 CAGR 20.1%。 2019 年受全球半導體景氣度下滑影響,全球 CMP 設備市場規模略有下滑,2020 年市場規模迅速回升至 15.8 億美元,同比增長 5.8% 。其中中國大陸市場規模已躍升至全球第一 ,達到 4.3 億

204、美元,市場份額 27%。從市場格局來看,應材、日本荏原在全球占主導地位,2020 年兩家合計市占率超過 93%。 2022 年 06 月 22 日 P.65 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 128:2020 年全球 CMP 設備市場區域結構 圖表 129:2020 年全球 CMP 設備競爭格局 資料來源:SEMI,國盛證券研究所 資料來源:Gartner,國盛證券研究所 華海清科華海清科 CMP設備填補國內空白,產品廣泛應用于國內外大生產線。設備填補國內空白,產品廣泛應用于國內外大生產線。公司于2013年4月成立,主要產品為先進集成電路制造前道工序、先進封裝等環節必需的

205、化學機械拋光(CMP)設備,是目前國內唯一一家為集成電路制造商提供 12 英寸 CMP 商業機型的高端半導體設備制造商。公司的 CMP 設備總體技術性能已達到國內領先水平,已實現在國內外知名客戶先進大生產線的產業化應用,在邏輯芯片、3D NAND、DRAM 制造等領域的工藝技術水平已分別突破至 14nm、128 層、1X/1Ynm,均為當前國內大生產線的最高水平。公司研制的 CMP 設備集先進拋光系統、終點檢測系統、超潔凈清洗系統、精確傳送系統等關鍵功能模塊于一體,其內部高度集成的關鍵核心技術數十項,所產主流機型已成功填補國內空白,打破了國際巨頭在此領域數十年的壟斷。 圖表 130:華海清科發

206、展歷程 資料來源:華海清科招股說明書,國盛證券研究所 中國大陸, 27%中國臺灣, 25%韓國, 23%北美, 8%日本, 8%歐洲, 6%其他地區, 3%應用材料, 64.1%日本荏原, 29.1%韓國KC Tech, 4.3%東京精密, 2.4% 2022 年 06 月 22 日 P.66 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 8 英寸、英寸、12 英寸系列英寸系列 CMP 設備均已實現產業化應用。設備均已實現產業化應用。公司 12 英寸系列 CMP 設備(Universal 300型、Universal 300 Plus型、Universal300 Dual型、Univers

207、al-300X型)在國內已投產的 12英寸大生產線上實現了產業化應用,截至 2021年底累計已量產晶圓超 1,300 萬片;8 英寸系列 CMP 設備(Universal-200 型、Universal-200 Plus 型)已在國內集成電路制造商中實現了產業化應用,主要用于晶圓制造、MEMS 制造及科研攻關等領域。截至 2021 年末,公司已發出未驗收結算的 CMP 設備 69 臺,未發出產品的在手訂單超過 70 臺, 圖表 131:華海清科主要產品情況 產品類別產品類別 圖示圖示 應用領域應用領域 300 系列系列 12 英寸英寸 CMP 設備設備 Universal-300 Univer

208、sal-300 是擁有完全自主知識產權的國產首臺國產首臺 12 英寸英寸 CMP 設備設備,適用于集成電路制造、晶圓基片生產、CMP 研磨材料研發和相關的科學研究,可以滿足65130nm Oxide/STI/Poly/Cu/W CMP 等各種工藝需求。 Universal-300 Plus Universal-300 Plus 是根據市場需求研發的新型 12 英寸 CMP 設備,具有四個拋光有四個拋光單元和單套清洗單元單元和單套清洗單元,集成多種終點檢測技術,可以滿足 45130nm Oxide/STI/Poly/Cu/W CMP 等各種工藝需求。2016.6 開始正式投產首臺 300 Plu

209、s,2017.2 完成公司內部測試并發貨,2017.12 通過 SMIC 工藝驗收并實現銷售。 Universal-300 Dual Universal-300 Dual 是根據中高端市場需求開發的先進 12 英寸 CMP 設備,具有四有四個拋光單元和雙清洗單元個拋光單元和雙清洗單元,可滿足 2865nm 邏輯芯片及邏輯芯片及 2xnm 存儲芯片存儲芯片Oxide/SiN/STI/Poly/Cu/W CMP 等各種工藝需求。2017.11 首臺正式投產,2018.10 完成公司內部測試并發貨,入駐長江存儲產線驗證,另一臺于 2019.9 在長存完成工藝驗收實現銷售。 Universal-300

210、 X Universal-300 X 是為了面向 14nm 及 128 層 NAND 等更先進制程的更高平坦度要求開發的先進 12 英寸 CMP 設備。拋光頭具有 8 個獨立氣壓分區個獨立氣壓分區,用于實現晶片更加優異的全局平坦化,結合先進的多種終點檢測技術更加優異的全局平坦化,結合先進的多種終點檢測技術,可以滿足 1445nm 邏邏輯廠及輯廠及 1xnm 存儲廠存儲廠 Oxide/SiN/STI /Poly /Cu /W CMP 等各種工藝需求。2020.2 正式投產,2020.6 完成了公司內部測試并發貨,進入長江存儲進行產線驗證,2020.9 已通過工藝驗收實現銷售。 Universal

211、-300 T Universal-300 T 是在 300X 機型基礎上搭載了更先進的組合清洗技術搭載了更先進的組合清洗技術,展現更卓越 的 清 洗 效 果 , 可 以 滿 足28nm 以 下 邏 輯 廠 及以 下 邏 輯 廠 及1xnm 存 儲 工 廠存 儲 工 廠Oxide/SiN/STI/Poly/Cu/W CMP 等各種工藝需求。 200 系列系列 8 英寸英寸 CMP 設備設備 Universal-200 Universal-200是一套獨立控制的 8英寸 CMP拋光單元系統,可兼容 4-8 英寸多種英寸多種材料的化學機械拋光材料的化學機械拋光。該單體機沿用了華海清科拋光設備的成熟技

212、術和功能,適用于 MEMS 制造、第三代半導體制造制造、第三代半導體制造、科研院所、實驗研發機構。 Universal-200 Plus Universal-200 Plus 是根據市場需求,采用 Universal-300 Plus 的成熟經驗設計,擁有完全自主知識產權的新型 8 英寸 CMP 設備,集成多種終點檢測技術,集成多種終點檢測技術,4 個拋個拋光單元和單套清洗單元光單元和單套清洗單元,具備技術水平高、產量高、性能穩定、多工藝靈活組合等優點,可滿足 Oxide/STI/Poly/Cu/W CMP 等各種工藝需求等各種工藝需求。2020.1 完成公司內部測試并發貨,2020.6 已通

213、過上海新微工藝驗收實現銷售。 12 英寸英寸 減薄拋光一體機減薄拋光一體機 Versatile-GP 300 Versatile-GP 300 是公司新研制的用于用于 3D IC 制造的制造的 12 英寸晶圓減薄拋光一體英寸晶圓減薄拋光一體機機,通過新型整機布局集成超精密磨削、CMP 及后清洗工藝,配置先進的厚度偏差與表面缺陷控制技術,提供多種系統功能擴展選項,具有高精度、高剛性、工高精度、高剛性、工 2022 年 06 月 22 日 P.67 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 藝開發靈活藝開發靈活等優點??苫?Versatile-GP300 拓展和研發多種配置,滿足 3DI

214、C 制造、先進封裝等領域的晶圓減薄技術需求。 資料來源:華海清科招股說明書,國盛證券研究所 根據招標網的數據統計,華虹無錫在 2022 年 1-5 月招標化學機械拋光設備 13 臺,其中應用材料中標 9 臺,華海清科中標 4 臺。應用材料中標的 10 臺具體為銅金屬層化學機械拋光設備 5 臺,淺溝槽絕緣氧化膜化學機械拋光設備 2 臺,多晶硅化學機械拋光設備2 臺;華海清科分別中標銅金屬層化學機械拋光設備 2 臺,鎢金屬層化學機械拋光設備1 臺,氧化膜化學機械拋光設備 1 臺。 圖表 132:華虹無錫 2022 年 1-5 月化學機械拋光設備采購情況 資料來源:中國國際招標網,國盛證券研究所 長

215、江存儲 20192020 年共招標化學機械拋光設備 62 臺,其中華海清科中標 22 臺,應用材料中標 40臺。分具體產品來看,華海清科中標的 22 臺設備中,氧化硅化學機械拋光機 9 臺,層間介質層化學機械拋光機 6 臺,晶圓硅面化學機械拋光機 6 臺。應用材料中標的 40 臺設備包括銅化學機械拋光機 20 臺,前段鎢化學機械拋光機 13 臺,晶圓硅面化學機械拋光機 2 臺,多晶硅化學機械拋光機 2 臺,氧化硅化學機械拋光機 1 臺,淺槽隔離化學機械拋光機 1 臺。 圖表 133:長江存儲 2019-2020 年化學機械拋光設備采購情況 應用材料應用材料 華海清科華海清科 銅化學機械拋光機

216、20 前端鎢化學機械拋光機 13 氧化硅化學機械拋光機 1 9 層間介質層化學機械拋光機 6 晶圓硅面化學機械拋光機 2 6 多晶硅化學機械拋光機 2 淺槽隔離化學機械拋光機 1 其他 1 1 資料來源:中國國際招標網,國盛證券研究所 012345678910應用材料華海清科多晶硅CMP設備淺溝槽絕緣氧化膜CMP設備氧化膜CMP設備鎢金屬層CMP設備銅金屬層CMP設備 2022 年 06 月 22 日 P.68 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 九九、半導體材料、半導體材料:晶圓廠持續擴產,材料拐點已至:晶圓廠持續擴產,材料拐點已至 9.1 晶圓代工擴產拉動材料需求持續增長晶圓

217、代工擴產拉動材料需求持續增長 2021 年半導體市場規模超預期增長,且未來隨著晶圓廠逐步投產,行業產值有望在年半導體市場規模超預期增長,且未來隨著晶圓廠逐步投產,行業產值有望在2030 年超過萬億美元市場。年超過萬億美元市場。從需求端來看,以汽車、工業、物聯網、5G 通訊等代表的需求驅動驅動全球半導體產業進入“第四次半導體硅含量提升周期” 。根據 SEMI,2021年全球半導體產值有望超過 5500億美元,達到歷史新高,且在 2022年根據 SEMI對于行業資訊機構的統計,平均對于 2022 年的增長預期將達到 9.5%,即 2022 年市場規模有望突破 6000 億美元(此為平均值) 。此外

218、隨著全球 8 寸及 12 寸晶圓新產能逐步的在 2022 年至 2024年的投放,至 2024 年全球將會有 25 家 8寸晶圓廠投產,60 座 12寸晶圓廠投放。隨著該 85座晶圓廠的投放,至 2030年全球半導體晶圓市場將有望達到萬億美元市場,實現年復合增長率約 7%。 2021 年全球半導體材料市場規模創新高年全球半導體材料市場規模創新高,中國大陸需求占比,中國大陸需求占比 18.6%。根據 SEMI,強勁的下游需求及晶圓產能的擴張驅動 2021 年全球半導體材料市場規模同比增長 15.9%達到 643 億美金新高。其中晶圓制造材料和封裝材料市場規模分別為 404 億美金和 239億美金

219、,同比增長 15.5%和 16.5%。晶圓制造環節中的硅片、化學品、CMP 和光掩膜環節是增速最快的幾大領域,而硅片也是晶圓制造中成本占比最高的環節,市場規模超過 130 億美金。由于半導體芯片存在較大的價格波動,但是作為上游原材料的價格相對較為穩定,因此半導體材料可以被譽為半導體行業中剔除價格影響最好的參考指標之一。 圖表 134:全球半導體銷售市場規模 圖表 135:全球半導體材料市場規模 資料來源:SEMI,國盛證券研究所 資料來源:SEMI,國盛證券研究所 圖表 136:2020 及 2021 年分地區半導體材料市場營收(億美元) 圖表 137:封裝及晶圓制造材料市場規模 資料來源:S

220、EMI,國盛證券研究所 資料來源:SEMI,國盛證券研究所 -30%-20%-10%0%10%20%30%40%0100020003000400050006000700019992002200520082011201420172020半導體銷售市場規模(億美元)yoy-20%-15%-10%-5%0%5%10%15%20%25%30%01002003004005006007002003200620092012201520182021E半導體材料市場銷售額(億美元)YoY0501001502002503003504004502012 2013 2014 2015 2016 2017 2018 2

221、019 2020 2021E2022E晶圓制造材料(億美元)封裝材料(億美元) 2022 年 06 月 22 日 P.69 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 在半導體原材料領域,集成電路技術發展到微納電子制造的物理極限,單獨依靠特征尺寸縮小已不足以實現技術發展目標。新材料的引入以及相應的新材料技術與微納制造技術相結合共同推動著集成電路不斷發展。集成電路制造工藝用到元素已經從 12 種增加到 61 種。伴隨微納制造工藝不斷發展,對材料的純度,納米精度尺寸控制、材料的功能性等都提出了嚴苛的需求。 圖表 138:半導體材料分類 資料來源:賽瑞研究,國盛證券研究所 簡單來看,半導體制造

222、所需要的材料主要分布在一下四步之中:簡單來看,半導體制造所需要的材料主要分布在一下四步之中: 1. 摻雜摻雜/熱處理:熱處理:濺射靶材,濕法化學品、化學氣體,CMP 拋光墊和拋光液; 2. 蝕刻蝕刻/清潔:清潔:掩模/光罩,濺射靶材,CMP 拋光墊和拋光液; 3. 沉積:沉積:化學氣體,CMP 拋光墊和拋光液; 4. 光刻:光刻:掩模/光罩、光刻膠、光刻膠顯影液、熔劑、剝離劑。 圖表 139:晶元制造過程所需材料 資料來源:Horizon Insights,國盛證券研究所 2022 年 06 月 22 日 P.70 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 半導體制造過程繁瑣且復雜,對

223、于的材料大類的設計也超過了 9 種。其中硅片的占比最大,達到了122億美元,37.3%;其次為電子特氣,市場規模約為43億美元,13.2%;光掩模,光刻膠及其輔助材料分別為41億美元和40億美元,占比達到12.5%和12.2%。 圖表 140:半導體原材料分布情況 資料來源:SEMI,國盛證券研究所 在全球半導體材料的需求格局之中,中國大陸從 2011 年的 10%的需求占比,至 2021年已經達到占據全球需求總量的 18.6%,僅次于中國臺灣(22.9%) ,位列全球第二。隨著整個半導體產業的持續增長,以及中國大陸不斷新建的代工產能,我們有望看到中國大陸半導體市場規模增速將會持續超越全球增速

224、的同時,攀登至全球需求第一的寶座。 圖表 141:2021 年半導體材料市場按地域分布 資料來源:SEMI,國盛證券研究所 半導體材料國產化率仍待轉化。半導體材料國產化率仍待轉化。在國家產業政策大力扶持和國內半導體市場穩定增長等利好條件下,特別是國家“02 專項”等專業化科研項目的培育下,國內半導體材料領域將涌現更多具有國際競爭力的公司和產品,在更多關鍵半導體材料領域實現進口替代,打破國外廠商的壟斷。半導體芯片制造工藝半導體將原始半導體材料轉變成半導體芯片,每個工藝制程都需要電子化學品,半導體芯片造過就是物理和化學的反應過程,半導體材料的應用決定了摩爾定律的持續推進,決定芯片是否將持續縮小線寬

225、。目前我國不同目前我國不同半導體制造材料的技術水平不等,但整體與國外差距較大,存在巨大的國產替代空間。半導體制造材料的技術水平不等,但整體與國外差距較大,存在巨大的國產替代空間。 我們選取代表性公司彤程新材、鼎龍股份、凱美特氣、興森科技、安集科技、滬硅產業、雅克科技、立昂微、金宏氣體和晶瑞股份,2021 年電子材料營收綜合約為 98 億元人民幣,考慮到其他未收錄的非上市公司及上市公司,我們展開樂觀假設:中國有著我們展開樂觀假設:中國有著37.3%12.5%5.3%6.9%5.1%13.2%2.6%7.1%10.1%硅片光掩模光刻膠光刻膠輔助材料工藝化學品電子特氣靶材CMP拋光材料其他122 4

226、1 17 23 17 43 9 23 33 020406080100120140晶圓制造材料價值量分布(億美元)中國臺灣, 22.9%中國大陸, 18.6%韓國, 16.4%日本, 13.7%北美, 9.4%歐洲, 6.9%其他, 12.1% 2022 年 06 月 22 日 P.71 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 電子半導體材料營收規模電子半導體材料營收規模 150 億人民幣億人民幣(更多的為中低端產品,高端產品仍然在持續突破及替代) ,在當前在當前 643 億美元的全球市場之中也僅僅億美元的全球市場之中也僅僅 4%不到的替代率;在中國所不到的替代率;在中國所需的產值約需

227、的產值約 119億美元的市場需求中,也僅占億美元的市場需求中,也僅占 19%,因此可以看到中國無論是在中國市場或者全球市場之中,均有著巨大的國產化空間。 圖表 142:半導體材料國產化進程 資料來源:Wind,SEMI,公司公告,國盛電子整理,國盛證券研究所 9.2 各類材料持續持續突破,業績佐證國產替代正式開幕各類材料持續持續突破,業績佐證國產替代正式開幕 隨著半導體市場晶圓代工的持續擴產,對于晶圓制造中不可缺失的基礎材料將會有著非常大的需求拉動,而在此階段我們可以看到隨著技術及工藝的推進以及中國電子產業鏈逐步的完善,在材料領域已經開始涌現出各類已經進入批量生產及供應的廠商。在材料領域已經開

228、始涌現出各類已經進入批量生產及供應的廠商。 圖表 143:半導體材料公司在電子材料業務領域營收情況(億元) 公司公司 主要半導體材料產品主要半導體材料產品 2018 2019 2020 2021 鼎龍股份 CMP 拋光墊 0.03 0.12 0.79 3.07 安集科技 CMP 拋光液 2.05 2.36 3.75 5.94 光刻膠去除劑 0.42 0.49 0.47 0.91 雅克科技 光刻膠及配套試劑 3.42 12.15 電子特氣 2.57 3.95 3.73 3.91 前驅體 2.74 5.03 7.53 8.45 金宏氣體 電子特氣 3.84 4.60 4.48 6.59 凱美特氣

229、大宗稀有氣體 0.18 滬硅產業 半導體硅片 10.10 14.93 18.11 24.67 立昂微 半導體硅片 7.98 7.59 9.73 14.59 興森科技 半導體測試板 3.38 5.04 5.02 4.17 IC 封裝基板 2.36 2.97 3.36 6.67 彤程新材 IC 光刻膠 0.79 0.70 0.89 1.15 面板光刻膠 2.09 2.56 晶瑞股份 光刻膠 0.84 0.79 1.79 2.74 資料來源:各公司年報,國盛證券研究所 2022 年 06 月 22 日 P.72 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 除了以上我們節選的部分半導體及電子材料

230、廠商對于中國卡脖子關鍵材料的替代以外,還有眾多 A 股上市公司在努力的投入研發力量致力于更多材料的國產化。無論是成本占比最大的半導體硅片,再到被美國高度壟斷的CMP(拋光液及拋光墊)材料,均都實現了一定的技術突破,在不同的實現果實的收獲。 圖表 144:當前部分 A 股半導體材料公司在細分領域的進展及后續規劃(研發費用為 2021 年數字,億元) 資料來源:各公司 2021 年年報、公司公告,招股說明書,國盛證券研究所 2022 年 06 月 22 日 P.73 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 十十、光刻膠:產品逐步突破,國產替代已開啟光刻膠:產品逐步突破,國產替代已開啟 光

231、刻膠做為半導體生產中光刻工藝的核心材料,其主要工作原理是:光刻工藝利用光刻膠對于各種特殊射線及輻射的反應原理,將事先制備在掩模上的圖形轉印到晶圓,建立圖形的工藝,使硅片表面曝光完成設計路的電路圖,做到分辨率清晰和定位無偏差電路,就如同建筑物一樓的磚塊砌起來和二樓的磚塊要對準,疊加的層數越高,技術難度大。 從光刻膠的發展歷程看,20 世紀 50 年代至今,光刻技術經歷了紫外全譜(300-340nm) ,G線(436nm) ,I線(365nm) ,深紫外(Deep Ultraviolet,DUV,248nm和193nm) ,以及目前最引人注目的極紫外(EUV,13.5nm)光刻,電子束光刻等六個階

232、段,隨著光刻技術發展,各曝光波長的光刻膠組分(成膜樹脂、感光劑和添加劑等)也隨之變化。 圖表 145:光刻技術及光刻材料的發展 光刻膠體系光刻膠體系 成膜樹脂成膜樹脂 感光劑感光劑 光刻波長光刻波長 技術節點及用途技術節點及用途 聚乙烯醇肉桂酸酯系負性光刻膠 聚乙烯醇肉桂酸酯 成膜樹脂自身 紫外全譜 (300-450nm) 3m 以上集成電路和半導體器件 環化橡膠-雙疊氮負膠 環化橡膠 芳香族雙疊氮化合物 紫外全譜 (300-450nm) 2m 以上集成電路和半導體器件 酚醛樹脂-重氮萘醌正膠 酚醛樹脂 重氮萘醌化合物 G 線(436nm) I 線(365nm) 0.5m 以上集成電路 0.3

233、5m-0.5m 集成電路 248nm 光刻膠 聚對羥基苯乙烯及其衍生物 光致產酸劑 KrF(248nm) 0.25m-0.13m 集成電路 193nm 光刻膠 聚脂環族丙烯酸酯及其共聚物 光致產酸劑 ArF(193nm 干法) ArF(193nm 浸沒法) 130-65nm 集成電路 45nm,32nm 集成電路 EUV 光刻膠 聚酯衍生物分子玻璃單組分材科 光致產酸劑 極紫外(EUV 13.5nm) 32nm,22nm 及以下集成電路 電子束光刻膠體系 甲基丙烯酸酯及其共聚物 光致產酸劑 電子束 掩膜板制備 納米壓印紫外光刻膠體系 丙烯酸酯類:環氧樹脂:乙烯基醚 自由基型光引發劑:陽離子光引

234、發劑 紫外光 電子學、生物學、光學等領城 資料來源:光刻材料的發展及應用_龐玉蓮,鄒應全,國盛證券研究所 根據反應機理和顯影原理,可以將光刻膠分為正性光刻膠和負性光刻膠。正性光刻膠形成的圖形與掩膜版(光罩)相同,負性光刻膠顯影時形成的圖形與掩膜版相反。根據感光樹脂的化學結構,光刻膠可分為光聚合型,光分解型和光交聯型。根據應用領域,光根據應用領域,光刻膠可以分為刻膠可以分為 PCB 光刻膠、面板光刻膠和半導體光刻膠。光刻膠、面板光刻膠和半導體光刻膠。 2022 年 06 月 22 日 P.74 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 146:正性及負性光刻膠的反應原理 資料來源:

235、容大感光招股書,國盛證券研究所 圖表 147:光刻膠分類 分類標準分類標準 具體類別具體類別 備注備注 應用領域 IC 光刻膠 g 線光刻膠、i 線光刻膠、KrF 光刻膠、Arf 光刻膠、聚酰亞胺光刻膠、掩模版光刻膠等 PCB 光刻膠 干膜光刻膠、濕膜光刻膠、光成像阻焊油墨等 LCD 光刻膠 彩色光刻膠及黑色光刻膠、LCD 襯墊料光刻膠、TFT 配線用光刻膠等 按曝光波長 g 線 曝光波長:436mm 對應集成電路尺寸:0.5m 以上適用芯片:6 寸 i 線 曝光波長:365nm 對應集成電路尺寸:0.5-0.35m 適用芯片:6 寸,8 寸,12 寸 KrF 曝光波長:248mm 對應集成電

236、路尺寸:0.25-0.15m 適用芯片:8 寸,12 寸 Ar 曝光波長:193nm 對應集成電路尺寸:65-130nm 適用芯片:12 寸 EUV 曝光波長:134nm 對應集成電路尺寸:32nm 以下適用芯片:12 寸及以上 按相應紫外線的特征 正性膠 未曝光的部分溶于顯影液高分辨率,抗干法蝕刻性強,耐熱性好,去膠方便,臺階覆蓋度好,對比度好,隨著 2-5m 圖形尺寸出現,正膠分辨率優勢逐漸凸顯 負性膠 曝光的部分溶于顯影液,抗酸抗堿,粘附性好,熱穩定性好,感光速度快 資料來源:賽瑞研究,前瞻產業研究院整理,國盛證券研究所 從組成成分從組成成分來看來看,光刻膠主要由成膜樹脂、光敏物質、溶劑

237、和助劑組成。其中,成膜,光刻膠主要由成膜樹脂、光敏物質、溶劑和助劑組成。其中,成膜樹脂是光刻膠的主要成分。樹脂是光刻膠的主要成分。 - 樹脂:樹脂:光刻膠的主要原料,具備光敏性和能力敏感的特殊聚合物,一般是由碳、氫和氧組成的大分子。經光照后在曝光區能很快地發生固化反應,溶解性、親和性等發生明顯變化,用適當的溶劑處理就可以得到圖像。隨著制程的提升、曝光波長的縮小,光刻膠中樹脂的成本不斷提升,對光刻膠的性能有重要影響。 - CAR 作用原理:作用原理:在感光組成物中加入光致產酸劑,光致產酸劑(Photoacid Generator,PAG) 在光照射下生成酸,酸作為催化劑催化光刻膠樹脂發生反應,通

238、過化學方法將光學信號進行放大,解決光刻膠的感光效率問題。同時,使用聚對羥基苯乙烯作為主要的成膜樹脂,解決了在 248 nm 波長下的透光性的問題。缺點:曝光中產生酸,容易污染鏡頭,并且后烘過程中產生的 H + 擴散造成線邊粗糙度的增加。 - g 線線/i 線光刻膠:線光刻膠:主要用酚醛樹脂和重氮萘醌體系,酚醛樹脂為成膜樹脂、重氮萘醌為感光劑,曝光后二者反應的部分易于溶于顯影劑被去除。添加劑:在正型 i-線光刻膠中加入添加劑可以提高抗刻蝕性能、感光性能等。 - KrF 光刻膠:光刻膠:光源強度大大降低,對光刻膠敏感性提出極高要求,IBM 通過化學放大光刻膠(Chemically Amplifie

239、d Resists,CARs,也叫化學增幅膠) ,提高光刻膠感光度。 2022 年 06 月 22 日 P.75 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 - ArF 光刻膠光刻膠:聚甲基丙烯酸酯類型的光刻膠,隨著光刻制程不斷降低,光刻膠的膠膜厚度也需要不斷下降,尤其是在 193 nm 光刻工藝基礎上,發展了 193 nm 浸沒式光刻以及多重曝光的技術以提升光刻膠的分辨率,這使得光刻膠的抗刻蝕性能需要進一步提升(聚甲基丙烯酸酯類型的光刻膠抗腐蝕性能低) 。 行業壁壘高聳,研發能力要求極高,資金需求巨大行業壁壘高聳,研發能力要求極高,資金需求巨大。在上述我們也對眾多光刻膠進行了簡單的分類

240、,但實際操作中由于各個客戶的產品的要求不同,對應的光刻膠的具體需求料號眾多。這一點將會直接導致光刻膠企業在生產制作光刻膠的時候需要具備足夠的配方研發能力,對眾多國內仍在起步的廠商無疑是個巨大的挑戰。另一方面由于光刻膠最終需要應用在光刻機上,以 ASML 為例,EUV 光刻機常年保持在 1 億歐元左右,248nm 的 KrF 光刻機也基本維持在一千萬歐元以上。 從光刻膠全球市場來看,根據 Cision,2019 年約有 91 億美元的市場規模,至 2022 年預計將達到 105 億美元,實現復合增長 5%。而其中半導體、LCD、PCB 這三類主要的應用場景分別占據了市場空間的 24.1%、26.

241、6%、及 24.5%,分別對應 2019 年的市場規模 22 億美元、24 億美元、及 22 億美元。 圖表 148:2019-2022 全球光刻膠產業市場規模(億美元) 圖表 149:全球光刻膠應用份額占比 資料來源:Cision,前瞻產業研究院,國盛證券研究所 資料來源:智研咨詢,國盛證券研究所 Cision 同時也統計了中國光刻膠市場的規模,在 2019 年約為 88 億元人民幣,至 2022年預計將達到 117 億元人民幣,實現復合增長 15%。如若我們根據全球光刻膠的應用場景分布來看,在中國大陸所需要的半導體、LCD、及PCB的市場需求分別將達到21、23、22 億元人民幣。 248

242、nm及以上高端光刻膠為全球市場的主流及以上高端光刻膠為全球市場的主流。中國產業信息網的數據顯示,2019年中國光刻膠市場規模在 158 億人民幣,而其中半導體用光刻膠市場達到 20.7 億人民幣;至 2020 年的預期,國內光刻膠市場有望達到 176 億人民幣,而半導體用光刻膠則將達到 25 億人民幣,均將實現超過 10%的行業規模增長。而隨著國內晶圓廠不斷擴產,以及制程和工藝的提高,有望在后續給光刻膠行業帶來更大的增量空間。 2022 年 06 月 22 日 P.76 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 150:國內光刻膠場規模 圖表 151:中國半導體光刻膠及配套試劑市

243、場規模 資料來源:中國產業信息網,國盛證券研究所 資料來源:中國產業信息網,國盛證券研究所 然而我們復盤過往中國半導體光刻膠市場規模來看,通過智研產業研究院的統計,在2015 年中國半導體光刻膠市場規模僅為 10 億元左右,至 2020 年已經成功提高至約 25億人民幣的市場規模。而其中的核心原因我們認為是中國半導體晶圓代工產業逐步完善,晶圓廠產能持續增長帶來的市場增長。而隨著未來中國內地將要興建更多的產能之時,我們有望看到中國半導體光刻膠需求的持續高增長。 圖表 152:國內半導體光刻膠市場規模(億元) 資料來源:智研產業研究院,國盛證券研究所 雖然中國市場增速巨大,但是從產業端來看,全球共

244、有 5 家主要的光刻膠生產企業。其中,日本技術和生產規模占絕對優勢。而其中在半導體光刻膠中,占據主導位置的還是以日美兩國為主。 國產光刻膠份額:國產光刻膠份額:受益于半導體、顯示面板、PCB 產業東移的趨勢,根據雅克科技,自2011 年至今,光刻膠中國本土供應規模年華增長率達到 11%,高于全球平均 5%的增速。根據智研咨詢,2019 年中國光刻膠市場本土企業銷售規模約 70 億元,全球占比約10%,發展空間巨大。目前,中國本土光刻膠以 PCB 用光刻膠為主,平板顯示、半導體用光刻膠供應量占比極低。中國半導體光刻膠的占比僅有 2%,LCD 僅為 3%,而最為簡單 PCB 光刻膠占比高達 94%

245、。 1001101221381581760%2%4%6%8%10%12%14%16%020406080100120140160180200201520162017201820192020E國內光刻膠市場規模(億元)yoy1011.513.616.620.724.80%5%10%15%20%25%30%051015202530201520162017201820192020E國內半導體光刻膠市場規模(億元)yoy 2022 年 06 月 22 日 P.77 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 153:中國光刻膠廠商生產結構情況 資料來源:智研產業研究院,國盛證券研究所 整體來

246、看,全球光刻膠行業主要被 JSR、東京應化、羅門哈斯、信越化學、及富士合理占據,前五大家占據了全球光刻膠領域的 86%;如若聚焦到全球半導體用光刻膠領域,前六大家(主要以日本為主)實現了對于市場的 87%的占據。 圖表 154:全球光刻膠市占率情況 圖表 155:全球半導體光刻膠市占率情況 資料來源:SEMI,國盛證券研究所 資料來源:SEMI,國盛證券研究所 分品類來看市場格局,仍然是全球幾大龍頭形成寡頭壟斷之勢,而中國供應商尚未登榜。 圖表 156:2019 年 krf 光刻膠市場占比 圖表 157:2019 年 arf 光刻膠市場占比 資料來源:前瞻產業研究院,國盛證券研究所 資料來源:

247、前瞻產業研究院,國盛證券研究所 2022 年 06 月 22 日 P.78 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 158:2019 年 g/i 線光刻膠市場占比 資料來源:前瞻產業研究院,國盛證券研究所 國產半導體光刻膠的發展速度遠遠慢于其他產業,原因在于: 1、 光刻膠的驗證周期長。光刻膠的驗證周期長。光刻膠批量測試的 過程需要占用晶圓廠機臺的產線時間,在產能緊張的時期測試時間將會被延長。測試的過程需要與光刻機、掩膜版及半導體制程中的許多工藝步驟配合,需要付出的成本極高。通常面板光刻膠驗證周期為1-2年,半導體光刻膠驗證周期為2-3年。但是驗證通過之后便會形成長期供應關系,

248、甚至在未來會推動企業之間的聯合研發。 2、 原材料成膜樹脂具有專利壁壘。原材料成膜樹脂具有專利壁壘。樹脂的合成難度高,通常光刻膠廠商在合成一種樹脂后會申請相應的專利,目前樹脂結構上的專利主要被日本公司占據。 圖表 159:光刻膠龍頭專利積累 大分類大分類 中分類中分類 名稱名稱 專利公開量專利公開量 專利授權量專利授權量 用途 曝光應用 JSR 46 14 東京應化 31 24 日本信越 66 40 富士電子材料 1926 940 光源 JSR 38 19 東京應化 13 5 日本信越 135 93 富士電子材料 448 221 光罩 JSR 1 0 東京應化 2 1 日本信越 124 112

249、 富士電子材料 39 27 課題 圖案形成 JSR 1568 714 東京應化 195 132 日本信越 3226 2741 富士電子材料 6271 2755 構成要素技術 材料、層構造 JSR 377 225 東京應化 49 40 日本信越 993 639 富士電子材料 1135 441 資料來源:集微網,國盛證券研究所 3、 光刻膠產品品類多,配方需要滿足差異化需求。光刻膠產品品類多,配方需要滿足差異化需求。根據產品需求來調配適合的樹脂來滿足差異化需求對于光刻膠企業是一大難點,也是光刻膠制造商最核心的 2022 年 06 月 22 日 P.79 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁

250、聲明 技術。以 TOK 為例,通過其產品系列可以看出,根據關鍵尺寸、應用層、正負膠等劃分,可以分為幾十個系列。彤程新材持續推出新產品,KrF光刻膠方面,公司產品種類涵蓋 Poly、AA、Metal等關鍵層工藝以及 TM/TV、Thick、Implant、ContactHole 等應用領域,2021 年公司新增 21 支新產品通過客戶驗證并獲得訂單,其中248nm光刻膠10支,I線光刻膠9支,LED及先進封裝用光刻膠2支。 圖表 160:TOK 產品分類(按特征尺寸) 圖表 161:TOK KrF 正性光刻膠系列 資料來源:TOK 官網,國盛證券研究所 資料來源:TOK 官網,國盛證券研究所 圖

251、表 162:KrF 深紫外光刻膠系列產品 資料來源:科華微官網,國盛證券研究所 2022 年 06 月 22 日 P.80 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 163:海外龍頭光刻膠產品發展歷程 資料來源:各公司官網,國盛電子整理,國盛證券研究所 復盤半導體光刻膠發展歷程,我們復盤半導體光刻膠發展歷程,我們總結出總結出以下以下結論結論: 1)光刻膠與工藝節點)光刻膠與工藝節點發展發展息息相關。息息相關。光刻膠合成工藝的演進是基于制程的不斷推進,在研發過程中需要與光刻機廠商密切合作。日本光刻膠從開始的模仿到在 KrF 膠實現超越,背后是半導體產業鏈向日本轉移、日本政府對半導體

252、產業鏈的大力扶持和日本光刻機廠商的崛起。當前背景下,先進節點技術開發速度有所放緩,國內半導體產業發展,國產化需求為中國企業帶來發展機遇。 2)日本光刻膠巨頭均起源于化工企業,本質上是早期光刻膠的底層技術和原材料與精)日本光刻膠巨頭均起源于化工企業,本質上是早期光刻膠的底層技術和原材料與精細化工的產物相同。細化工的產物相同。TOK 最早切入,主要系本土客戶培養本土供應鏈的需求;JSR 的切入背景是 1970 年代石油危機下化工企業利潤空間受到擠壓,從而尋求第二增長曲線;信越從硅化工業務切入是因為 1990s 日本國內經濟衰退,公司基于原有主營業務尋求協同發展。彤程新材是全球最大的輪胎用特種材料供

253、應商,生產和銷售的輪胎用高性能酚醛樹脂產品在行業內處于全球領導者地位。電子級酚醛樹脂對產品純度要求非常高,在KrF 光刻膠中,樹脂占總成本的超過 70%,且在生產樹脂中需要保證不同批次的高分子樹脂的分子量分布和性能都相差無幾,故而成膜樹脂的合成難度最高。目前彤程新材已成功自主開發電子級酚醛樹脂,在光刻膠、環氧塑封料、覆銅板等領域均有布局,并通過部分客戶的認證,開始批量供應。 3)光刻膠公司與下游客戶綁定緊密,)光刻膠公司與下游客戶綁定緊密,同時布局光刻膠配套材料,客戶粘性強同時布局光刻膠配套材料,客戶粘性強。光刻膠存在一定的先發優勢,但技術壁壘并不至于無法突破,找到體系內符合要求的基團也可實現

254、突破。但光刻膠對半導體生產過程的穩定性至關重要,驗證周期長,下游客戶不會輕易更換。提升份額的途徑在于打入客戶新增產能供應鏈,以及配套材料的研發銷售,如 TOK生產顯影劑和邊緣去除劑,JSR生產底部抗反射涂料,信越生產石英掩模毛胚和硅抗反射涂層。彤程新材 I 線光刻膠和 KrF 光刻膠以批量供應于中芯國際、華虹宏力、長江存儲、華力微電子、武漢新芯、華潤上華等 13 家 12 寸客戶和 17 家 8 寸客戶,同時公司 2 萬噸光刻膠相關配套試劑項目,已進入潔凈間及機電設備的安裝高峰,預計 2022 年 6-7 月份能完成全部建設,將在下半年開始進入試生產。 2022 年 06 月 22 日 P.8

255、1 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 由貿易至上游制造,多元拓展豐富業務,打造平臺型企業。由貿易至上游制造,多元拓展豐富業務,打造平臺型企業。彤程新材自 1999 年成立,主要從事橡膠助劑商貿代理業務,在 2006 年逐步轉型上游制造,且直至現在,公司已經開拓了多個研發測試中心且投建多個國際化標準的生產基地;同時在 2019 年至今,公司再次開拓電子材料業務及可降解材料業務,實現公司初步戰略“一體兩翼、三大業務”的布局。 向上游延伸布局,研發實力及盈利能力齊升。向上游延伸布局,研發實力及盈利能力齊升。公司當前積極布局光刻膠(IC+面板)上游,自下而上的產業鏈整合一方面大幅提高公

256、司光刻膠研發實力,還將提升公司利潤水平,實現卡脖子材料突破的同時盈利豐厚。電子材料、可降解材料、及汽車/輪胎特種材料,彤程新材橫向在專業范圍內進行多品類拓展,縱向整合產業鏈上下游,已初步實現新材料平臺戰略。 多業務同步成長及拓展,打造平臺化目標。多業務同步成長及拓展,打造平臺化目標。復盤全球化學平臺型龍頭信越化學的成長,信越化學自始至終都堅持多元發展、產品/銷售/發展三位一體、以及使用最核心的技術實現上下游全鏈條打通的戰略進行發展及成長。而彤程新材當前也實現了三大業務的并駕齊驅,且與信越化學樣堅持上下游整合,基于同源技術的橫縱拓展,以及有產品終端向上反溯的基因,因此我們認為彤程新材同樣有望成為

257、中國內的大型平臺型廠商。 十十一一、CMP:突破重圍,國產化啟動:突破重圍,國產化啟動 CMP 化學機械拋光(Chemical Mechanical Polishing)工藝是半導體制造過程中的關鍵流程之一,利用了磨損中的“軟磨硬”原理,即用較軟的材料來進行拋光以實現高質量的表面拋光。通過化學的和機械的綜合作用,從而避免了由單純機械拋光造成的表面損傷和由單純化學拋光易造成的拋光速度慢、表面平整度和拋光一致性差等缺點。 圖表 164:CMP 拋光模塊示意圖 圖表 165:CMP 拋光作業原理圖 資料來源:華海清科招股說明書,國盛證券研究所 資料來源:華海清科招股說明書,國盛證券研究所 化學機械拋

258、光采用將機械摩擦和化學腐蝕相結合的工藝化學機械拋光采用將機械摩擦和化學腐蝕相結合的工藝: 化學腐蝕化學腐蝕 拋光液:拋光液:首先是介于工件表面和拋光墊之間的拋光液中的氧化劑、催化劑等于工件表面材料進行化學反應,在工件表面產生一層化學反應薄膜; 機械摩擦機械摩擦 拋光墊:拋光墊:然后由拋光液中的磨粒和由高分子材料制成的拋光墊通過機械作用將這一層化學反應薄膜去除,使工件表面重新裸露出來,然后再進行化學反應。 整個過程是化學作用與機械作用的交替進行,最終完成對工件表面的拋光,速率慢者整個過程是化學作用與機械作用的交替進行,最終完成對工件表面的拋光,速率慢者 2022 年 06 月 22 日 P.82

259、 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 控制拋光的速率??刂茠伖獾乃俾?。 CMP包括三道拋光工序,主要運用到的材料包括拋光墊、拋光液、蠟、陶瓷片等。不同工序根據目的的不同,分別需要不同的拋光壓力、拋光液組分、pH 值、拋光墊材質、結構及硬度等。CMP 拋光液拋光液和和 CMP 拋光墊拋光墊是是 CMP 工藝的核心要素,二者的性質影響工藝的核心要素,二者的性質影響著表面拋光質量。著表面拋光質量。而在 CMP 環節之中,也存在著各式不同的類別,例如鎢/銅及其阻擋層、鋁、STI、ILD 等。 集成電路工藝技術的每一次精進,都伴隨著集成電路工藝技術的每一次精進,都伴隨著 CMP 技術的不斷

260、深入。技術的不斷深入。隨著摩爾定律的延續,當制造工藝不斷向先進制程節點發展時對 CMP 技術的要求相應提高、步驟也會不斷增加,CMP 設備首先應用于 1988 年 IBM 公司 4M DRAM 芯片的制造,此后隨器件特征尺寸(CD)微細化、多層布線和新型材料出現,CMP 技術的重要性不斷凸顯,首先用于后道工藝金屬間絕緣介質(IMD)層的平坦化,之后用于金屬鉤(W)的平坦化,近年來又用于淺溝槽隔離(STI)和銅(Cu)的平坦化。 STI(Shallow Trench Isolation)即淺溝槽隔離層,他的作用主要是用氧化層來隔開各個門電路(Gate) ,使各門電路之間互不導通。STI CMP

261、這就是將晶圓表面的氧化層磨平,最終正好使SIN暴露出來。Oxide CMP包括了ILD CMP及IMD CMP,主要是將氧化硅(Oxide)磨平至一定厚度,實現平坦化。 圖表 166:CMP 示意圖 資料來源:SMIC,化學機械拋光制程簡介,國盛證券研究所 在鎢、銅、Poly 等各類 CMP 環節之中,其實本質上都是將電門之間的縫隙填充完后,對于不同部分的研磨,使晶圓表面實現平坦化或者使需要暴露出來的材質正好暴露在外。 圖表 167:鎢 CMP 流程示意圖 資料來源:SMIC,化學機械拋光制程簡介,國盛證券研究所 2022 年 06 月 22 日 P.83 請仔細閱讀本報告末頁聲明請仔細閱讀本

262、報告末頁聲明 圖表 168:Poly CMP 流程示意圖(Poly 為 P2) 資料來源:SMIC,化學機械拋光制程簡介,國盛證券研究所 研磨研磨材料更加豐富,材料更加豐富,CMP 需求增加需求增加。9065nm 節點,隨著銅互連技術和絕緣材料低 k 介質的廣泛采用,CMP 的研磨對象主要是銅互連層、絕緣膜和淺溝槽隔離。28nm 后,邏輯器件的晶體管中引入高 k 金屬柵結構(HKMG) ,從而推動了虛擬柵開口 CMP 工藝和替代金屬柵 CMP 工藝兩種關鍵平坦化工藝的發展。在 22nm 開始出現的 FinFET 晶體管增加了虛擬柵平坦化工藝,也是實現后續 3D 結構刻蝕的關鍵技術。先進制程節點

263、發展至 7nm 以下時,芯片制造過程中 CMP 的應用在最初的氧化硅 CMP 和鎢 CMP 基礎上新增了包含氮化硅 CMP、鰭式多晶硅 CMP、鎢金屬柵極 CMP 等先進 CMP 技術,所需的拋光步驟也增加至 30 余步,大幅增加了集成電路制造過程中對 CMP 設備的采購和升級需求。 圖表 169:拋光步驟隨邏輯芯片技術進步增加 圖表 170:9-11 層金屬結構 Cu CMP 的示意圖 資料來源:卡博特微電子,國盛證券研究所 資料來源:拓荊科技招股書,國盛證券研究所 根據 TECHCET,全球 CMP 材料市場規模在 2021 年達到超過 30 億美金,其中拋光墊市場規模約 11.3 億美金

264、,拋光液市場規模 14.3 億美金,預計 CMP 材料市場 2022 年同比增長 9%至 33 億美金。 2022 年 06 月 22 日 P.84 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 171:全球 CMP 材料市場規模(百萬美金) 圖表 172:2021 年全球 CMP 材料市場規模及占比(億美金) 資料來源:TECHCET,國盛證券研究所 資料來源:TECHCET,國盛證券研究所 目前市場上拋光墊目前主要被陶氏化學公司所壟斷,市場份額達到 90%左右,其他供應商還包括日本東麗、3M、臺灣三方化學、卡博特等公司,合計份額在 10%左右。拋光液方面,目前主要的供應商包括日本

265、 Fujimi、日本 HinomotoKenmazai,美國卡博特、杜邦、Rodel、Eka、韓國 ACE 等公司,占據全球 90%以上的市場份額,國內這一市場主要依賴進口,國內僅有部分企業可以生產,但也體現了國內逐步的技術突破,以及進口替代市場的巨大。 CMP 拋光液環節,美國廠商美國廠商 Cabot 以及 Dow 共占據了約 42%的市場份額; CMP 拋光墊方面,美國廠商美國廠商 Dow 以及 Cabot 共占據了約 88%的市場份額。 圖表 173:拋光液主要生產企業 圖表 174:拋光墊主要生產企業 資料來源:SEMI,國盛證券研究所 資料來源:SEMI,國盛證券研究所 鼎龍股份鼎龍

266、股份國內國內 CMP 拋光墊龍頭拋光墊龍頭,多產品維度突破,多產品維度突破。鼎龍股份成立于 2000 年,二十余年來始終重視技術整合和技術平臺,已打造七大技術平臺。2013 年全面完整開啟彩色聚合碳粉全球布局。2013 年起延伸布局拓展至集成電路行業,著重研發 CMP 拋光墊。當前公司在 CMP 拋光墊領域已經實現全制程、全節點覆蓋,在 2021 年已成為部分客戶一供,國內領先優勢顯著,未來隨著客戶產能持續釋放,及公司份額提升,拋光墊業務有望迎來持續放量增長。CMP 拋光液方面,公司氧化層拋光液產品在 2022 年取得小量訂單,Al 制程拋光液進入客戶噸級采購階段,客戶端取得突破性進展;氧化層

267、拋光液獲得國內主流晶圓廠客戶 20 噸訂單。此外公司實現自主制備拋光液核心原材料研磨粒子,一方面打破海外壟斷,同時提升了盈利能力。清洗液方面公司已取得 Cu 制程拋光墊, 11.3, 37%拋光液, 14.3, 47%鉆石碟, 4.7, 16% 2022 年 06 月 22 日 P.85 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 CMP 清洗液小量訂單。 柔顯及其他材料蓄勢待發,打開新增長極。柔顯及其他材料蓄勢待發,打開新增長極。YPI 方面,公司 2021 年完成客戶驗證并實現近千萬營收,2022 年跟隨下游 AMOLED 廠稼動率提升,公司 YPI 或進一步放量。公司預計 2025

268、 年 PSPI 國內市場規模有望達到 35 億元,TFE-INK 接近 10 億元,當前行業被海外壟斷背景下,鼎龍PSPI、TFE-INK產品中試結束且客戶驗證良好。我們認為公司在第一成長線 CMP Pad 逐步在國內外同步發力期間,其他電子材料產品有望逐步推動公司天花板及未來空間的發展,打開第二/三增長曲線。 安集科技成立于 2006 年 2 月,堅持自主創新,長期致力于為集成電路行業提供匯集創新驅動、高性能及成本優勢的產品和技術解決方案。當前公司成功打破了國外當前公司成功打破了國外廠商對集廠商對集成電路領域化學機械拋光液的壟斷,實現了進口替代,使我國具備在該領域的自助供成電路領域化學機械拋

269、光液的壟斷,實現了進口替代,使我國具備在該領域的自助供應能力。應能力。公司主營業務為關鍵半導體材料半導體材料的研發和產業化,目前產品包括不同系列的化化學機械拋光液學機械拋光液和光刻膠去除劑光刻膠去除劑,主要應用于集成電路制造和先進封裝領域。 研磨液多產品得以突破,緊跟行業多面拓展。研磨液多產品得以突破,緊跟行業多面拓展。當前公司拳頭產品銅(含阻擋層)已經在多方客戶實現突破,14nm 穩定量產的同時,10-7nm 逐步突破,并且突破邏輯、存儲兩大領域。此外公司鎢研磨液已在長存得到應用,也在積極配合客戶實現二氧化鈰的驗證。在光刻膠去除劑方面,公司光刻膠去除劑已量產并且持續擴大應用;28nm 技術節

270、點后段硬掩模工藝光刻膠去除劑的驗證工作正在按計劃進行,以加快實現國產化供應;14nm 技術節點后段蝕刻殘留物去除劑的研究仍在按計劃進行。 國內需求巨大,客戶國內需求巨大,客戶+品類同步拓展,滲透率提升帶動營收利潤天花板激增。品類同步拓展,滲透率提升帶動營收利潤天花板激增。隨著內資晶圓廠擴產加速,制程提高,對于拋光液的用量得到了明顯的提升。安集科技從銅向鎢,鎢向氧化物方向的品類推展將近一步實現國產替代,完成對自身潛力的進一步提高。 十二、硅片:十二、硅片: “第四次硅含量提升周期” ,全球硅片需求大幅“第四次硅含量提升周期” ,全球硅片需求大幅提升提升 12英寸硅片主要用于英寸硅片主要用于65n

271、m以下節點,也是臺積電千億資本開支主要投資領域。以下節點,也是臺積電千億資本開支主要投資領域。12英寸硅片主要用于制程節點較為先進的產品,根據 SUMCO 估計,目前 12 英寸硅片需求中接近80%都是用于65nm以下較為先進的制程。從硅片的直接下游晶圓廠來看,臺積電 2021 年 4 月宣布的三年千億美金資本開支,其中 2021 年的超 300 億美金資本開支中,80%用于先進制程,包括3/5/7nm;2022年CaPex指引400-440億美金,其中70-80%用于先進制程,包括2/3/5/7nm。中芯國際表示,公司 2022年 12英寸產能增長將遠遠超過 2021年。聯電 2021年起的

272、三年計劃投資1500億新臺幣(約合54.1億美元)用于臺灣省 12A 廠 P5、P6 的擴產。華虹三座 8 英寸廠 2021 年全年滿產,無錫 12 英寸廠產能持續爬坡,2022 年月產能預計由年初的 6.5 萬片提升至年底 9.5 萬片。 邏輯芯片和存儲是邏輯芯片和存儲是 12 英寸需求增長主要驅動力。英寸需求增長主要驅動力。根據 SUMCO 估計,按終端應用領域來看,智能手機和數據中心是 12 英寸硅片需求的兩個最大來源,同時也是到 2025 年12 英寸硅片需求絕對值增長最大的部分。5G、遠程辦公等數字化需求使得全球產生的數據量發生爆炸式增長,從而推動了智能手機和數據中心對存儲和邏輯芯片

273、需求的增長。 2022 年 06 月 22 日 P.86 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 175:按終端應用領域分的 12 英寸硅片需求(千片/月) 資料來源:SUMCO(2021Q4),國盛證券研究所 SUMCO 預測,全球 12 英寸拋光片 2021 年到 2025 年月產能將由 443.9 萬片增長到555.4 萬片,CAGR 5.8%,外延片由 236.9 萬片增長至 268.2 萬片,CAGR 3.2%。 圖表 176:全球 12 英寸拋光片及外延片需求(千片/月) 資料來源:SUMCO(2021Q3),國盛證券研究所 物聯網、汽車電動化物聯網、汽車電動化等趨

274、勢帶動等趨勢帶動 8英寸英寸硅片硅片需求需求增長增長。按照產品來分,全球8英寸晶圓代工產能中 CIS 占比最高,達到 22%,模擬芯片及功率分立器件分列二、三位,占比分別為 19%、16%,此外顯示驅動芯片(占比 11%) 、MCU(占比 10%)與指紋識別傳感器(占比 6%)同樣占據重要地位?;谏鲜鲂酒男枨箢A測,在不考慮主要產品大規模轉移至 12 英寸平臺的假設下,預計 2021-2025 年全球 8 英寸代工需求有望實現3.5%的年復合增長率,主要基于:1)物聯網快速滲透,人工智能裝置的數量提升帶動指紋識別產品、電源芯片、智能設備 MCN 等需求快速增長;2)汽車電動化及 ADAS 的

275、快速發展帶動 CIS、功率器件等需求提升;3)疫情后汽車/工業/消費電子等終端市場需求復蘇。 2022 年 06 月 22 日 P.87 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 177:2020 年全球 8 英寸晶圓代工廠下游需求分布 圖表 178:全球 8 英寸晶圓下游產能需求預測(等效 8 寸片,千片/月) 資料來源:Omdia,Semico,國盛證券研究所 資料來源:Omdia,Semico,國盛證券研究所 中國大陸廠商是中國大陸廠商是 8英寸晶圓擴產主力軍英寸晶圓擴產主力軍。SEMI預計 20202024年全球 8英寸晶圓廠的產能將提高 17,達到每月 660萬個晶圓的

276、歷史新高,并預計到 2021年年底,中國大陸 8 英寸的產能將居全球領先地位,市場份額將達到 18。從集微網統計的國內晶圓廠產能及擴產計劃來看,國內 8 英寸 2020 年產能約 74 萬片/月,總計劃產能達到 135萬片/月。 圖表 179:全球 8 英寸晶圓廠產能情況(千片/月) 資料來源:SEMI,國盛證券研究所 行業新增產能有限,下游硅片庫存連續下降。行業新增產能有限,下游硅片庫存連續下降。從當前全球半導體硅片實際供應量來看,SUMCO 估計 2021Q4 全球 8 英寸硅片月出貨量約 600 萬片,12 英寸硅片月出貨量接近800 萬片。在下游需求非常旺盛的情況下,硅片出貨量在 20

277、21 年三四季度呈現持平的情況,也反映了全球硅片產量當前幾乎達到了頂點,少有新增產能貢獻。而從客戶 12英寸硅片庫存來看,客戶每月的硅片投入量均大于購買量,2021 年硅片庫存已經呈現連續 15 個月下降。SUMCO 估計 2022Q1 客戶庫存天數已經下降到僅 1 個月。 CIS, 22%功率分立器件, 16%模擬集成電路, 19%顯示驅動, 11%指紋識別傳感器, 6%MCU, 9%其他, 17%05,00010,00015,00020,00025,00030,00035,00040,000201920202021E2022E2023E2024E2025E其他MCU指紋識別傳感器顯示驅動模

278、擬集成電路功率分立器件CIS 2022 年 06 月 22 日 P.88 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 180:全球 8 英寸硅片季度出貨預測(千片/月) 圖表 181:全球 12 英寸硅片季度出貨預測(千片/月) 資料來源:SUMCO,國盛證券研究所 資料來源:SUMCO,國盛證券研究所 圖表 182:晶圓廠 12 英寸硅片庫存 資料來源:SUMCO,國盛證券研究所 五大家擴產計劃于五大家擴產計劃于 2021 年下半年年下半年才陸續才陸續推出,新增產能至少推出,新增產能至少 2023 年下半年才能開年下半年才能開出出: 信越化學:信越化學:根據 2021 年 11

279、月日本化學工業日報,為滿足下游增長的邏輯芯片需求,信越化學計劃擴產半導體硅片。 Sumco(勝高勝高) :) :2021 年 9 月底宣布將投資 2287 億日元(約 126 億人民幣) ,其中約 111 億用于在原來日本佐賀縣旁邊新建 12 英寸硅片廠房,剩下的用于日本境內的子公司擴產,2022年開始建設,預計2023年下半年逐步投產,2025年達產; 臺塑勝高科技(臺塑與勝高合資公司) :臺塑勝高科技(臺塑與勝高合資公司) :2021 年 11 月底宣布投資 282 億新臺幣(約 64 億人民幣)在臺灣云林縣擴產,預計 2024 年開始投產,臺塑勝高 2021 年產能為 12 英寸硅片 3

280、0 萬片/月,8 英寸 33 萬片/月; 環球晶圓:環球晶圓:2022年 2月收購 Sitronic宣告失敗后,將原規劃用于收購案的資金,將轉為資本支出及營運周轉使用,計劃未來三年(2022-2024 年)投資 36 億美金(230 億人民幣)擴產,其中 20 億美金用于 Greenfield(新廠) ,16 億美金用于Brownfield(現有設施擴產) ,現有設施的額外產能將從 2023 年下半年開始上線,而新工廠將于 2024 年投入運營; Siltronic(世創) :(世創) :2021 年 10 月公告,計劃到 2024 年底投資約 20 億歐元(約 2022 年 06 月 22

281、日 P.89 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 141 億人民幣)用于在新加坡擴產 300mm 硅片。 SK Siltron:2022年 3月公告,計劃投資 12億美金擴產 300mm硅片,于 2022年上半年開始建設,計劃在 2024 年上半年開始量產。 因此因此 SUMCO 預測至少到預測至少到 2023 年底,全球年底,全球 12 英寸的供需失衡都無法英寸的供需失衡都無法得到得到緩解。緩解。 圖表 183:全球 12 英寸硅片供需情況 資料來源:SUMCO,國盛證券研究所 SUMCO:所有產能已被長協訂單訂滿至:所有產能已被長協訂單訂滿至 2026 年年 SUMCO 20

282、21Q4 報繼續靚麗。報繼續靚麗。SUMCO 在 2021Q4 實現收入 912 億日元,同比增長25.7%,環比增長1.9%,超過公司此前預期的885億營收。2021Q4毛利率達到25.2%,凈利率 15.5%。展望 2022Q1,公司預計營收達到 990 億日元,環比提升 8.6%。公司認為 2021Q4 和 2022Q1 的營收增長主要來源于價格提升,2021 年全年公司 12 英寸硅片價格提升了約 10%,由于公司 12 英寸幾乎全部是長協客戶,故 2021 年公司 12 英寸價格的提升主要來源于原有長協訂單價格的提升而非現貨價格提升。 2021Q4:下游邏輯和存儲對 300mm 硅片

283、需求仍然非常旺盛,供應緊張持續;200mm及以下規格的硅片同樣由于汽車電子、消費及工業需求,行業同樣供不應求,這也與我們多次強調的物聯網、工業與汽車是第四次硅含量提升核心應用相互驗證。價格方面,價格方面,公司已有長協訂單價格不變,12 英寸和 8 英寸產品現貨價格持續走高。 2022Q1:12 英寸及 8 英寸硅片供需失衡延續。價格方面,價格方面,12 英寸英寸 Greenfield 的長的長協訂單協訂單2022年就已經開始簽訂。不同客戶價格有差異,但總體來講長協訂單的價格設年就已經開始簽訂。不同客戶價格有差異,但總體來講長協訂單的價格設計是在未來幾年階梯式上升的,并預計在計是在未來幾年階梯式

284、上升的,并預計在 2024 年達到價格高點,年達到價格高點,2025-2026 年持平。年持平。公司在 8 寸產能方面沒有更多投資,因此價格預計跟隨市場價格提升。 臺塑勝高方面,公司計劃擴產量接近 SUMCO 擴劃擴產產能的一半,此前臺塑勝高的接近 100%的訂單都來自于現貨市場,未來(包括擴產后)公司長協訂單將占 50%。 長期來看,長期來看,SUMCO 2026 年之前的全部產能擴張及新增產能建設都已經被長協訂單訂年之前的全部產能擴張及新增產能建設都已經被長協訂單訂完!完!公司 2022-2023 年到期的 12 英寸長協訂單客戶,幾乎都在 2022 年會重新跟客戶簽訂長約。 2022 年

285、 06 月 22 日 P.90 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 184:SUMCO 季度及同比增速 圖表 185:SUMCO 毛利率及凈利率 資料來源:彭博,國盛證券研究所 資料來源:彭博,國盛證券研究所 信越化學:硅片產能持續滿產,電子材料業務穩健增長信越化學:硅片產能持續滿產,電子材料業務穩健增長 信越 CY21Q4 財報顯示其電子材料業務營收 15.9 億美金,同比增長 11.7%,營業利潤5.7 億美金,同比增長 12.8%,營業利潤率達到 35.9%。信越化學認為從宏觀環境,包括地緣政治因素,以及近期全球芯片緊缺使得下游客戶庫存水平未來將高于目前的標準。此外公

286、司認為 2022 年有望成為元宇宙投資元年,下游高速通信、智能汽車以及碳中和等領域將帶來電子終端設備的快速增長。 硅片硅片擴產方面,公司遵循根據客戶訂單進行逐步擴產的原則,目前公司產能持續滿產,擴產方面,公司遵循根據客戶訂單進行逐步擴產的原則,目前公司產能持續滿產,但仍不能滿足客戶需求。但仍不能滿足客戶需求。2022 和 2023 年的原有設施擴產比較有限,新廠建設只能從2024年才能開始貢獻產能,因為公司認為 300mm硅片供不應求將持續一段時間。短期內產能無法快速提升,設備供應商產能同樣受限。2022 年有部分客戶在提價的同時獲得更多產能,2023 年長協到期的合同比 2022 年少,價格

287、會跟產量同步增長,2024 年由于新產線的開出,公司預計價格會更上一個臺階。 圖表 186:信越化學電子材料季度營收及增速 圖表 187:信越化學電子材料季度盈利水平及資本投資情況 資料來源:彭博,國盛證券研究所 資料來源:彭博,國盛證券研究所 -30%-20%-10%0%10%20%30%40%40045050055060065070075080085013Q1 14Q1 15Q1 16Q1 17Q1 18Q1 19Q1 20Q1 21Q1主營業務收入(百萬美金)yoy0%5%10%15%20%25%30%35%40%14Q1 14Q4 15Q3 16Q2 17Q1 17Q4 18Q3 19

288、Q2 20Q1 20Q4 21Q3毛利率凈利率0%2%4%6%8%10%12%14%16%1212.51313.51414.51515.51616.520Q220Q320Q421Q221Q321Q4電子材料營收(億美金)yoy05010015020025030034.6%34.8%35.0%35.2%35.4%35.6%35.8%36.0%36.2%36.4%20Q220Q320Q421Q221Q321Q4電子材料資本投入(百萬美元)電子材料營業利潤率 2022 年 06 月 22 日 P.91 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 188:臺勝科月度營收情況 資料來源:Wi

289、nd,國盛證券研究所 環球晶圓:環球晶圓:2022 年年 ASP 預計進一步提升,預計進一步提升,2024 年前產能均已售罄年前產能均已售罄 2021Q4及全年營收創歷史新高。及全年營收創歷史新高。得益于產能利用率提升、ASP增加以及產品結構優化,公司2021Q4實現營收158億新臺幣,同比增長11.4%,環比連續九個季度增長。2021年全年營收 611 億新臺幣,同比增長 10.4%。2021Q4 單季度毛利率創歷史新高,達到41.3%,全年毛利率 38.1%,達到歷史第二高水平。公司所有尺寸、所有型號的產能自2021年中開始都持續滿載,新增訂單價格上升,截至 2021 年底公司預收款達到

290、286 億新臺幣(約 10億美金) ,單四季度新增預收款 62億新臺幣。公司看到 2022年預收款仍在持續增加,并預計 2022 年仍將保持產能滿載,ASP 增加以及產品結構優化。2022 年前兩個月,公司分別營收 52.16/53.56 億新臺幣,同比增長 14.67%/16.15%。 下游需求旺盛,下游需求旺盛,36 億美金億美金資本開支全球資本開支全球擴產。擴產。公司計劃總資本開支 36億美金用于新建產能及原有設施擴產。歐洲:歐洲:意大利子公司 MEMC SPA,在原來的晶體生長模塊規劃基礎上,增加新的 12 英寸拋光和外延片硅片模塊,共同構成公司在意大利的第一條完整的 12 英寸硅片產

291、線,預計 2023Q2 開始運行。歐洲除意大利外,丹麥產線也將進行擴產;美國:美國:擴產 300mm SOI 及 SiC 外延片;日本:日本:擴產 300mm 退火片以及外延片;韓國:韓國:建設新硅片廠;中國臺灣:中國臺灣:擴產高端 300mm 外延片,并會大規模擴產 SiC 和GaN 產能。 2024 年前產能均已售罄。年前產能均已售罄。公司 2022、2023、2024 年產能已基本售罄,現有設施的擴產幾乎都被長協訂單覆蓋。展望 2022年,ASP預計較 2021年進一步提升,從二季度開始還會有新的長協訂單增加。由于公司收購 Siltronic 的計劃于今年 2 月才被德國政府否決,因此與

292、客戶在新建產能(Greenfield)的長協訂單仍在商定中,目前新廠尋址暫時未定,仍需幾個月時間確定,但與設備等供應商的協商已經在同步進行中。 6789101112131415Jan-14 Aug-14 Mar-15 Oct-15 May-16 Dec-16 Jul-17Feb-18 Sep-18 Apr-19 Nov-19 Jun-20 Jan-21 Aug-21 Mar-22單月營收(億元新臺幣) 2022 年 06 月 22 日 P.92 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 189:環球晶圓季度營收及同比增速 圖表 190:環球晶圓盈利水平 資料來源:彭博,國盛證券研

293、究所 資料來源:彭博,國盛證券研究所 2021 年年全球硅全球硅片出貨面積及營收雙創新高片出貨面積及營收雙創新高。根據 SEMI 統計,全球整體來看,2021 年半導體硅片營收規模達到 126 億美元,出貨面積達到 14,165 百萬平方英尺。硅片已成為數字化轉型及新技術發展的重要承載體,受下游汽車、工業、物聯網等旺盛需求驅動,SEMI 預計 2024 年全球出貨面積增長到 16,037 百萬平方英尺。根據 SEMI 出貨面積及營收規模測算出的單位面積價格來看,2021年單位平方英尺價格為 0.89美金,較2020年 0.90 美金略有下降,根據圖 36 和 37 SUMCO 估計的硅片出貨量

294、,我們認為主要是由于硅片尺寸出貨結構的變化所致。 圖表 191:全球硅片營收規模(億美元,%) 圖表 192:全球硅晶圓出貨面積(百萬平方英寸,%) 資料來源:SEMI,國盛證券研究所 資料來源:SEMI,國盛證券研究所 -20%-15%-10%-5%0%5%10%15%20%25%30%35%40042044046048050052054056058018Q118Q319Q119Q320Q120Q321Q121Q3主營業務收入(百萬美金)yoy0%5%10%15%20%25%30%35%40%45%18Q118Q319Q119Q320Q120Q321Q121Q3毛利率凈利率-50%-40%-

295、30%-20%-10%0%10%20%30%40%50%0204060801001201402001 2003 2005 2007 2009 2011 2013 2015 2017 2019 2021全球半導體硅片營收規模(億美元)yoy-40%-30%-20%-10%0%10%20%30%40%50%02000400060008000100001200014000160002001 2003 2005 2007 2009 2011 2013 2015 2017 2019 2021全球半導體硅晶圓出貨面積(百萬平方英寸)YoY(%) 2022 年 06 月 22 日 P.93 請仔細閱讀本報告

296、末頁聲明請仔細閱讀本報告末頁聲明 圖表 193:不同尺寸半導體硅片的市場份額預測 圖表 194:全球硅片平均單價及增速 資料來源:滬硅產業定增募集說明書,SEMI,國盛證券研究所 資料來源:SEMI,國盛證券研究所 復盤半導體硅片價格,復盤半導體硅片價格,2009-2011 年在后金融危機影響下,全球主要硅片制造商取消擴產計劃導致供給端收縮,因此硅片價格呈小幅上升趨勢。但 2012 年開始,硅片價格開始不斷下滑,硅片價格由 2012 年的 0.96 美元/平方英寸下降至 2016 年的 0.67 美元/平方英寸,主要由于制造商擴產計劃順利實施使得硅片市場產能過剩。在經歷了六年的持續下滑后,硅片

297、價格在 2017 年重回上升通道,2017-2019 年硅片價格由 0.74 美元/平方英寸上漲至0.95美元/平方英寸,主要由于新能源汽車等新興市場快速發展、5G手機的快速滲透帶來半導體終端市場需求強勁,市場供需結構發生變化。 我們認為,當前或再現我們認為,當前或再現 2016-2018 年上一輪全球半導體硅片需求和供給的“剪刀差”年上一輪全球半導體硅片需求和供給的“剪刀差” 。上一輪硅片供需失衡在 2016-2018 年,根據 SEMI 數據,彼時硅片單位面積價格上漲了33.5%,半導體硅片廠商營收及盈利水平實現了快速提升。我們認為此輪行業供需失衡有望再現上一輪硅片供需“剪刀差”帶來的硅片

298、漲價,從硅片龍頭廠商近期營收及法說會口徑可以看出,信越化學、SUMCO、GlobalWafers 等營收及業績預期強勁,當前新增產能有限背景下,漲價是重要營收驅動因素。同時海外龍頭新增產能均預計在 2023年下半年才能陸續開始爬坡,行業長協訂單比重增加,我們判斷當前硅片行業供需失衡將至少持續至 2023 年底。 圖表 195:2016-2018 年半導體硅片廠商盈利水平快速提升 資料來源:SEMI,國盛證券研究所 從中國臺灣硅片進口數據也可以看到,上一輪“硅片剪刀差”2016-2018 年 12 英寸硅片價格提升明顯。本輪價格也有逐步提高的趨勢,2021 年 12 月 ASP 較 2021 年

299、 1 月提升了5.1%,進入2022年價格提升更加明顯,2022年2月ASP較2021年12月13.2%??紤]到臺積電目前主要晶圓廠均位于中國臺灣,臺積電作為全球晶圓代工龍頭,具有較0.941.401.241.421.191.251.401.401.001.041.090.960.830.750.690.670.740.900.950.900.89-40%-30%-20%-10%0%10%20%30%40%50%60%00.20.40.60.811.21.41.62001 2003 2005 2007 2009 2011 2013 2015 2017 2019 2021ASP(美元/平方英尺)

300、yoy 2022 年 06 月 22 日 P.94 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 強的議價能力,因此全球來看硅片價格提升具有較高的確定性。 圖表 196:中國臺灣 12 英寸及以上硅片月度進口價格及趨勢 資料來源:中國臺灣財政部門,國盛證券研究所 圖表 197:中國臺灣 12 英寸及以上硅片進口量(萬片/月) 圖表 198:中國臺灣 8”及以上 12”(不含)以下硅片進口量(萬片/月) 資料來源:中國臺灣財政部門,國盛證券研究所 資料來源:中國臺灣財政部門,國盛證券研究所 十十三三、電子特氣:需求空間大,拉開進口替代序幕電子特氣:需求空間大,拉開進口替代序幕 電子特種氣體是

301、集成電路、顯示面板、光伏能源、光纖光纜等電子產業加工制造過程中不可或缺的關鍵材料,其市場規模保持高速發展。2010-2018 年,我國電子特氣市場規模復合增速達 15.3%,2018 年我國電子特氣市場規模達 121.56 億元。其中,半導體制造用電子特氣市場規模約 45 億元。根據前瞻產業研究院預測,2024 年我國電子特種氣體市場規模將達到 230億元,2018-2024年復合增速將達11.2%。電子特氣將為中國新興產業的發展注入新動力。 050100150200250中國臺灣12英寸及以上硅片進口量12寸及以上硅片進口量(萬片/月)3個月移動平均050100150200250300中國臺

302、灣8及以上12(不含)以下進口量8及以上12(不含)以下硅片進口量(萬片/月)3個月移動平均 2022 年 06 月 22 日 P.95 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 199:我國電子特氣市場規模(億元) 圖表 200:高純電子特氣市場格局(按應用) 資料來源:前瞻產業研究院,國盛證券研究所 資料來源:前瞻產業研究院,國盛證券研究所 電子特氣按照用途可分為蝕刻及清洗氣體、成膜氣體、摻雜氣體三大類。在半導體集成電路中,電子氣體主要應用于蝕刻、摻雜、CVD、清洗等。在晶圓制程中部分工藝涉及氣體刻蝕工藝的應用,主要涉及 CF4、NF3、HBr等;摻雜工藝即將雜質摻入特定的半

303、導體區域中以改變半導體的電學性質,需要用到三階氣體 B2H6、BF3以及五階氣體 PH3 、AsH3等;在硅片表面通過化學氣相沉積成膜(CVD)工藝中,主要涉及 SiH4、SiCl4、WF6等。 在顯示面板產業中,在薄膜工序中需要通過化學氣相沉積在玻璃基板上沉積薄膜,需要使用 SiH4、PH3、NF3 、NH3等。在干法蝕刻工藝中,需要在等離子氣態氛圍中選擇性腐蝕基材,需要用到 SF6、HCl、Cl2等;在 LED 產業中,外延技術需要高純電子特氣包括高純砷烷、高純磷烷、高純氨氣,HCl和Cl2常常用做蝕刻氣;在太陽能光伏產業中,晶體硅電池片生產中的擴散工藝需要用到POCl3,減反射層等PEC

304、VD工藝需要用到 SiH4、NH3,蝕刻需要用到 CF4。薄膜太陽能電池在沉積透明導電膜工序中需要用到 B2H6等。 圖表 201:電子氣體分類 分類分類 包含氣體包含氣體 蝕刻及清洗氣體 Cl2、HCl、NF3、SF6、HBr、SiF4、CF4、CHF3、CH2F2、CH3F、CClF3、CHFCl2、C2ClF5、HF 等 成膜氣體 SiH4、SiHCl3、SiCl4、BBr3、Si2H6、GeH4、NH3、NO、N2O、WF6、BCl3等 摻雜氣體 AsH3、PH3、B2H6、AsCL3、AsF3、BF3、POCl3等 資料來源:巨化集團有限公司,國盛證券研究所 三氟化氮(NF3)是目前

305、應用最廣的電子特氣,占全球電子氣體產量約 50%。NF3在鹵化氮中最穩定,是一種強氧化劑。在離子蝕刻時裂解為活性氟離子,氟離子對硅化合物、鎢化合物有優異的蝕刻速率和選擇性。并且,三氟化氮在蝕刻時,蝕刻物表面不留任何殘留物,是良好的蝕刻、清洗劑。大量應用于半導體、液晶和薄膜太陽能電池生產工藝中。 2022 年 06 月 22 日 P.96 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 202:電子氣體分種類份額占比 資料來源:林德化工,國盛證券研究所 圖表 203:電子特氣在晶圓制造中的應用 資料來源:巨化集團有限公司,國盛證券研究所 兩個主要因素推進了我國電子特氣的需求高速增長。首先

306、,近年來電子氣體下游產業技術快速更迭。例如,集成電路領域晶圓尺寸從 6 寸、8 寸發展到 12 寸甚至 18 寸,制程技術從 28nm到 7nm;顯示面板從 LCD到剛性 OLED 再到柔性、可折疊 OLED 迭代;光伏能源從晶體硅電池片向薄膜電池片發展等。下游產業的快速迭代讓這些產業的關鍵性材料電子特氣的精細化程度持續提升。并且,由于全球半導體、顯示面板等電子產業鏈不斷向亞洲、中國大陸地區轉移,近年來以集成電路、顯示面板為主的電子特氣需求快速增長。我國集成電路 2010-2018 年銷售額復合增速達 20.8%,對電子特氣的需求帶來了持續、強勁的拉動。 2022 年 06 月 22 日 P.

307、97 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 204:我國集成電路產業銷售額 圖表 205:全球各地區 OLED 產能占比情況及預測 資料來源:SEMI,國盛證券研究所 資料來源:IHS,國盛證券研究所 根根據據 Techcet,預計,預計 2022 年全球電子氣體市場規模有望達到年全球電子氣體市場規模有望達到 70 億美金,其中特氣約億美金,其中特氣約50 億美金,億美金,電子電子大宗氣體大宗氣體 20 億美金。億美金。 圖表 206:全球電子特氣市場規模(億美金) 圖表 207:全球電子氣體市場規模及預測(百萬美元) 資料來源:SEMI,國盛證券研究所 資料來源:Techc

308、et,國盛證券研究所 海外龍頭在海外龍頭在大陸大陸占八成以上份額,國產替代空間巨大。占八成以上份額,國產替代空間巨大。根據 SEMI 及前瞻產業研究院,2010-2018 年我國電子特氣市場規模復合增速達 15.3%,2018 年我國電子特氣市場規模達 121.56 億元。其中,半導體制造用電子特氣市場規模約 45 億元。海外大型氣體公司占據了中國電子大陸特氣 80%以上的市場份額,美國空氣化工、德國林普、日本昭和電工、大陽日酸、法國液化空氣為份額最大的5家海外公司,其中CR3為75%左右,CR5 為 85%左右,國產替代空間巨大。 051015202530354045201320142015

309、2016201720182019 2022 年 06 月 22 日 P.98 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 208:我國電子特氣市場規模(億元) 圖表 209:我國電子氣體市場格局(2020 年) 資料來源:智研咨詢,國盛證券研究所 資料來源:前瞻產業研究院,國盛證券研究所 凱美特氣凱美特氣深耕氣體行業三十余年,盈利水平居行業前列。深耕氣體行業三十余年,盈利水平居行業前列。凱美特氣成立于 1991 年,以石油化工尾氣(廢氣) 、火炬氣為原料,生產和銷售工業氣體、醫用氣體、標準氣體、特種氣體、混合氣體、食品添加劑氣體、電子化學品等氣體產品。2018 年公司成立岳陽凱美特

310、電子特種稀有氣體公司,布局電子氣體,生產高純度二氧化碳、氦氣、氖氣、氬氣、氟氬氖、氟氪氖等半導體、面板、航天、醫療等領域急需的超高純氣體和多元混配氣。 公司高度保障原料供應,規避價格波動降低生產成本。公司高度保障原料供應,規避價格波動降低生產成本。公司生產多類超高純氣體和多元混配氣,這些電子特氣對應原料為二氧化碳、稀有氣體原氣等。公司針對各類原料的保障問題均作出布局: 二氧化碳:二氧化碳:公司自產的食品級二氧化碳質量穩定,產能充足,可以保證電子特氣用原料穩定供應; 稀有氣體:稀有氣體: 1)公司計劃在上游巴陵石化 9 萬空分新建裝置加裝稀有氣體提取裝置,預計未來實現稀有氣體氦氖氪氙的原料氣自供

311、。2)自2018年進入電子特氣行業以來,公司處于自身原料安全考慮已儲存了一部分稀有氣體原料,這部分原料可在自產稀有氣體開始供給前滿足公司的相關原料需求。 產品生產線布局循序漸進,充分利用公司自有優勢提升效益。產品生產線布局循序漸進,充分利用公司自有優勢提升效益。岳陽電子特氣項目于2020 年 7 月正式投產,目前已生產出的合格產品有:99.99996%二氧化碳、99.9999%氦氣、99.999%氖氣、99.9999%氬氣、99.999%氪氣、99.9995%氙氣、99.997%一氧化碳、99.9999%氮氣、99.9999%氫氣、氯化氫基準分子激光配氣、氟基準分子激光混配氣、動態激光混配氣,

312、已獲得生產許可的產能有氪壓縮的或液化的11750 Nm /年、氙壓縮的或液化的900Nm /年、氖壓縮的或液化的68000Nm /年等。同時,隨著宜章電子特氣項目建設公司將增加高純氯化氫、氟化氫、溴化氫、氘代系列氣體等,進一步完善公司產品體系。 0501001502002502015201620172018201920202024E中國電子特氣市場規模 2022 年 06 月 22 日 P.99 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 210:公司電子特氣項目建設規劃 項目項目 氣體氣體 產能產能 岳陽電子特氣項目 二氧化碳 360000Nm/年 一氧化碳 25000Nm/年

313、氪(壓縮的或液化的) 11750Nm/年 氙(壓縮的或液化的) 900Nm/年 氫 144000Nm/年 氮(壓縮的或液化的) 144000Nm/年 氦(壓縮的或液化的) 144000Nm/年 氬(壓縮的或液化的) 144000Nm/年 氖(壓縮的或液化的) 68000Nm/年 氟基激光混配氣 14000Nm/年 氯化氫基激光混配氣 3600Nm/年 動態混配氣 8600Nm/年 宜章電子特氣項目 氯化氫基激光混配氣、溴化氫、碘化氫、氟基混配氣、五氟化銻、三氟化氯、碳酰氟、乙炔、氘氣 資料來源:公司公告,國盛證券研究所 持續獲得龍頭客戶認證持續獲得龍頭客戶認證,混配氣有望開始放量。,混配氣有望

314、開始放量。公司各類電子特氣已通過或正進行在下游全球各大龍頭廠商處的認證,其中公司二氧化碳、氪、氙產品已于 2021 年 2 月通過法國液化空氣認證,公司用于 ExciStar 激光器的 193nm 激光混配氣已于 2021 年 9 月通過美國相干的設備測試,同時公司混配氣產品在阿斯麥、大陽日酸等廠商的認證仍在有序推進。參考公司氪、氙產品的認證與放量經歷,公司混配氣有望開始放量。 圖表 211:公司電子特氣產品認證情況 資料來源:公司公告,國盛證券研究所 稀有氣體稀有氣體產品放量勢頭強勁,產品放量勢頭強勁,成為成為公司公司盈利增長提供重要驅動力。盈利增長提供重要驅動力。2021 年公司實現高純(

315、99.999%)氪氣氙氣氖氣銷售共1938萬元,2022年3月22日公司簽訂價值4500萬元氖氣銷售合同,電子特氣業務有望成為公司利潤增長的又一重要驅動力。 2022 年 06 月 22 日 P.100 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 212:2021 年至今公司披露的電子特氣銷售訂單 披露披露日期日期 產品產品 金額(萬元)金額(萬元) 2021.3.17 氪氣 342.7 2021.5.14 氪氣 384.3 氪氣 225.2 2021.11.3 氙氣 424.7 2021.12.20 氪氣 506.0 氖氣 55.2 2022.3.22 氖氣 4500.0 資料來

316、源:公司公告,國盛證券研究所 十十四四、濕電子濕電子化學品:內資龍頭效應顯著化學品:內資龍頭效應顯著 濕電子化學品,也叫超凈高純試劑,為微電子、光電子濕法工藝制程中使用的各種電子化工材料。主要用于半導體、太陽能硅片、LED 和平板顯示等電子元器件的清洗和蝕刻等工藝環節。按用途主要分為通用化學品和功能性化學品,其中通用化學品以高純溶劑為主,例如氧化氫、氫氟酸、硫酸、磷酸、鹽酸、硝酸等;功能性化學品指通過復配手段達到特殊功能、滿足制造中特殊工藝需求的配方類或復配類化學品,主要包括顯影液、剝離液、清洗液、刻蝕液等。 濕電子化學品目前廣泛應用在半導體、平板顯示、太陽能電池等多個領域,濕電子化學品在半導

317、體晶圓制程中應用于晶圓清洗、刻蝕、顯影和洗滌去毛刺等工藝,在晶圓領域制造和封測領域應用分布廣。國際半導體材料和設備組織(SEMI)制定了 5 個超純凈試劑的國際分類標準,應用領域的不同對超純凈試劑要求的等級也不同,半導體領域要求的等級比平板顯示和光伏太陽能電池領域的要求高,基本集中在 SEMI3、G4 的水平,我國的超純凈試劑研發水平與國際水平上游差距,大多集中在 G2 的水平。 圖表 213:美國 SEMI 工藝化學品的國際標準等級 SEMI 標準 C1 Grade1 C7 Grade2 C8 Grade3 C12 Grade4 Grade5 金屬雜質/(g/L) 100 10 1 0.1

318、0.01 控制粒徑/m 1.0 0.5 0.5 0.2 * 顆粒個數/(個/mL) 25 25 5 雙方協定 * 適應 IC 線寬范圍/m 1.2 0.8-1.2 0.2-0.6 0.09-0.2 0.09 資料來源:SEMI,國盛證券研究所 全球的濕電子化學品市場大多被歐美和日本公司占據,其中歐美公司主要有 BASF、霍尼韋爾、ATMI、杜邦、空氣產品公司,營收合計占比 37%左右;日本公司主要有關東化學、三菱化學、京都化工、住友化學、宇部興產、森田化學等,營收合計占比 34%左右;臺灣地區和韓國公司主要有臺灣東應化、臺灣聯士電子、鑫林科技、東友、東進等,營收合計占比 17%左右。大陸企業主

319、要有浙江凱圣、湖北興福、上海新陽、蘇州 2022 年 06 月 22 日 P.101 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 晶瑞、江化微、江陰潤瑪、杭州格仕達、貴州微頓品磷等,營收占全球市場 10%左右,技術等級主要集中在 G2 以下僅有少部分企業達到 G4 以上標準。 在眾多工藝化學品企業中,上海新陽已成為先進封裝和傳統封裝行業所需電鍍與清洗化學品的主流供應商,其超純電鍍硫酸銅電鍍液已成功進入中芯國際、海力士的 28nm 大馬士革工藝制程,成為 Baseline產品,進入工業化量產階段;湖北興福電子材料有限公司磷酸、浙江凱圣氟化學有限公司氫氟酸等也都在 8-12 英寸工藝認證中取

320、得較好效果,即將投入量產應用。 十五十五、投資建議投資建議 15.1 設備設備 全球領先的晶圓代工廠將在全球領先的晶圓代工廠將在 20212023 年之間進行大規模的半導體設備投資,當前年之間進行大規模的半導體設備投資,當前的行業熱潮有望成為新的行業熱潮有望成為新一輪產業躍升的開端。一輪產業躍升的開端。根據 IC Insights,全球代工廠資本開支約占半導體總體的 35%,頭部代工廠 2022 年資本開支規劃進一步提升。臺積電 2021 年CapEx 300億美金(用于 N3/N5/N7 的資本開支占 80%) ,預計 2022年資本開支將提升至 400-440 億美金;聯電 2021 年

321、CapEx 18 億美金,預計 2022 年翻倍達到 36 億美金(其中 90%將用于 12 英寸晶圓) ;GlobalFoundries 于 2021 年 IPO 后資本開支大幅提升用于擴產,公司 2020 年 CapEx 4.5 億美金,2021 年提升至 16.6 億美金,預計 2022年超過 40 億美金;中芯國際 2021 年資本開支維持高位,達到 45 億美金(大部分用于擴成熟制程,尤其是 8 寸數量擴 4.5 萬片/月) ,預計 2022 年達到 50 億美金。 2021 年年全球半導體設備市場規模全球半導體設備市場規模創創 1026 億美元新高億美元新高,大陸,大陸首次占比全球

322、第一。首次占比全球第一。根據SEMI,2021 年半導體設備銷售額 1026 億美元,同比激增 44%,全年銷售額創歷史新高。大陸設備市場在 2013 年之前占全球比重為 10%以內,20142017 年提升至1020%,2018 年之后保持在 20%以上,份額呈逐年上行趨勢。2020-2021 年,國內晶圓廠投建、半導體行業加大投入,大陸半導體設備市場規模首次在市場全球排首位,2021達到296.2億美元,同比增長58%,占比28.9%。展望2022年,存儲需求復蘇,韓國預計將領跑全球,但大陸設備市場規模有望保持較高比重。 全球全球設備五強設備五強占市場主導角色占市場主導角色。全球設備競爭格

323、局,主要前道工藝(刻蝕、沉積、涂膠、熱處理、清洗等)整合成三強 AMAT、LAM、TEL。另外,光刻機龍頭 ASML 市占率80%+;過程控制龍頭 KLA 市占率 50%。根據 SEMI,ASML、AMAT、LAM Research、TEL、KLA 五大廠商 2021 年收入合計 788 億美元,占全球市場約 77%。 國內國產化逐漸起航,從國內國產化逐漸起航,從 0 到到 1 的過程基本完成。的過程基本完成。北方華創刻蝕、沉積、爐管持續放量;中微公司 CCP 打入 TSMC,ICP 加速放量;拓荊科技 PECVD 已廣泛用于國內知名晶圓廠 14nm 及以上制程產線;精測電子產品迭代加速,OC

324、D、電子束進展超預期;華峰測控訂單飽滿,新機臺加速放量;Mattson(屹唐半導體)在去膠設備市占率全球第二。盛美半導體、至純科技清洗設備逐步放量。精測電子、上海睿勵在測量領域突破國外壟斷。 2021 年及年及 2022Q1 設備收入、利潤快速增長,國產替代持續深化。設備收入、利潤快速增長,國產替代持續深化。設備行業核心公司(北方華創、中微公司、芯源微、至純科技、精測電子、長川科技、華峰測控、萬業企業、晶盛機電,拓荊科技及盛美上海由于 2020 年數據不完整未被算入)2022Q1 營業收入總計 72.7 億元,同比增長 55%;扣非歸母凈利潤 10.7 億元,同比增長 83%。設備行業持續處于

325、高速增長,國產替代空間快速打開,國內核心設備公司成長可期。 2022 年 06 月 22 日 P.102 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 設備國產化率較低,海外龍頭壟設備國產化率較低,海外龍頭壟斷性較高。斷性較高。大陸大陸 12 寸寸晶圓廠建廠潮帶動設備需求持續晶圓廠建廠潮帶動設備需求持續增長增長。我國半導體設備市場仍非常依賴進口,國內廠商潛在收入目標空間較大。我國半導體設備市場仍非常依賴進口,國內廠商潛在收入目標空間較大。 重點推薦:重點推薦:北方華創、芯源微、新益昌、北方華創、芯源微、新益昌、華海清科、華海清科、拓荊科技、華峰測控、拓荊科技、華峰測控、 中微公司、長川科技

326、、盛美上海、精測電子、至純科技、萬業企業。中微公司、長川科技、盛美上海、精測電子、至純科技、萬業企業。 15.2 材料材料 2021 年全球半導體材料市場規模創新高年全球半導體材料市場規模創新高,中國大陸需求占比,中國大陸需求占比 18.6%。根據 SEMI,強勁的下游需求及晶圓產能的擴張驅動 2021 年全球半導體材料市場規模同比增長 15.9%達到 643 億美金新高。其中晶圓制造材料和封裝材料市場規模分別為 404 億美金和 239億美金,同比增長 15.5%和 16.5%。晶圓制造環節中的硅片、化學品、CMP 和光掩膜環節是增速最快的幾大領域,而硅片也是晶圓制造中成本占比最高的環節,市

327、場規模超過 130 億美金。由于半導體芯片存在較大的價格波動,但是作為上游原材料的價格相對較為穩定,因此半導體材料可以被譽為半導體行業中剔除價格影響最好的參考指標之一。 半導體材料供應受限,國產替代進程加速。半導體材料供應受限,國產替代進程加速。從半導體材料方面來看,美國從原材料供應方面進行了限制,這直接致使例如 CMP 材料及電子特氣這類美國高市占率產品存在的斷供的可能性,進一步推動國產 CMP 及氣體廠商的需求及國產替代化進度。隨著半導體市場晶圓代工的持續擴產,對于晶圓制造中不可缺失的基礎材料將會有著非常大的需求拉動,而在此階段我們可以看到隨著技術及工藝的推進以及中國電子產業鏈逐步的完善,

328、在材料領域已經開始涌現出各類已經進入批量生產及供應的廠商。 各類材料持續持續突破,各類材料持續持續突破,國產替代空間廣闊國產替代空間廣闊。我們選取代表性公司鼎龍股份、雅克科技、凱美特氣、金宏氣體、滬硅產業、晶瑞股份、立昂微、興森科技、安集科技和彤程新材,2021 年電子材料營收綜合約為 98 億元人民幣,考慮到其他未收錄的非上市公司及上市公司,我我們展開樂觀假設:中國有著電子半導體材料營收規模們展開樂觀假設:中國有著電子半導體材料營收規模 150 億人民幣億人民幣(更多的為中低端產品,高端產品仍然在持續突破及替代) ,在當前在當前 643 億美元的全球億美元的全球市場之中也僅僅市場之中也僅僅

329、4%不到的替代率;在中國所需的產值約不到的替代率;在中國所需的產值約 119 億美元的市場需求中,億美元的市場需求中,也僅占也僅占 19%,因此可以看到中國無論是在中國市場或者全球市場之中,均有著巨大的國產化空間。 重點推薦:重點推薦:彤程新材、鼎龍股份、凱美特氣、興森科技、安集科技、滬硅產業、彤程新材、鼎龍股份、凱美特氣、興森科技、安集科技、滬硅產業、 雅克科技、立昂微、華特氣體、金宏氣體、晶瑞股份、南大光電。雅克科技、立昂微、華特氣體、金宏氣體、晶瑞股份、南大光電。 十六十六、風險提示風險提示 國產替代進展不及預期:國產替代進展不及預期:半導體設備及材料新技術難度較高,驗證周期較長,具有一

330、定的不確定性 全球貿易紛爭影響:全球貿易紛爭影響:全球貿易紛爭存在不確定性,尤其是科技領域競爭激烈,導致科技產業鏈具有不穩定性 下游需求不確定性:下游需求不確定性:全球經濟受疫情影響,下游需求存在不確定性 2022 年 06 月 22 日 P.103 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 免責聲明免責聲明 國盛證券有限責任公司(以下簡稱“本公司”)具有中國證監會許可的證券投資咨詢業務資格。本報告僅供本公司的客戶使用。本公司不會因接收人收到本報告而視其為客戶。在任何情況下,本公司不對任何人因使用本報告中的任何內容所引致的任何損失負任何責任。 本報告的信息均來源于本公司認為可信的公開資

331、料,但本公司及其研究人員對該等信息的準確性及完整性不作任何保證。本報告中的資料、意見及預測僅反映本公司于發布本報告當日的判斷,可能會隨時調整。在不同時期,本公司可發出與本報告所載資料、意見及推測不一致的報告。本公司不保證本報告所含信息及資料保持在最新狀態,對本報告所含信息可在不發出通知的情形下做出修改,投資者應當自行關注相應的更新或修改。 本公司力求報告內容客觀、公正,但本報告所載的資料、工具、意見、信息及推測只提供給客戶作參考之用,不構成任何投資、法律、會計或稅務的最終操作建議,本公司不就報告中的內容對最終操作建議做出任何擔保。本報告中所指的投資及服務可能不適合個別客戶,不構成客戶私人咨詢建

332、議。投資者應當充分考慮自身特定狀況,并完整理解和使用本報告內容,不應視本報告為做出投資決策的唯一因素。 投資者應注意,在法律許可的情況下,本公司及其本公司的關聯機構可能會持有本報告中涉及的公司所發行的證券并進行交易,也可能為這些公司正在提供或爭取提供投資銀行、財務顧問和金融產品等各種金融服務。 本報告版權歸“國盛證券有限責任公司”所有。未經事先本公司書面授權,任何機構或個人不得對本報告進行任何形式的發布、復制。任何機構或個人如引用、刊發本報告,需注明出處為“國盛證券研究所”,且不得對本報告進行有悖原意的刪節或修改。 分析師聲明分析師聲明 本報告署名分析師在此聲明:我們具有中國證券業協會授予的證

333、券投資咨詢執業資格或相當的專業勝任能力,本報告所表述的任何觀點均精準地反映了我們對標的證券和發行人的個人看法,結論不受任何第三方的授意或影響。我們所得報酬的任何部分無論是在過去、現在及將來均不會與本報告中的具體投資建議或觀點有直接或間接聯系。 投資評級說明投資評級說明 投資建議的評級標準投資建議的評級標準 評級評級 說明說明 評級標準為報告發布日后的 6 個月內公司股價(或行業指數)相對同期基準指數的相對市場表現。其中 A股市場以滬深 300 指數為基準;新三板市場以三板成指(針對協議轉讓標的)或三板做市指數(針對做市轉讓標的)為基準;香港市場以摩根士丹利中國指數為基準,美股市場以標普 500 指數或納斯達克綜合指數為基準。 股票評級 買入 相對同期基準指數漲幅在 15%以上 增持 相對同期基準指數漲幅在 5%15%之間 持有 相對同期基準指數漲幅在-5%+5%之間 減持 相對同期基準指數

友情提示

1、下載報告失敗解決辦法
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站報告下載后的文檔和圖紙-無水印,預覽文檔經過壓縮,下載后原文更清晰。

本文(電子行業深度:半導體設備&材料國產加速-220622(103頁).pdf)為本站 (奶茶不加糖) 主動上傳,三個皮匠報告文庫僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對上載內容本身不做任何修改或編輯。 若此文所含內容侵犯了您的版權或隱私,請立即通知三個皮匠報告文庫(點擊聯系客服),我們立即給予刪除!

溫馨提示:如果因為網速或其他原因下載失敗請重新下載,重復下載不扣分。
客服
商務合作
小程序
服務號
折疊
午夜网日韩中文字幕,日韩Av中文字幕久久,亚洲中文字幕在线一区二区,最新中文字幕在线视频网站