半導體設備深度:從招標數據看半導體設備國產化現狀-220719(48頁).pdf

編號:84209 PDF 48頁 1.55MB 下載積分:VIP專享
下載報告請您先登錄!

半導體設備深度:從招標數據看半導體設備國產化現狀-220719(48頁).pdf

1、 證券研究報告證券研究報告 請務必閱讀正文之后第請務必閱讀正文之后第 47 頁頁起起的免責條款和聲明的免責條款和聲明 從招標從招標數據數據看半導體設備國產化看半導體設備國產化現狀現狀 電子行業半導體設備深度專題2022.7.19 中信證券研究部中信證券研究部 核心觀點核心觀點 徐濤徐濤 科技產業聯席首席分析師 S1010517080003 張若海張若海 數據科技首席 分析師 S1010516090001 王子源王子源 半導體分析師 S1010521090002 我們通過統計部分典型晶圓廠的歷史招標數據,分析半導體設備各細分市場的我們通過統計部分典型晶圓廠的歷史招標數據,分析半導體設備各細分市場

2、的國產化現狀和國產廠商競爭格局。據我們測算,選取范圍內的三座典型晶圓廠國產化現狀和國產廠商競爭格局。據我們測算,選取范圍內的三座典型晶圓廠設備國產化率總體在設備國產化率總體在 17%左右左右,隨供應鏈本土化趨勢的發展,未來國產化率有,隨供應鏈本土化趨勢的發展,未來國產化率有望實現階躍式提升。望實現階躍式提升。在行業景氣持續、國產替代深入背景下,半導體設備公司在行業景氣持續、國產替代深入背景下,半導體設備公司持續有業績支撐。建議優先選擇賽道空間大、產品布局全面、技術實力較強的持續有業績支撐。建議優先選擇賽道空間大、產品布局全面、技術實力較強的龍頭設備廠商,以及份額尚低、受益國產替代有望快速成長的

3、細分賽道成長型龍頭設備廠商,以及份額尚低、受益國產替代有望快速成長的細分賽道成長型企業企業。2021 年全球半導體設備市場年全球半導體設備市場規模規模首破千億美元,中國大陸占約首破千億美元,中國大陸占約 29%,達到全球達到全球第一,下游擴產持續拉動設備需求。第一,下游擴產持續拉動設備需求。根據 SEMI 報告,2021 年全球半導體制造設備銷售額同比增加 44%達到 1026 億美元的歷史新高,預計到 2022 年將擴大到 1140 億美元。2021 年中國大陸半導體設備市場銷售額增長 58%,達到 296億美元,占全球市場約 28.9%,由于晶圓廠擴產加速,國內市場增速顯著高于全球。我們預

4、計后續中芯國際、合肥長鑫、華虹集團、長江存儲等國內主流晶圓廠均為擴產主力,多個新廠區項目將繼續拉動國內設備市場需求。設備國別比較:設備國別比較:設備國產替代加速。設備國產替代加速。從行業格局來看,美日歐廠商在半導體設備領域具備傳統優勢,占據半導體設備全球前 15 名席位。據我們測算,2021年中國中國大陸廠商營收體量在全球市場占比僅大陸廠商營收體量在全球市場占比僅約約 2.5%。從我們選取的長江存儲、華力集成、華虹無錫三座典型晶圓廠招投標數據來看,美國設備廠商份額在 45成,日本廠商份額 3 成左右,國產份額 2 成左右,國產設備份額呈現明顯上升趨勢。設備設備國產化率:據我們測算,國產化率:據

5、我們測算,2016-2022 年年(截至(截至 7 月月 4 日)日)三座典型晶圓廠三座典型晶圓廠累計采購設備國產化率總體在累計采購設備國產化率總體在 17%左右(按照設備臺數占比,下同)左右(按照設備臺數占比,下同),其中長江存儲、華虹無錫、華力集成設備國產化率分別為 18%、18%、13%。橫向對比而言,長江存儲在設備國產化方面較為積極,部分原因是 IDM 模式的設備選擇自主性相對高于晶圓廠代工廠;華虹無錫與華力集成同屬于華虹集團,華虹無錫各類型設備國產化率大多高于華力集成,或主要由于華虹無錫 90nm55nm的成熟制程相較于華力集成相對先進的 2814nm 制程更易于推進設備國產化。各細

6、分市場格局:我們測算部分領域國產化率可達到各細分市場格局:我們測算部分領域國產化率可達到 20%以上,部分國產化率以上,部分國產化率尚低。尚低?;谌A廠招投標數據,我們對 2016-2022 年累計采購設備的各細分市場格局進行了梳理,其中,(1)去膠:國產化率約 74%(據我們測算,下同),屹唐股份、盛美上海等位于國內前列;(2)清洗設備:國產化率約 38%,盛美上海設備中標數量僅次于日本迪恩士,至純科技、北方華創、芯源微等亦為國產替代主力,各家產品類型有所區別;(3)氧化擴散/熱處理設備:國產化率約 28%,北方華創、屹唐股份、盛美上海中標設備數量靠前;(4)刻蝕設備:國產化率約 22

7、%,中微公司、北方華創、屹唐股份分列國內前三;(5)化學機械拋光:國產化率約 23%,華海清科為國內細分龍頭;(6)薄膜沉積:國產化率約 5.7%,拓荊科技、北方華創、盛美上海中標設備數量靠前;(7)過程控制:國產化率約 3.6%,中科飛測、精測半導體、睿勵科學儀器國內領先;(8)離子注入:國產化率約 3.1%,爍科中科信是為數不多獲得采購的國產廠商,凱世通半導體亦為國產化主力;(9)光刻機:國產化率約 1.1%,基本由荷蘭廠商阿斯麥壟斷,國內上海微電子實現零突破;(10)涂膠顯影:國產化率約 1%,日本東京電子領先,國內芯源微實現零突破??傮w而言,國內設備廠商在設備品類、工藝覆蓋率方面仍存在

8、較大提升空間,美國制裁中國廠商事件已經激發國內廠商的供應鏈安全意識,國內晶圓廠有望加快供應鏈本土化,我們預計國產設備 電子電子行業行業 評級評級 強于大市(維持)強于大市(維持)電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 2 廠商接下來 12 年有望受益國產設備份額的階躍式提升。風險因素:風險因素:下游需求不及預期;國際產業環境變化和貿易摩擦加劇風險;宏觀經濟增速不及預期;晶圓廠資本開支不及預期;國產設備研發不及預期等;招標投標數據范圍有限導致分析結果一定程度偏頗的風險。投資建議:產能擴張投資建議:產能擴張+國產替代國產替代積極推

9、進,看好未來積極推進,看好未來 12 年半導體設備行業發展。年半導體設備行業發展。在行業景氣持續、國產替代深入背景下,預計半導體設備公司將持續有基本面業績支撐。建議優先選擇賽道空間大、產品布局全面、技術實力較強的龍頭設備廠商,以及份額尚低、受益國產替代有望快速成長的細分賽道成長型企業。晶圓廠工藝設備推薦北方華創、中微公司推薦北方華創、中微公司、盛美上海,建議關注屹唐股份、拓荊科技、盛美上海,建議關注屹唐股份、拓荊科技、華海清科、芯源微、至純科技、精測電子等。華海清科、芯源微、至純科技、精測電子等。測試設備/封裝設備方面推薦華峰推薦華峰測控,建議關注長川科技、光力科技等測控,建議關注長川科技、光

10、力科技等。重點公司盈利預測、估值及投資評級重點公司盈利預測、估值及投資評級 簡稱簡稱 代碼代碼 收盤價收盤價 EPS PE 評級評級 21 22E 23E 24E 21 22E 23E 24E 北方華創 002371.SZ 280.80 2.04 3.11 4.24 5.81 138 90 66 48 買入 中微公司 688012.SH 114.30 1.64 1.68 2.24 2.79 70 68 51 41 買入 盛美上海 688082.SH 106.88 0.68 0.98 1.43 1.92 157 109 75 56 買入 資料來源:Wind,中信證券研究部預測 注:股價為 202

11、2 年 7 月 15 日收盤價 XZ5XTUAV5ZSZEYEW7NbPaQsQrRtRtRlOnNsNiNoMmMbRnNxPxNnRqNMYnRmQ 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 3 目錄目錄 中國大陸晶圓廠進展:行業擴產持續,中國大陸晶圓廠進展:行業擴產持續,2022H1 已披露完成設備招標已披露完成設備招標 478 臺臺.6 設備廠商中標更新:各廠商中標結果陸續釋出,國產替代有望加快設備廠商中標更新:各廠商中標結果陸續釋出,國產替代有望加快.10 設備國別比較:美日設備占比最高,國產占比呈顯著上升趨勢設備國別

12、比較:美日設備占比最高,國產占比呈顯著上升趨勢.11 設備類型比較:薄膜沉積、過程控制、離子注入、涂膠顯影等尚有較大替代空間設備類型比較:薄膜沉積、過程控制、離子注入、涂膠顯影等尚有較大替代空間.17 1、刻蝕:國產化率 22%,中微公司、北方華創、屹唐股份三強崛起.18 2、薄膜沉積:國產化率 5.7%,拓荊科技、北方華創、盛美上海為國產前三強.22 3、過程控制:國產化率 3.6%,中科飛測、精測半導體、睿勵科學儀器國內領先.25 4、氧化擴散/熱處理設備:國產化率 28%,北方華創優勢較為明顯.27 5、清洗:國產化率 38%,盛美上海中標設備數量國產最多,僅次于日本迪恩士.30 6、去

13、膠:國產化率 74%,屹唐股份、盛美上海國產入圍.34 7、化學機械拋光:國產化率 23%,華海清科為國內細分龍頭.37 8、離子注入:國產化率 3.1%,爍科中科信國產獲采購.40 9、涂膠顯影:國產化率 1%,芯源微實現國產零突破.42 10、光刻:國產化率 1.1%,阿斯麥絕對壟斷,上海微實現國產零突破.43 風險因素風險因素.44 總結:測算三座晶圓廠設備國產化率總體在總結:測算三座晶圓廠設備國產化率總體在 17%左右,堅定看好設備國產替代趨勢左右,堅定看好設備國產替代趨勢.44 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明

14、 4 插圖目錄插圖目錄 圖 1:全球半導體設備市場規模.7 圖 2:中國半導體設備市場規模及在全球市場占比.7 圖 3:中國大陸半導體生產線分布圖(2020 年 4 月).8 圖 4:長江存儲近五年部分設備招標項目累計數量占比(按供應商總部國家/地區統計).13 圖 5:長江存儲近五年歷年部分設備招標項目數量占比(按供應商總部國家/地區統計).13 圖 6:華力集成近六年部分設備招標項目累計數量占比(按供應商總部國家/地區統計).13 圖 7:華力集成近六年歷年部分設備招標項目數量占比(按供應商總部國家/地區統計).13 圖 8:華虹無錫近四年部分設備招標項目累計數量占比(按供應商總部國家/地

15、區統計).14 圖 9:華虹無錫近四年歷年部分設備招標項目數量占比(按供應商總部國家/地區統計).14 圖 10:長江存儲 20172022 年設備招標各廠商中標項目數量排名.15 圖 11:華力集成 20162022 年設備招標各廠商中標項目數量排名.16 圖 12:華虹無錫 20182022 年設備招標各廠商中標項目數量排名.16 圖 13:晶圓廠半導體制造流程及相關半導體設備示意圖.17 圖 14:2021 年半導體設備各細分類型市場銷售額占比.17 圖 15:長江存儲 20172022 設備招標刻蝕設備各廠商中標數量合計.18 圖 16:華力集成 20162022 設備招標刻蝕設備各廠

16、商中標數量合計.20 圖 17:華虹無錫 20182022 設備招標刻蝕設備各廠商中標數量合計.21 圖 18:長江存儲 20172022 設備招標薄膜沉積設備各廠商中標數量合計.22 圖 19:華力集成 20162022 設備招標薄膜沉積設備各廠商中標數量合計.23 圖 20:華虹無錫 20182022 設備招標薄膜沉積設備各廠商中標數量合計.24 圖 21:長江存儲 20172022 設備招標過程控制設備各廠商中標數量合計.25 圖 22:華力集成 20162022 設備招標過程控制設備各廠商中標數量合計.26 圖 23:華虹無錫 20182022 設備招標過程控制設備各廠商中標數量合計.

17、26 圖 24:長江存儲 20172022 設備招標氧化擴散/熱處理設備各廠商中標數量合計.27 圖 25:華力集成 20162022 設備招標氧化擴散/熱處理設備各廠商中標數量合計.29 圖 26:華虹無錫 20182022 設備招標氧化擴散/熱處理設備各廠商中標數量合計.29 圖 27:長江存儲 20172022 設備招標清洗設備各廠商中標數量合計.31 圖 28:華力集成 20162022 設備招標清洗設備各廠商中標數量合計.32 圖 29:華虹無錫 20182022 設備招標清洗設備各廠商中標數量合計.33 圖 30:長江存儲 20172022 設備招標去膠設備各廠商中標數量合計.34

18、 圖 31:華力集成 20162022 設備招標去膠設備各廠商中標數量合計.36 圖 32:華虹無錫 20182022 設備招標去膠設備各廠商中標數量合計.36 圖 33:長江存儲 20172022 設備招標化學機械拋光設備各廠商中標數量合計.37 圖 34:華力集成 20162022 設備招標化學機械拋光設備各廠商中標數量合計.39 圖 35:華虹無錫 20182022 設備招標化學機械拋光設備各廠商中標數量合計.39 圖 36:長江存儲 20172022 設備招標離子注入設備各廠商中標數量合計.40 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后

19、的免責條款和聲明 5 圖 37:華力集成 20162022 設備招標離子注入設備各廠商中標數量合計.41 圖 38:華虹無錫 20182022 設備招標離子注入設備各廠商中標數量合計.41 圖 39:長江存儲 20172022 設備招標涂膠顯影設備各廠商中標數量合計.42 圖 40:華力集成 20162022 設備招標涂膠顯影設備各廠商中標數量合計.42 圖 41:華虹無錫 20182022 設備招標涂膠顯影設備各廠商中標數量合計.43 圖 42:長江存儲 20172022 設備招標光刻設備各廠商中標數量合計.43 圖 43:華力集成 20162022 招標光刻設備各廠商中標數量合計.44 圖

20、 44:華虹無錫 20182022 招標光刻設備各廠商中標數量合計.44 圖 45:三座晶圓廠招投標設備合計國產化率排序(20162022).45 圖 46:三座晶圓廠招投標設備各自國產化率對比(20162022).45 表格目錄表格目錄 表 1:2022 年 16 月部分國內晶圓廠工藝設備完成招標情況概覽.8 表 2:國內部分半導體設備廠商情況.10 表 3:部分國內半導體工藝設備中標情況概覽.11 表 4:全球半導體設備廠商排名.12 表 5:長江存儲 20172022 設備招標國產刻蝕設備明細.18 表 6:華力集成 20162022 設備招標國產刻蝕設備明細.20 表 7:華虹無錫 2

21、0182022 設備招標國產刻蝕設備明細.21 表 8:長江存儲 20172022 設備招標國產薄膜沉積設備明細.22 表 9:華力集成 20162022 設備招標國產薄膜沉積設備明細.23 表 10:華虹無錫 20182022 設備招標國產薄膜沉積設備明細.24 表 11:長江存儲 20172022 設備招標國產過程控制設備明細.25 表 12:華力集成 20162022 設備招標國產過程控制設備明細.26 表 13:華虹無錫 20182022 設備招標國產過程控制設備明細.26 表 14:長江存儲 20172022 設備招標國產氧化擴散/熱處理設備明細.27 表 15:華力集成 20162

22、022 設備招標國產氧化擴散/熱處理設備明細.29 表 16:華虹無錫 20182022 設備招標國產氧化擴散/熱處理設備明細.30 表 17:長江存儲 20172022 設備招標國產清洗設備明細.31 表 18:華力集成 20162022 設備招標國產清洗設備明細.32 表 19:華虹無錫 20182022 設備招標國產清洗設備明細.33 表 20:長江存儲 20172022 設備招標國產去膠設備明細.35 表 21:華力集成 20162022 設備招標國產去膠設備明細.36 表 22:華虹無錫 20182022 設備招標國產去膠設備明細.37 表 23:長江存儲 20172022 設備招標

23、國產化學機械拋光設備明細.38 表 24:華力集成 20162022 設備招標國產化學機械拋光設備明細.39 表 25:華虹無錫 20182022 設備招標國產化學機械拋光設備明細.39 表 26:華力集成 20162022 設備招標國產離子注入設備明細.41 表 27:華虹無錫 20182022 設備招標國產離子注入設備明細.41 表 28:華力集成 20162022 設備招標國產涂膠顯影設備明細.42 表 29:長江存儲 20172022 設備招標國產去膠設備明細.43 表 30:長江存儲、華力集成、華虹無錫三座晶圓廠招投標國產設備份額統計明細(20162022 年).45 電子電子行業行

24、業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 6 半導體設備受益國產替代,近幾年半導體設備受益國產替代,近幾年正正迎來快速成長期迎來快速成長期,一方面一方面源自中國大陸源自中國大陸晶圓廠的晶圓廠的快速擴產和份額增長,快速擴產和份額增長,蛋糕正在變大。蛋糕正在變大。受益于國內設計公司的蓬勃發展,中國大陸晶圓廠需求旺盛,擴產增速超過全球,份額持續提升。國內晶圓廠傾向于采用更多國產設備,因而設備廠商受益行業需求景氣后晶圓廠持續擴張產能;另一方面另一方面成長源自成長源自設備廠商的國產設備廠商的國產替代替代,設備,設備國產國產化率化率有望迅速提升。有望迅速

25、提升。華為、中芯國際等廠商于 2020 年遭受美國制裁,一定程度上可能激發國內廠商供應鏈安全意識,產業鏈有望逐步推動供應鏈切換。本本文由文由中信證券研究部電子組聯合數據科技組,以中信證券研究部電子組聯合數據科技組,以披露招標數據的部分披露招標數據的部分國內晶圓廠為國內晶圓廠為例,從中國國際招標網例,從中國國際招標網統計了統計了 2016 年至年至 2022 年年 6 月月期間期間公開發布的招標公告和中標結公開發布的招標公告和中標結果公告,進行整理和分析。果公告,進行整理和分析。招標中標公告并不代表企業全部設備情況,我們已盡可能詳盡地統計公開招投標公告數據,本文結論在此數據基礎上完成,存在一定局

26、限性存在一定局限性,僅從側面反映設備采購的大致狀況。需要注意的是需要注意的是:1)本文主要統計晶圓廠(Wafer Fab)招標情況,晶圓廠采購的封裝測試設備數量有限且不具代表性,故未計入統計和分析范圍內,另考慮到廠務系統(如機電安裝、管理系統等)、輔助設備、自動化搬送系統等不屬于工藝設備,且從設備臺數角度可比意義不大,因此亦未計入統計分析范疇。2)由于數據來源限制,本文中各類設備國產化率數據基于設備臺數計算而非基于銷售額計算,無法反映國內外設備的平均價格差異,若國外設備平均價格高于/低于國內設備,則以銷售額計算的國產化率可能低于/高于以臺數計算的國產化率數字。中國大陸中國大陸晶圓廠晶圓廠進展:

27、行業進展:行業擴產持續擴產持續,2022H1 已披已披露完成設備招標露完成設備招標 478 臺臺 2021 年全球半導體設備市場年全球半導體設備市場規模規模首首破千億美元,中國大陸破千億美元,中國大陸占占約約 29%達到全球第一達到全球第一。根據 SEMI 報告,2021 年全球半導體制造設備銷售額激增,同比增加 44%達到 1026 億美元的歷史新高,SEMI 預計到 2022 年將擴大到 1140 億美元。近年來,在芯片短缺及本身半導體產業加速發展的推動下,中國大陸正在加速擴大產能。據 SEMI 統計,2021 年國內12 英寸晶圓廠總產能約為 115 萬片/月,SEMI 預計接下來幾年產

28、能將會持續擴大,2022年 12 英寸晶圓廠重點項目年新增產能超 20 萬片/月,2023 年中芯國際、華虹集團、長江存儲、長鑫存儲等重點項目可能會帶來更多新增產能。2021 年中國大陸半導體設備市場年中國大陸半導體設備市場銷售額增長銷售額增長 58%,達到,達到 296 億美元,占全球市場約億美元,占全球市場約 28.9%,再次成為半導體設備的最大市場,這也是中國市場連續第四年增長。電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 7 圖 1:全球半導體設備市場規模(單位:億美元)圖 2:中國半導體設備市場規模及在全球市場占比(單位:

29、億美元)資料來源:SEMI(含預測),中信證券研究部 資料來源:SEMI,中信證券研究部 國內主要國內主要下游下游晶圓廠擴產進展晶圓廠擴產進展更新:我們預計更新:我們預計 20222023 年年中芯國際、合肥長鑫、中芯國際、合肥長鑫、華虹集團、長江存儲均為擴產主力華虹集團、長江存儲均為擴產主力。按照計劃來看,國內近些年較快擴產的主要本土廠商包括長江存儲、中芯國際、合肥長鑫、華虹集團(包括華虹無錫、華虹宏力、華力微、華力集成等);各廠主要擴產計劃梳理如下:1)長江存儲:長江存儲:3D NAND Flash 存儲器國內龍頭存儲器國內龍頭 IDM,三期總產能規劃,三期總產能規劃 30 萬片萬片/月。

30、月。長江存儲一期項目于 2018 年投產,2019 年產能達到 2 萬片/月,2020 年擴產至約 5 萬片/月,我們預計一期結束 2022 年有望達到 10 萬片/月產能,二期土建已于 2020 年 6 月開工,加上未來三期項目合計產能規劃共 30 萬片/月。2)中芯國際:中國大陸晶圓代工龍頭中芯國際:中國大陸晶圓代工龍頭,深圳、北京京城、上海,深圳、北京京城、上海東方東方項目依次擴產,項目依次擴產,已披露產能規劃未來有望新增約已披露產能規劃未來有望新增約 40 萬片萬片/月月。我們預計 2022 年下半年深圳 12 英寸(滿產將達 4 萬片/月,現潔凈室已就緒)、北京中芯京城項目有望從零起

31、步產能爬坡,上海臨港(中芯東方)廠房于 2022 年初已經動工,有望于 2023 年上半年建成。2023 年京城廠產能有望持續爬坡(一期滿產將達 10 萬片/月,總計兩期20 萬片/月)、臨港廠房建成并有望進入產能爬坡(滿產 10 萬片/月)。此外 8 寸方面,天津、深圳、上海廠均有繼續擴產空間;在 12 英寸先進制程(14nm)方面,若后續設備獲取順利,上海中芯南方廠區還有繼續擴產可能,現有一期潔凈室支持 3.5 萬片/月(現產能 1.5 萬片/月),預留空地支持二期新增 3.5 萬片/月產能。3)華虹無錫:華虹無錫:12 英寸成熟制程頭部廠商,英寸成熟制程頭部廠商,2022 年持續擴產,新

32、項目有望上馬,有年持續擴產,新項目有望上馬,有望擴張望擴張 10 萬片以上月產能。萬片以上月產能。我們預計華虹無錫一期(Fab 7)產能從 2022 年 6.5萬片/月擴張至約 9.5 萬片/月(12 英寸),2022 年底有望開始新建二期(Fab 9),公司預計產能規模將超過一期(Fab 7)。我們預計 2023 年二期土建并開始采購設備。4)華力集成:華力集成:12 英寸先進制程頭部廠商,后續有望新建英寸先進制程頭部廠商,后續有望新建 Fab 8。我們預計產能逐步擴張至滿產 4 萬片/月。根據華虹集團 2021 全球供應商年會新聞稿,華力于 2021年啟動建設 Fab 8,新增約 4 萬片

33、/月先進制程產能。566.2645.3597.5711.910261140 1134.2-10%0%10%20%30%40%50%02004006008001000120020172018201920202021 2022E 2023E全球半導體設備市場規模(億美元)增長率(%)82.3131.1134.5187.2296.214.5%20.3%22.5%26.3%28.9%0%5%10%15%20%25%30%35%05010015020025030035020172018201920202021中國半導體設備市場規模(億美元)中國市場占比 電子電子行業行業半導體設備深度專題半導體設備深度專

34、題2022.7.19 請務必閱讀正文之后的免責條款和聲明 8 5)長鑫存儲:長鑫存儲:DRAM 存儲器國內龍頭存儲器國內龍頭 IDM,三期總產能規劃,三期總產能規劃 37.5 萬片萬片/月。月。我們預計產能將從 2021 年初的 4 萬片/月擴張至 20222023 年 12.5 萬片/月,同時20222023 年有望啟動二期建設(12.5 萬片/月)。長鑫北京項目廠房已建成,亦有望進入擴產階段。圖 3:中國大陸半導體生產線分布圖(2020 年 4 月)資料來源:SEMI,中信證券研究部 部分部分晶圓廠晶圓廠完成完成招標招標情況情況進展進展:從 2022 年 16 月國內部分晶圓廠完成招標情況

35、來看,華虹無錫/上海積塔分別完成 197/201 臺工藝設備招標,貢獻了統計范圍內 83%的招標數量,福建晉華/時代電氣分別完成 25/24 臺工藝設備招標,上述四家廠商貢獻了統計范圍內90%的招標數量。長江存儲在 2022 年 16 月暫未披露招標情況。16 月,統計范圍內晶圓廠分別完成招標 36/83/63/138/90/68 臺工藝設備招標,月度之間分布較為均勻。表 1:2022 年 16 月部分國內晶圓廠工藝設備完成招標情況概覽 1 月月 2 月月 3 月月 4 月月 5 月月 6 月月 1-6 月月合計合計 華虹無錫華虹無錫 30 69 35 41 16 6 197 刻蝕 9 4 3

36、 4 1 21 光刻 6 1 7 薄膜沉積 9 6 3 3 1 22 清洗/去膠 22 11 1 2 36 熱處理 5 4 20 4 1 34 CMP 5 4 1 1 11 過程控制 14 6 14 7 41 涂膠顯影 9 9 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 9 離子注入 5 10 1 16 華力微電子華力微電子 1 1 2 刻蝕 1 1 薄膜沉積 1 1 華力集成華力集成 1 3 1 5 刻蝕 1 1 2 薄膜沉積 1 1 量測 1 1 離子注入 1 1 上海積塔上海積塔 1 4 18 80 54 44 201 刻蝕

37、 1 14 7 8 30 光刻 1 1 4 6 薄膜沉積 1 10 23 4 38 清洗/去膠 3 1 11 5 22 42 熱處理 15 29 3 47 CMP 1 5 6 過程控制 8 4 1 13 涂膠顯影 1 3 3 7 離子注入 3 9 12 福建晉華福建晉華 2 2 3 8 7 3 25 刻蝕 1 2 3 薄膜沉積 1 1 1 3 熱處理 2 1 3 過程控制 1 2 3 5 11 涂膠顯影 2 1 3 離子注入 1 1 2 燕東微電子燕東微電子 2 7 1 2 7 19 光刻 4 4 清洗/去膠 1 1 3 5 熱處理 2 1 3 過程控制 2 1 2 5 離子注入 2 2 華虹

38、宏力華虹宏力 1 1 2 光刻 1 1 清洗 1 1 蘇州園芯蘇州園芯 1 1 2 過程控制 1 1 刻蝕 1 1 時代時代電氣電氣 5 8 6 5 24 刻蝕 2 4 1 1 8 光刻 1 1 薄膜沉積 2 2 4 清洗/去膠 1 1 1 3 熱處理 1 1 2 4 過程控制 2 1 3 涂膠顯影 1 1 上海新微上海新微 1 1 過程控制 1 1 合計合計 36 83 63 138 90 68 478 資料來源:中國國際招標網,中信證券研究部 注:時間以中標結果公告時間劃分 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 10 設

39、備廠商中標更新:設備廠商中標更新:各廠商中標結果陸續釋出各廠商中標結果陸續釋出,國產,國產替代有望加快替代有望加快 國內在半導體設備各細分領域涌現出一批優秀公司。國內在半導體設備各細分領域涌現出一批優秀公司。由于半導體設備種類繁多,制造原理各異,在各細分領域中已形成具備一定規模和替代技術實力的國產細分龍頭廠商,但與海外廠商相比,國內企業技術實力與收入體量相差仍大。國內廠商中,包括北方華創、中微公司、盛美上海等廠商已進行橫向平臺化布局,包括屹唐股份、拓荊科技、華海清科、芯源微、至純科技、精測電子、中科飛測、爍科中科信等公司在國內細分領域領先。表 2:國內部分半導體設備廠商情況 公司公司 2021

40、 年半導體設年半導體設備收入(億元)備收入(億元)2020 年半導體設年半導體設備收入(億元)備收入(億元)2021年占國產年占國產市場份額市場份額 2020 年占國產年占國產市場份額市場份額 主要產品主要產品 應用領域應用領域 北方華創 79.5 48.7 20.6%20.0%刻蝕、薄膜沉積、氧化擴散、清洗設備等 集成電路、分立器件、LED、光伏、面板 中微公司 31.1 22.7 8.1%9.3%刻蝕、薄膜沉積設備等 集成電路、分立器件、LED 盛美上海 15.5 10.1 4.0%4.2%清洗、電鍍、爐管設備等 集成電路、分立器件 屹唐股份 23.1 9.5%去膠、刻蝕、快速熱處理 集成

41、電路、分立器件 拓荊科技 7.6 4.4 2.0%1.8%薄膜沉積設備(PECVD)集成電路、分立器件、光伏、LED 華海清科 8.1 3.9 2.1%1.6%化學機械拋光(CMP)設備 集成電路、分立器件 芯源微 8.1 3.2 2.1%1.3%涂膠顯影、清洗、去膠 集成電路、分立器件、光伏 至純科技 7.0 2.2 1.8%0.9%高純工藝系統、清洗設備 集成電路、分立器件、平板顯示、光伏、LED 等 精測電子 1.4 0.7 0.4%0.3%光學檢測設備 面板、LED、集成電路、分立器件等 萬業企業 0.8 0.2 0.2%0.1%離子注入機 光伏、集成電路 睿 勵 科 學儀器 n/a

42、n/a 光學檢測設備、量測設備 集成電路、分立器件、光伏、LED 上 海 微 電子 n/a n/a 光刻機,且已經能夠提供90nm 工藝設備 集成電路、分立器件等 爍 科 中 科信 n/a n/a 離子注入機、快速退火爐 集成電路、分立器件、光伏 中科飛測 n/a n/a 光學檢測設備 集成電路、分立器件 華峰測控 8.8 4.0 2.3%1.6%自動化測試系統 集成電路、分立器件 長川科技 15.1 8.0 3.9%3.3%分選機、測試機 集成電路、分立器件 半 導 體 設備 廠 商 合計 385.5*242.9*資料來源:各公司公告,中國電子專用設備協會(含測算),中信證券研究部 *注:2

43、020、2021 年國內半導體設備廠商半導體設備收入為中國電子專用設備工業協會測算,并非表中數值加總 設備廠商中標情況更新:設備廠商中標情況更新:根據我們統計,北方華創、中微公司、盛美上海、拓荊科技、華海清科、芯源微等公司 2022 年 16 月分別中標 62/15/15/12/10/27 臺工藝設備,數量分別達到 2021 年全年的 58%/79%/65%/44%/56%/123%,各月份之間分布不均。由于招標統計范圍僅覆蓋部分晶圓廠,部分大客戶如中芯國際、長鑫存儲等并未披露招標情況,中標結果僅反映設備公司部分訂單 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務

44、必閱讀正文之后的免責條款和聲明 11 表 3:部分國內半導體工藝設備中標情況概覽 2019 年 2020 年 2021 年 2022 年 16 月 1 月 2 月 3 月 4 月 5 月 6 月 1-6 月合計 北方華創北方華創 68 87 106 1 1 14 34 12 62 刻蝕 14 20 19 6 13 1 20 薄膜沉積 3 15 14 1 5 7 13 清洗 17 4 20 1 1 2 4 熱處理 34 48 53 2 21 2 25 中微公司中微公司 24 40 19 1 1 3 2 8 15 刻蝕 24 40 19 1 1 3 2 8 15 盛美上海盛美上海 22 32 23

45、 13 1 1 15 清洗 21 31 22 12 12 熱處理 1 1 1 電鍍 1 1 1 1 濕法刻蝕 1 1 拓荊科技拓荊科技 9 24 27 1 11 12 薄膜沉積 9 24 27 1 11 12 華海清科華海清科 11 31 18 3 7 10 CMP 11 31 18 3 7 10 芯源微芯源微 6 24 22 3 7 15 2 27 涂膠顯影 3 13 11 2 2 15 19 清洗 3 11 11 1 5 2 8 至純科技至純科技 7 3 1 1 清洗 7 3 1 1 屹唐股份屹唐股份 33 43 43 2 10 1 1 14 清洗/去膠 24 31 31 9 1 10 熱

46、處理 3 6 7 2 1 1 4 刻蝕 6 6 5 0 精測半導體精測半導體 6 1 1 1 1 3 過程控制 6 1 1 1 1 3 中科飛測中科飛測 12 13 8 1 1 4 2 8 過程控制 12 13 8 1 1 4 2 8 爍科中科信爍科中科信 2 4 1 1 離子注入 2 4 1 1 資料來源:中國國際招標網,中信證券研究部 注:時間以中標結果公告時間劃分,由于招標統計范圍僅覆蓋部分晶圓廠,部分大客戶如中芯國際、長鑫存儲等并未披露招標情況,中標結果僅反映設備公司部分訂單。設備國別比較設備國別比較:美日設備占比最高,國產占比呈顯著:美日設備占比最高,國產占比呈顯著上升趨勢上升趨勢

47、從行業格局來看,從行業格局來看,美日歐廠商美日歐廠商在半導體設備領域具備傳統優勢,占據在半導體設備領域具備傳統優勢,占據半導體設備全球半導體設備全球前前 15 名席位名席位。國際主流廠商中,應用材料、泛林、東京電子在薄膜沉積、刻蝕領域具備領先地位,科天在過程控制(檢測、量測)設備處于領導地位,均穩居全球前五位置。據我們測算,2021 年年中國大陸中國大陸廠商營收在全球市場占比廠商營收在全球市場占比約約 2.5%。電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 12 表 4:全球半導體設備廠商排名 排排名名 公司名稱公司名稱(英文)(英

48、文)公司名稱公司名稱(中文)(中文)國家國家/地區地區 主要產品領域主要產品領域 2020 年營收年營收(億美元)(億美元)2021 年營收年營收(億美元)(億美元)2021 年年增長增長 2021 年年 全球份額全球份額 1 Applied Materials 應用材料 美國 沉積、刻蝕、離子注入、化學機械研磨等 163.7 241.72 47.66%19.45%2 ASML 阿斯麥 荷蘭 光刻設備 154 217.75 41.40%17.52%3 Tokyo Electron 東京電子 日本 沉積、刻蝕、勻膠顯影設備等 113.2 172.78 52.63%13.90%4 Lam Rese

49、arch 泛林 美國 刻蝕、沉積、清洗等 119.3 165.24 38.51%13.29%5 KLA 科天 美國 硅片檢測、測量設備 54.4 81.65 50.09%6.57%6 Advantest 愛德萬測試 日本 自動測試設備 25.3 39.07 54.43%3.14%7 Teradyne 泰瑞達 美國 自動測試設備 22.6 37.03 63.85%2.98%8 SCREEN 迪恩士 日本 刻蝕、清洗設備 23.3 36.32 55.88%2.92%9 SEMES 細美事 韓國 清洗、光刻、封裝設備 10.6 24.86 134.53%2.00%10 Hitachi High-Te

50、chnologies 日立高新 日本 沉積、刻蝕、檢測設備、封裝貼片設備等 17.2 24.53 42.62%1.97%11 ASM International 先域 荷蘭 沉積、封裝鍵合設備等 15.2 20.24 33.16%1.63%12 Nikon 尼康 日本 光刻設備 10.9 19.98 83.30%1.61%13 ASM Pacific Techonology ASM 太平洋 新加坡 后段制程、SMT 工藝設備 10.3 17.39 68.83%1.40%14 Kokusai Electric 國際電氣 日本 熱處理設備 14.6 16.38 12.19%1.32%15 DISC

51、O 迪斯科 日本 晶圓切割設備 15.9 21.67 36.29%1.74%TOP15 合計 770.5 1136.61 47.52%91.44%其他 153.6 106.39-30.74%8.56%設備廠商總計設備廠商總計 924.1 1243 34.51%100.00%資料來源:VLSI Research,中信證券研究部 注:部分公司營收中包含了平板顯示等泛半導體領域設備,與 SEMI 統計口徑不同 我們選取了三座典型晶圓廠長江存儲、我們選取了三座典型晶圓廠長江存儲、華力集成、華虹無錫的招標數據,對比設備的華力集成、華虹無錫的招標數據,對比設備的國產化比例和海外占比。國產化比例和海外占比。

52、長江存儲:各中標供應商按照總部地區劃分,美日長江存儲:各中標供應商按照總部地區劃分,美日占比最高,占比最高,國產化比率國產化比率持續提升持續提升至至22%。從近五年長江存儲招投標項目累計數量來看,美國、日本廠商中標項目數量占比分別達 43.4%、29.5%,反映出兩地區廠商仍占據主流地位。五年累計招標中,中國大陸廠商中標項目數量占比 15.7%,分年度看,2021 年長江存儲 415 項設備招標中,中國大陸廠商中標項目數量占比 22.2%,過去幾年呈現逐漸上升趨勢,相應美國廠商中標項目數量占比呈現下降趨勢。電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之

53、后的免責條款和聲明 13 圖 4:長江存儲近五年部分設備招標項目累計數量占比(按供應商總部國家/地區統計)資料來源:中國國際招標網,中信證券研究部 注:數據范圍為相關網站公布的長江存儲 20172022 年招標數據,數據截至 2022 年 7月 4 日 圖 5:長江存儲近五年歷年部分設備招標項目數量占比(按供應商總部國家/地區統計)資料來源:中國國際招標網,中信證券研究部 注:數據范圍為相關網站公布的長江存儲 20172022 年招標數據,數據截至 2022 年 7月 4 日 華力集成:美日華力集成:美日占比最高,中國占比最高,中國大陸大陸 2022 年年占比占比兩成兩成。從近六年華力集成招投

54、標項目累計數量來看,美國、日本廠商中標項目數量占比分別達 41.0%、21.8%,反映出兩地區廠商仍占據主流地位。六年累計招標中,中國大陸廠商設備中標項目數量占比 19.3%,分年度看,2020年華力集成164項設備招標中,中國大陸廠商中標項目數量占比27.9%;2021 年華力集成 38 項設備招標中,中國大陸廠商中標項目數量占比 23.7%;2022 年(截至 7 月 4 日)華力集成 5 項設備招標中,中國大陸廠商中標項目數量占比 20%,穩定在兩成左右。圖 6:華力集成近六年部分設備招標項目累計數量占比(按供應商總部國家/地區統計)資料來源:中國國際招標網,中信證券研究部 注:數據范圍

55、為相關網站公布的華力集成 20162022 年招標數據,數據截至 2022 年 7月 4 日 圖 7:華力集成近六年歷年部分設備招標項目數量占比(按供應商總部國家/地區統計)資料來源:中國國際招標網,中信證券研究部 注:數據范圍為相關網站公布的華力集成 20162022 年招標數據,數據截至 2022 年 7月 4 日 華虹無錫:美日華虹無錫:美日占比最高,占比最高,國產化國產化占比占比達達 23%。從近四年華虹無錫招投標項目累計數量來看,美國、日本廠商中標項目數量占比分別達 34.5%、27.1%,反映出兩地區廠商仍占據主流地位。中國大陸廠商設備中標項目數量占比 20.5%,分年度看,202

56、0 年華力集成 166 項設備招標中,中國大陸廠商中標項目數量占比 23.5%;2021 年華力集成 160 項43.44%29.52%15.71%3.47%2.77%1.85%1.68%1.56%美國日本中國大陸韓國荷蘭中國臺灣英國馬來西亞58.7%42.0%46.4%39.7%31.8%29.8%22.2%25.8%31.5%30.1%4.0%12.5%14.3%16.8%22.2%0%20%40%60%80%100%120%20172018201920202021美國日本中國大陸韓國荷蘭中國臺灣英國馬來西亞41.02%21.78%19.52%3.82%3.39%3.11%7.36%美國日

57、本中國大陸中國臺灣荷蘭德國其他75.0%28.6%43.9%44.7%36.4%34.2%20.0%15.0%25.4%26.3%20.1%16.4%18.4%40.0%10.0%15.9%13.7%20.8%27.9%23.7%20.0%0%20%40%60%80%100%120%2016201720182019202020212022美國日本中國大陸中國臺灣荷蘭德國其他 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 14 設備招標中,中國大陸廠商中標項目數量占比 21.3%;2022 年(截至 7 月 4 日)華力集成 128

58、項設備招標中,中國大陸廠商中標項目數量占比 22.7%,近兩年國產廠商占比呈現上升趨勢。圖 8:華虹無錫近四年部分設備招標項目累計數量占比(按供應商總部國家/地區統計)資料來源:中國國際招標網,中信證券研究部 注:數據范圍為相關網站公布的華虹無錫 20182022 年招標數據,數據截至 2022 年 7月 4 日 圖 9:華虹無錫近四年歷年部分設備招標項目數量占比(按供應商總部國家/地區統計)資料來源:中國國際招標網,中信證券研究部 注:數據范圍為相關網站公布的華虹無錫 20182022 年招標數據,數據截至 2022 年 7月 4 日 從具體廠商排名來看,部分國內廠商設備獲采購數量已居于前列

59、。從具體廠商排名來看,部分國內廠商設備獲采購數量已居于前列。長江存儲:中標長江存儲:中標供應商供應商中,中,北方華創、北方華創、屹唐股份、屹唐股份、中微公司、中微公司、盛美上海盛美上海位列國產供應位列國產供應商前列。商前列。美國廠商(泛林、應用材料、科天、Onto、泰瑞達等)、日本廠商(東京電子、國際電氣、迪恩士、愛德萬等)仍是采購主流。國內廠商方面,公開招標數據顯示,20172022 年,北方華創在長江存儲共中標 56 次、143 臺設備,屹唐股份同期在長江存儲共中標 48 次、102 臺設備,中微公司同期在長江存儲共中標 37、59 臺設備,盛美上海同期在長江存儲共中標 29 次、35 臺

60、設備。34.50%27.05%20.52%4.26%2.74%2.28%2.28%6.38%美國日本中國大陸荷蘭以色列英國馬來西亞其他56.7%30.5%39.2%39.4%22.7%16.7%32.2%26.5%25.0%25.8%3.3%18.4%23.5%21.3%22.7%0%20%40%60%80%100%120%20182019202020212022美國日本中國大陸荷蘭以色列馬來西亞英國其他 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 15 圖 10:長江存儲 20172022 年設備招標各廠商中標項目數量排名 資料

61、來源:中國國際招標網,中信證券研究部 注:數據范圍為相關網站公布的長江存儲 20172022 年招標數據 華力集成:中標華力集成:中標供應商供應商中,盛美上海、中,盛美上海、北方華創北方華創等等位列國產供應商前列。位列國產供應商前列。國內廠商方面,公開招標數據顯示,20162022 年盛美上海在華力集成共中標 17 次、21 臺設備,北方華創同期在華力集成共中標 11 次、22 臺設備,屹唐股份同期在華力集成共中標 10 次、12 臺設備,上海天雋機電設備有限公司同期在華力集成共中標 9 次、42 臺設備(均為研磨液供應設備),杭州廣立微電子設備有限公司同期在華力集成共中標 8 次、14 臺設

62、備(均為 EDA 軟件或晶圓電性測試儀),中微公司同期在華力集成共中標 7 次、15 臺設備。2722422168377565648454240383729292423222019191716141412050100150200250300泛林Lam Research應用材料Applied Materials東京電子Tokyo Electron國際電氣KOKUSAI ELECTRIC科天KLA-Tencor北方華創迪恩士Screen屹唐股份愛德萬AdvantestOnto Innovation泰瑞達Teradyne阿斯麥ASML中微公司賽默飛Thermo Fisher盛美上海Edwards華海

63、清科Mirae日立高新Hitachi High-Tech拓荊科技北京京儀東京精密ACCRETECHSEMICS是德科技KeysightDISCOQualitau 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 16 圖 11:華力集成 20162022 年設備招標各廠商中標項目數量排名 資料來源:中國國際招標網,中信證券研究部 注:數據范圍為相關網站公布的華力集成 20162022 年招標數據 華虹無錫:中標華虹無錫:中標供應商供應商中,盛美上海、中,盛美上海、北方華創北方華創、中微公司等、中微公司等位列國產供應商前列。位列國產供應商

64、前列。國內廠商方面,公開招標數據顯示,20182022 年盛美上海在華虹無錫共中標 27 次、33臺設備,北方華創同期在華虹無錫共中標 18 次、25 臺設備,中微公司同期在華虹無錫共中標 11 次、14 臺設備,華海清科同期在華虹無錫共中標 11 次、13 臺設備,屹唐股份同期在華虹無錫共中標 11 次、25 臺設備,拓荊科技同期在華虹無錫共中標 7 次、7 臺設備。圖 12:華虹無錫 20182022 年設備招標各廠商中標項目數量排名 資料來源:中國國際招標網,中信證券研究部 注:數據范圍為相關網站公布的華虹無錫 20182022 年招標數據 11168593022221715141111

65、111111109987020406080100120應用材料Applied Materials泛林Lam Research東京電子Tokyo Electron迪恩士Screen科天KLA-Tencor阿斯麥ASML盛美上海Nova Measuring Instruments是德科技KeysightEdwards北方華創日立高新Hitachi High-Tech賽默飛Thermo Fisher國際電氣KOKUSAI ELECTRIC屹唐股份上海天雋機電Mentor杭州廣立微中微公司80696749322718181615131111111199870102030405060708090應用材料

66、Applied Materials泛林Lam Research東京電子Tokyo Electron科天KLA-Tencor迪恩士Screen盛美上海北方華創阿斯麥ASMLSumitomo Heavy Industries Ion Technology吉姆西半導體科技(無錫)有限公司日立高新Hitachi High-TechNova Measuring Instruments屹唐股份華海清科中微公司ASM InternationalDISCOEdwards拓荊科技 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 17 設備類型比較:薄膜

67、沉積、過程控制設備類型比較:薄膜沉積、過程控制、離子注入、涂、離子注入、涂膠顯影膠顯影等等尚有較大替代空間尚有較大替代空間 從從晶圓廠內晶圓廠內各工藝環節來看,各工藝環節來看,薄膜沉積薄膜沉積、光刻、刻蝕、光刻、刻蝕設備是產線中設備是產線中總總價值量最高的價值量最高的三三類類半導體設備,均占全球半導體設備市場的半導體設備,均占全球半導體設備市場的 20%以上以上。晶圓廠內半導體設備按照類型可大致分為薄膜沉積、光刻、刻蝕、過程控制、自動化制造和控制、清洗、涂布顯影、去膠、化學機械研磨(CMP)、快速熱處理/氧化擴散、離子注入、其他晶圓級設備等類別,其中薄膜沉積、光刻、刻蝕、過程控制占比最大。圖

68、13:晶圓廠半導體制造流程及相關半導體設備示意圖 資料來源:半導體制造技術(Michael Quirk),中信證券研究部 圖 14:2021 年半導體設備各細分類型市場銷售額占比 資料來源:Gartner,中信證券研究部 21.9%21.3%20.4%11.2%4.8%4.7%3.8%3.8%2.6%2.5%2.2%0.8%薄膜沉積光刻刻蝕過程控制自動化制造和控制清洗涂膠顯影其他晶圓級設備CMP快速熱處理/氧化擴散離子注入去膠 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 18 以下我們分設備類型,以下我們分設備類型,統計統計各類細

69、分設備中國際和國內廠商中標情況。各類細分設備中國際和國內廠商中標情況。1、刻蝕:國產化率、刻蝕:國產化率 22%,中微公司、北方華創、屹唐股份三強崛起,中微公司、北方華創、屹唐股份三強崛起 長江存儲:長江存儲:國產刻蝕設備主要采購自中微公司、北方華創、屹唐股份。國產刻蝕設備主要采購自中微公司、北方華創、屹唐股份。在長江存儲20172022 年刻蝕設備招標中,中微公司設備中標數量位列第三,累計 58 臺,僅次于泛林,高于東京電子、應用材料,體現出中微公司在刻蝕設備領域達到國際水平的技術競爭力。北方華創、屹唐股份僅次于應用材料,分別錄得 26 臺、18 臺。圖 15:長江存儲 20172022 設

70、備招標刻蝕設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 從刻蝕細分類型來看,中微公司主要中標設備包括通孔刻蝕、接觸孔刻蝕、介質(氧化硅等)刻蝕、溝槽刻蝕等,其中 2020 年首次中標溝槽刻蝕;北方華創主要中標設備包括硅槽刻蝕、鋁刻蝕等;屹唐股份主要中標設備為介質(氮化硅、氮氧化硅等)刻蝕、鈍化層刻蝕等。表 5:長江存儲 20172022 設備招標國產刻蝕設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 中微公司 頂層通孔刻蝕設備 2 2017-11-09 接觸孔刻蝕 2 2017-11-09 介質等離子蝕刻設備 2 2018-04-17 超深接觸孔刻

71、蝕 1 2018-09-14 穿通陣列區接觸孔刻蝕 1 2018-09-14 氧化硅刻蝕 1 2018-09-14 介質等離子蝕刻設備 1 2018-09-20 通孔(via)刻蝕設備 5 2018-11-12 接觸孔刻蝕設備 1 2019-03-11 通孔(via)刻蝕設備 1 2019-03-19 超深接觸孔刻蝕 1 2019-03-19 氧化硅刻蝕 1 2019-03-19 介質等離子氧化層蝕刻設備 2 2019-04-04 介質等離子孔洞蝕刻設備 2 2019-04-04 2395855392618156050100150200250300 電子電子行業行業半導體設備深度專題半導體設備

72、深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 19 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 介質等離子掩膜蝕刻設備 2 2019-04-04 超深接觸孔刻蝕 V1 oxide ETCH 1 2019-11-01 氧化硅刻蝕 CPL Etch 1 2019-11-01 通孔(via)刻蝕設備 1 2019-11-01 通孔(via)刻蝕設備 2 2020-01-02 非晶碳和氧化硅刻蝕 2 2 2020-01-02 非晶碳和氧化硅刻蝕 5 2020-01-02 氧化硅刻蝕 2 2020-08-28 氧化硅刻蝕-2 1 2020-08-28 溝槽刻蝕設備

73、1 2020-08-28 孔刻蝕設備-13 1 2020-08-28 孔刻蝕設備-16 2 2020-08-28 孔刻蝕設備-17 2 2020-08-28 刻蝕設備-1 1 2020-09-30 刻蝕設備-3 1 2020-09-30 刻蝕設備-2 1 2020-09-30 刻蝕設備-4 2 2021-09-29 刻蝕設備-6 1 2021-09-29 刻蝕設備-6 1 2021-09-29 刻蝕設備-1 2 2021-09-29 刻蝕設備-5 1 2021-09-29 刻蝕設備-2 2 2021-09-29 北方華創 硅槽刻蝕設備 3 2019-03-29 多晶硅等離子蝕刻設備 1 201

74、9-07-26 硅槽刻蝕設備 2 2019-10-12 硅槽刻蝕設備 3 2020-01-10 鋁刻蝕設備 1 2020-10-16 硅槽刻蝕設備(Silicon etch)4 2020-10-16 硅槽刻蝕設備(Silicon etch)3 2020-10-16 鋁刻蝕設備 1 2020-10-16 刻蝕設備 2 2021-05-07 刻蝕設備-4 4 2021-05-08 刻蝕設備 2 2021-11-05 屹唐股份 等離子蝕刻機 1 2017-09-06 介質等離子蝕刻設備 1 2019-03-29 氮氧化硅隔離槽刻蝕設備 2 2019-04-04 氮化硅側墻刻蝕 2 2019-04-0

75、4 介質等離子蝕刻設備 1 2019-11-22 鈍化層干法蝕刻 Pad Dry Etch 2 2020-01-02 氮化硅側墻刻蝕 1 2020-08-28 硅/二氧化硅刻蝕設備 2 2020-08-28 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 20 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 刻蝕設備 1 2020-12-14 刻蝕機 2 2021-06-25 干法刻蝕機 2 2021-06-25 干法刻蝕 1 2021-09-17 資料來源:中國國際招標網,中信證券研究部 華力集成:中微公司中標數量位

76、列第二,僅次于泛林,高于東京電子、應用材料。華力集成:中微公司中標數量位列第二,僅次于泛林,高于東京電子、應用材料。過去五年華力集成招標期間,中微公司共中標 15 臺,北方華創中標 1 臺。其中中微公司中標設備包括光阻刻蝕、銅互連溝槽刻蝕、鈍化膜刻蝕、通孔刻蝕、多晶硅刻蝕等,北方華創中標設備為多晶硅 STI 刻蝕。圖 16:華力集成 20162022 設備招標刻蝕設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 6:華力集成 20162022 設備招標國產刻蝕設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 中微公司 光阻回刻等離子機臺(光阻刻蝕、銅

77、互連溝槽刻蝕)設備 2 2017-12-22 氧化膜等離子體刻蝕機(鈍化膜刻蝕、通孔刻蝕)設備 3 2017-12-22 多晶硅等離子刻蝕機設備 Poly Plasma Etch 1 2018-08-27 鈍化膜等離子體刻蝕機 Passivation1 Etch(Cu)3 2019-08-12 銅互連溝槽等離子刻蝕機臺 Trench Etch 2 2020-04-18 多晶硅等離子刻蝕機 SAB Etch/PR EB 1 2020-08-01 銅互連溝槽刻蝕等離子機臺 Trench ETCH 3 2020-08-01 北方華創 多晶硅等離子刻蝕機(STI Etch)1 2018-06-29 資

78、料來源:中國國際招標網,中信證券研究部 華虹無錫:中微公司位列第二,僅次于泛林,高于迪恩士、東京電子。華虹無錫:中微公司位列第二,僅次于泛林,高于迪恩士、東京電子。中微公司共中標 14 臺,北方華創中標 6 臺,其中中微公司中標設備包括鈍化膜刻蝕、氧化膜刻蝕、介質側墻刻蝕等,北方華創中標設備包括多晶硅刻蝕、淺溝槽刻蝕等。4115133221111051015202530354045 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 21 圖 17:華虹無錫 20182022 設備招標刻蝕設備各廠商中標數量合計 資料來源:中國國際招標網,

79、中信證券研究部 表 7:華虹無錫 20182022 設備招標國產刻蝕設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 中微公司 鈍化膜等離子體刻蝕機 1 2019-03-19 氧化膜等離子體刻蝕機 1 2019-03-19 鈍化膜等離子體刻蝕機 1 2019-03-19 氧化膜等離子體刻蝕機 1 2019-03-19 介質側墻等離子體刻蝕機 1 2019-04-16 氧化膜等離子體刻蝕機 1 2019-09-24 鈍化膜等離子體刻蝕機 1 2019-09-24 鈍化膜等離子體刻蝕機 1 2020-04-16 氧化膜等離子體刻蝕機 2 2020-04-16 刻蝕機 1 2

80、020-12-28 氧化膜等離子體刻蝕機 3 2022-04-07 氧化膜離子刻蝕設備 1 2022-06-30 北方華創 多晶硅等離子刻蝕機 1 2019-03-19 多晶硅等離子刻蝕機 1 2019-09-24 淺溝槽等離子體刻蝕機 2 2020-04-15 多晶硅等離子刻蝕機 1 2021-01-05 多晶柵等離子體刻蝕機 1 2021-01-05 資料來源:中國國際招標網,中信證券研究部 總結:總結:刻蝕設備方面,中微公司、北方華創、屹唐股份分列國內前三,其中中微公司工藝覆蓋范圍相對較廣,其主力出貨類型為 CCP(電容耦合等離子刻蝕),面向介質刻蝕較多,近期 ICP(電感耦合等離子刻蝕

81、)逐步發力,未來工藝范圍有望進一步拓寬;北方華創主要工藝覆蓋為多晶硅、淺溝槽、鋁刻蝕等類型,主要面向金屬、硅等導體刻蝕為主;屹唐股份在長江存儲獲得大量采購,主要面向介質刻蝕。從三座晶圓廠累計招標情況統計,國產設備中標總數 137 臺,晶圓廠招標設備總數 630 臺,由此計算國產化率約 21.7%(按照臺數占比,下同)。與國外廠商相比,國產刻蝕設備在刻蝕精度、工藝覆蓋率等方面還存在進一步提升空間。511411961110102030405060 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 22 2、薄膜沉積:國產化率、薄膜沉積:國產

82、化率 5.7%,拓荊科技、北方華創、盛美上海為國產前,拓荊科技、北方華創、盛美上海為國產前三強三強 長江存儲:薄膜沉積設備主要采購日美設備,包括東京電子、國際電氣、泛林、應用長江存儲:薄膜沉積設備主要采購日美設備,包括東京電子、國際電氣、泛林、應用材料等。材料等。國產廠商中,拓荊科技、北方華創分別中標 19 臺、15 臺,其中拓荊科技中標設備主要為 PECVD(等離子增強化學氣相沉積),北方華創中標設備主要為 PVD(物理氣相沉積)。圖 18:長江存儲 20172022 設備招標薄膜沉積設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 8:長江存儲 20172022 設備招

83、標國產薄膜沉積設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 拓荊科技 前端等離子體增強方式氮化硅薄膜化學氣相沉積設備 1 2019-03-11 后端等離子體增強方式氮氧化硅薄膜化學氣相沉積設備 1 2019-03-25 后端等離子體增強方式以硅酸四乙酯作反應物的二氧化硅薄膜化學氣相沉積設備 1 2019-03-25 前端等離子體增強方式氮氧化硅薄膜化學氣相沉積設備 1 2019-11-22 后端等離子體增強方式以硅酸四乙酯作反應物的二氧化硅薄膜化學氣相沉積設備 1 2020-01-10 后端等離子體增強方式以硅酸四乙酯作反應物的二氧化硅薄膜化學氣相沉積設備 1 20

84、20-01-15 后端等離子體增強方式氮氧化硅薄膜化學氣相沉積設備 1 2020-07-26 化學氣相沉積設備-2 1 2021-02-26 化學氣相沉積設備 1 2021-02-26 化學氣相沉積設備 1 2021-04-09 化學氣相沉積設備 B 1 2021-04-09 化學氣相沉積設備 T 1 2021-04-09 化學氣相沉積設備 1 2021-08-13 沉積設備 1 2021-09-09 化學氣相沉積設備 1 2021-10-21 化學氣相沉積設備-F 1 2021-10-21 化學氣相沉積設備-B 1 2021-10-21 北方華創 鋁墊物理氣相沉積機臺 2 2018-01-3

85、0 214188183181191561050100150200250 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 23 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 鋁墊物理氣相沉積機臺 1 2019-03-29 鉭阻擋層-銅種籽層物理氣相沉積機臺 2 1 2020-01-10 鉭阻擋層-銅種籽層物理氣相沉積機臺 1 2020-01-10 鉭阻擋層-銅種籽層物理氣相沉積機臺 1 2020-01-10 鋁墊物理氣相沉積機臺 1 2020-09-01 氧化硅生長設備 1 2021-04-13 物理氣相沉積機臺 1

86、2021-05-08 沉積設備 2 2021-05-08 沉積機臺 2 2021-11-05 沉積機臺 1 2021-11-05 沉積機臺 1 2021-11-05 資料來源:中國國際招標網,中信證券研究部 華力集成:應用材料中標最多,國產包括拓荊科技、北方華創、盛美上海,分別中標華力集成:應用材料中標最多,國產包括拓荊科技、北方華創、盛美上海,分別中標5/4/1 臺。臺。其中拓荊科技中標設備為 PECVD,北方華創中標設備為濺射設備,盛美上海中標設備為銅電鍍設備。圖 19:華力集成 20162022 設備招標薄膜沉積設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 9:華

87、力集成 20162022 設備招標國產薄膜沉積設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 拓荊科技 等離子體增強方式二氧化硅薄膜化學氣相沉積設備 PEOX 1 2018-08-24 等離子體增強方式二氧化硅薄膜化學氣相沉積設備 PEOX 1 2018-08-24 等離子體增強方式以硅酸四乙酯作反應物的二氧化硅薄膜化學氣相沉積設備 1 2019-08-21 等離子體增強方式以硅酸四乙酯作反應物的二氧化硅薄膜化學氣相沉積設備 1 2020-07-13 等離子體增強方式以硅酸四乙酯作反應物的二氧化硅薄膜化學氣相沉積設備 1 2021-11-09 北方華創 氮化鈦、鉭、鋁

88、濺射設備(APL)1 2018-06-29 鈦、氮化鈦濺射設備(MHM)1 2018-06-29 鈦、氮化鈦濺射設備 1 2020-08-06 6732754211101020304050607080 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 24 射頻氮化鉭、銅濺射設備 1 2020-08-06 盛美上海 銅電鍍設備(ECP)1 2019-02-14 資料來源:中國國際招標網,中信證券研究部 華虹無錫:主要采購應用材料、泛林,國產廠商包括北方華創、拓荊科技、江蘇芯夢,華虹無錫:主要采購應用材料、泛林,國產廠商包括北方華創、拓荊

89、科技、江蘇芯夢,分別中標分別中標 8/5/1 臺。臺。其中,北方華創中標設備為 PVD,拓荊科技中標設備為 PECVD,江蘇芯夢中標設備為化學鍍設備。圖 20:華虹無錫 20182022 設備招標薄膜沉積設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 10:華虹無錫 20182022 設備招標國產薄膜沉積設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 北方華創 金屬硬掩膜氮化鈦沉積設備 1 2019-03-19 金屬氮化鈦濺射掩膜層設備 1 2020-04-15 物理氣相薄膜沉積設備(鈦、氮化鈦、氮化鉭和鋁銅)1 2020-04-15 金屬氮化鈦

90、濺射掩膜層設備 1 2020-12-28 物理氣相薄膜沉積設備(鈦、氮化鈦、氮化鉭和鋁銅)1 2020-12-28 金屬氮化鈦濺射掩膜層設備 2 2022-03-16 物理氣相薄膜沉積設備(鈦、氮化鈦、氮化鉭和鋁銅)1 2022-03-16 拓荊科技 等離子體增強方式化學氣相薄膜沉積設備(后段以硅烷作反應物的二氧化硅)1 2019-03-19 等離子體增強方式化學氣相薄膜沉積設備(后段以硅酸四乙酯作反應物的二氧化硅)1 2019-03-19 等離子體增強方式化學氣相薄膜沉積設備(后段氮化硅)1 2020-04-21 等離子體增強方式化學氣相薄膜沉積設備(前段以硅酸四乙酯作反應物的二氧化硅)1

91、2020-11-17 等離子體增強方式化學氣相薄膜沉積設備(后段以硅烷作反應物的氮化硅)1 2022-02-17 江蘇芯夢 全自動鎳鈀金化學鍍設備 1 2021-09-16 資料來源:中國國際招標網,中信證券研究部 總結:總結:薄膜沉積設備方面,拓荊科技、北方華創、盛美上海分列中標數量國內前三,但三家廠商設備類型有明顯差異,其中拓荊科技主要為 PECVD(等離子增強化學氣相沉積),北方華創主要為 PVD(物理氣相沉積),盛美上海涉及電鍍設備,三家廠商均是對應44338542105101520253035404550 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必

92、閱讀正文之后的免責條款和聲明 25 細分設備(PECVD、PVD、電鍍)領域的國內龍頭,產業地位突出。中微公司等目前也在布局薄膜沉積設備領域。從三座晶圓廠累計招標情況統計,國產設備中標總數 58 臺,晶圓廠招標設備總數 1024 臺,由此計算國產化率約 5.7%。與海外廠商相比,國產廠商在薄膜沉積領域工藝覆蓋類型方面尚不完善,仍有較大發展空間。3、過程控制:國產化率、過程控制:國產化率 3.6%,中科飛測、精測半導體、睿勵科學儀器國,中科飛測、精測半導體、睿勵科學儀器國內領先內領先 長江存儲:過程控制設備主要采購美、日設備,包括長江存儲:過程控制設備主要采購美、日設備,包括 Onto(由(由

93、Nanometrics 和和 Rudolph Technologies 合并)、科天、日立高新、應用材料、賽默飛等。合并)、科天、日立高新、應用材料、賽默飛等。國產廠商中,中科飛測、上海精測半導體、睿勵科學儀器分別中標 7 臺、6 臺、2 臺,其中中科飛測中標設備主要為光學表面三維形貌量測設備,精測半導體中標設備主要為膜厚光學關鍵尺寸量測儀,睿勵科學儀器中標設備為介質薄膜測量系統。圖 21:長江存儲 20172022 設備招標過程控制設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 11:長江存儲 20172022 設備招標國產過程控制設備明細 公司名稱公司名稱 設備名稱設

94、備名稱 數量數量 招標時間招標時間 中科飛測 光學表面三維形貌量測設備 2 2019-03-22 光學表面三維形貌量測設備 1 2019-10-18 光學表面三維形貌量測設備 1 2020-01-10 光學表面三維形貌量測設備-2 1 2020-09-25 量測設備 2 2021-09-28 精測半導體 集成式膜厚光學關鍵尺寸量測儀 3 2020-01-17 集成式膜厚光學關鍵尺寸量測儀-2 3 2020-08-17 睿勵科學儀器 介質薄膜測量系統 2 2017-11-13 資料來源:中國國際招標網,中信證券研究部 華力集成:華力集成:Nova Measuring、科天中標最多,國產僅睿勵科學

95、儀器中標。、科天中標最多,國產僅睿勵科學儀器中標。其中 Nova Measuring 為以色列量測設備公司,共計中標 45 臺,中標產品包括化學機械研磨厚度在線測量設備、光學線寬測量儀設備、硅片厚度測量儀、X 射線光電子能譜分析量測設備等。睿勵科學儀器于 2019 年 11 月中標的 1 臺設備為后段膜厚測量儀設備(BEOL)。1027841262413876655544332222222020406080100120 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 26 圖 22:華力集成 20162022 設備招標過程控制設備各廠

96、商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 12:華力集成 20162022 設備招標國產過程控制設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 睿勵科學儀器 后段膜厚測量儀設備(BEOL)/THK Measurement(BEOL)1 2019-11-21 資料來源:中國國際招標網,中信證券研究部 華虹無錫:主要采購科天、日立高新,國產廠商包括吉姆西半導體科技、無錫卓海。華虹無錫:主要采購科天、日立高新,國產廠商包括吉姆西半導體科技、無錫卓海。其中,吉姆西半導體科技 8 臺中標設備為膜厚測量儀,無錫卓海 1 臺中標設備為套刻精度檢測機。從兩家公司官

97、網我們了解到,吉姆西半導體科技主要業務為半導體再制造設備和研磨液供應系統,再制造設備品牌涵蓋應用材料、泛林、日新、東京電子、Nanometrics、Mattson 等;無錫卓??萍紝W雽w前道檢測與量測設備領域的研發、制造、修理、技術服務,再制造設備品牌涵蓋科天、日立高新、Ruldoph、Quantox、尼康等。圖 23:華虹無錫 20182022 設備招標過程控制設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 13:華虹無錫 20182022 設備招標國產過程控制設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 吉 姆 西 半導體科技 膜厚測量

98、儀(后段厚膜)1 2019-04-16 橢偏膜厚測量儀(后段)2 2020-04-15 橢偏膜厚測量儀(后段)3 2020-12-28 45341815141165433332051015202530354045507126228766653322201020304050607080 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 27 橢 偏 膜 厚 測 量 儀(后 段)/Spectroscopic Ellipsometer Film Thickness Measurement(Cu)2 2022-02-17 無錫卓海 套刻精度檢測

99、機/Overlay 1 2021-02-08 資料來源:中國國際招標網,中信證券研究部 總結:總結:過程控制設備方面,中科飛測、精測半導體、睿勵科學儀器屬于國內布局領先企業,其中中科飛測主要產品為光學表面三維形貌量測設備等光學檢測設備,精測半導體、睿勵科學儀器主要產品均為膜厚量測設備。從三座晶圓廠累計招標情況統計,國產設備中標總數 25 臺,晶圓廠招標設備總數 703 臺,由此計算國產化率約 3.6%,國產廠商設備僅覆蓋膜厚量測、光學形貌量測等類型,品類尚不齊全,存在較大市場空間尚待開拓。4、氧化擴散、氧化擴散/熱處理設備:國產化率熱處理設備:國產化率 28%,北方華創優勢較為明顯,北方華創優

100、勢較為明顯 長江存儲:北方華創中標僅次于東京電子,屹唐股份、成都萊普科技亦獲得采購。長江存儲:北方華創中標僅次于東京電子,屹唐股份、成都萊普科技亦獲得采購。其中北方華創共計中標 100 臺,中標產品涵蓋氧化、退火、合金等設備。屹唐股份中標 8 臺,主要為退火設備;成都萊普科技于 2021 年 9 月中標 2 臺,為退火設備。圖 24:長江存儲 20172022 設備招標氧化擴散/熱處理設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 14:長江存儲 20172022 設備招標國產氧化擴散/熱處理設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 北方華

101、創 立式常壓氧化設備 1 2018-11-16 立式常壓氧化設備 2 2019-01-11 立式高溫退火設備 6 2019-01-11 立式常壓氧化設備 8 2019-03-29 立式高溫退火設備-2 5 2019-03-29 氣體驅離及源極退火設備 2 2019-03-29 立式氧化爐管設備 1 2019-05-17 金屬退火設備 3 2019-10-12 立式常壓氧化設備 3 2019-10-12 立式高溫退火設備 1 2019-10-12 立式常壓氧化管式爐 1 2019-12-13 立式高溫退火設備 3 2020-01-10 129100301482020406080100120140

102、 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 28 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 立式合金爐管設備 2 2020-01-10 立式高溫退火設備 1 2020-01-10 立式合金爐管設備 1 2020-10-16 立式高溫退火設備 13 2020-10-16 金屬退火設備 1 2020-10-16 立式合金爐管設備-2 1 2020-10-16 立式高溫退火設備 12 2020-10-16 退火設備 3 2020-12-25 立式高溫退火設備 1 2021-04-21 合金爐管設備 2 2021-

103、05-07 立式常壓氧化設備 1 2021-05-07 立式常壓氧化設備-B 14 2021-05-08 氧化設備 5 2021-06-04 爐管 1 2021-06-04 退火設備 1 2021-11-05 退火設備 1 2021-11-05 退火設備 1 2021-11-05 爐管設備 1 2021-11-05 氧化設備 1 2021-11-05 氧化設備 1 2021-11-05 屹唐股份 快速熱處理設備 1 2017-08-07 高溫快速熱退火設備 1 2019-01-11 快速熱退火設備 1 2020-01-10 低溫熱退火設備 1 2020-01-10 退火設備 2 2021-06

104、-25 成都萊普科技股份有限公司 退火設備 2 2021-09-15 資料來源:中國國際招標網,中信證券研究部 華力集成:東京電子、應用材料等企業領先,北方華創、屹唐股份、盛美上海亦獲得華力集成:東京電子、應用材料等企業領先,北方華創、屹唐股份、盛美上海亦獲得采購。采購。其中北方華創共計中標 4 臺,中標產品涵蓋退火、合金、氧化爐設備;屹唐股份(Mattson)中標 2 臺,為快速熱退火/快速熱氧化設備;盛美上海中標 1 臺,為低壓高溫氧化爐設備。電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 29 圖 25:華力集成 2016202

105、2 設備招標氧化擴散/熱處理設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 15:華力集成 20162022 設備招標國產氧化擴散/熱處理設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 北方華創 單片退火設備 1 2016-12-26 烘烤/合金退火設備 Bake/Alloy Anneal 1 2018-06-29 常壓中溫氧化爐設備 SAC/PAD 1 2018-06-29 烘烤合金退火設備 1 2020-08-06 屹唐股份 尖峰快速退火&快速熱退火(前段)設備 1 2018-01-26 快速熱退火&快速熱氧化(前段)設備 1 2018-01

106、-26 盛美上海 低壓高溫氧化爐設備/LP HTO 采購 1 2020-08-01 資料來源:中國國際招標網,中信證券研究部 華虹無錫:東京電子獲采購最多,國產廠商包括北方華創、屹唐股份、上海微電子等。華虹無錫:東京電子獲采購最多,國產廠商包括北方華創、屹唐股份、上海微電子等。其中,北方華創中標 11 臺設備,包括合金退火爐、真空烘烤爐等;屹唐股份中標 4 臺,為快速熱退火設備;上海微電子中標 4 臺,為背面激光退火設備。圖 26:華虹無錫 20182022 設備招標氧化擴散/熱處理設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 24108422110510152025309

107、726114441020406080100120 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 30 表 16:華虹無錫 20182022 設備招標國產氧化擴散/熱處理設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 北方華創 合金退火爐 1 2019-03-19 合金退火爐 1 2019-03-19 真空烘烤爐 2 2020-03-10 合金退火爐 3 2020-04-15 合金退火爐 2 2020-11-17 退火爐 1 2021-01-06 合金退火爐(前道)2 2022-03-16 屹唐股份 快速熱退

108、火設備(后段)1 2020-04-27 快速熱退火設備 1 2020-04-27 快速熱退火設備 1 2020-11-05 快速熱退火設備(高溫)1 2022-04-07 上海微電子裝備(集團)股份有限公司 背面激光退火設備 1 2019-08-06 背面激光退火設備 1 2019-09-24 全自動 300mm Taiko 晶圓激光退火設備 2 2022-02-17 資料來源:中國國際招標網,中信證券研究部 總結:總結:氧化擴散/熱處理設備方面,北方華創中標設備數量靠前,尤其是在長江存儲中獲采購數量較大。北方華創相關設備主要以各類氧化爐、退火爐、合金爐等為主;除北方華創外,屹唐股份、盛美上海

109、等公司亦有相關爐管產品;上海微電子面向 IGBT 等應用開發了激光退火設備,與爐管設備有所區別。從三座晶圓廠累計招標情況統計,國產設備中標總數 136 臺,晶圓廠招標設備總數 482 臺,由此計算國產化率約 28.2%。5、清洗:國產化率、清洗:國產化率 38%,盛美上海中標設備數量國產最多,僅次于日本,盛美上海中標設備數量國產最多,僅次于日本迪恩士迪恩士 長江存儲:盛美上海中標設備數僅次于日本廠商迪恩士,國產中標廠商還包長江存儲:盛美上海中標設備數僅次于日本廠商迪恩士,國產中標廠商還包括芯矽科括芯矽科技、北方華創、屹唐股份。技、北方華創、屹唐股份。其中盛美上海共中標 35 臺,中標產品主要包

110、括各類型單片式清洗機;芯矽科技共計中標 5 臺,中標產品為零部件清洗機;北方華創共中標 2 臺制程擋控片蝕刻回收清洗機;屹唐股份亦于 2021 年中標 2 臺清洗設備。電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 31 圖 27:長江存儲 20172022 設備招標清洗設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 17:長江存儲 20172022 設備招標國產清洗設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 盛美上海 單片清洗機 1 2017-06-29 單片式鎢制程化學清洗機 2

111、 2017-12-28 制程控片回收清洗機 3 2018-03-27 12 寸晶圓單片式晶背清洗機 1 2018-09-14 12 寸晶圓單片式硅晶延前&無定型碳后清洗機 1 2018-09-14 單片清洗機 1 2019-03-18 12 寸晶圓單片式輕聚合物化學清洗機 2 2019-03-29 12 寸晶圓單片式晶背清洗機 1 2019-04-04 12 寸晶圓單片式清洗機 1 2019-04-04 12 寸晶圓單片式清洗機-2 1 2019-04-04 12 寸晶圓單片式晶背清洗機 1 2019-06-28 12 寸鎢后段清洗機 1 2019-12-27 12 寸晶圓單片式前段空檔片化學

112、清洗機 1 2019-12-27 單片式標準&臭氧清洗機 1 2020-07-16 單片式標準&臭氧清洗機 1 2020-07-16 12 寸晶圓單片式輕聚合物化學清洗機 1 2020-07-16 12 寸鎢后段清洗機 1 2020-08-14 12 寸銅后段清洗機(含臭氧)1 2020-08-14 12 寸鎢后段清洗機-2 1 2020-08-14 12 寸鎢后段清洗機-3 1 2020-08-14 12 寸銅后段清洗機 1 2020-08-14 12 寸晶圓單片式輕聚合物化學清洗機 1 2020-08-18 12 寸晶圓單片式銅空檔片化學清洗機 1 2020-09-04 12 寸晶圓單片式

113、鎢空檔片化學清洗機 1 2020-09-04 清洗機 1-C 3 2021-04-09 清洗機-W 1 2021-04-09 清洗機-T 1 2021-04-09 594135241565444322222010203040506070 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 32 清洗機-H 1 2021-04-09 清洗機 1 2021-09-17 芯矽科技 Parts Cleaner 半導體零件清洗機 2 2020-07-16 零部件清洗機 3 2020-11-03 北方華創 制程擋控片蝕刻回收清洗機 2 2018-03

114、-27 屹唐股份 清洗設備 1 2021-05-24 清洗設備 1 2021-05-24 北京京儀 半導體零件清洗機 2 2020-12-04 半導體零件清洗機 2 2020-10-21 資料來源:中國國際招標網,中信證券研究部 華力集成:盛美上海中標僅次于日本迪恩士,北方華創、芯源微亦獲得采購。華力集成:盛美上海中標僅次于日本迪恩士,北方華創、芯源微亦獲得采購。其中盛美上海共計中標 19 臺,中標產品涵蓋前段、后段工藝的清洗設備;北方華創中標 13 臺,均為部件清洗設備;芯源微中標 3 臺,為刷片清洗設備。圖 28:華力集成 20162022 設備招標清洗設備各廠商中標數量合計 資料來源:中

115、國國際招標網,中信證券研究部 表 18:華力集成 20162022 設備招標國產清洗設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 盛美上海 單片兆聲波清洗設備 1 2016-12-26 單片式清洗設備 5 2018-01-02 前段控檔片回收設備(前段 Oxide)1 2018-03-12 鋁刻蝕后清洗及機械研磨后清洗設備/post Al etch Wet clean and post wafer edge triming clean 1 2018-04-18 前段控檔片回收設備(前段 HK)/HK Recycle 1 2018-12-17 后段控檔片回收設備(Cu

116、)/Recycle(BE/Cu)1 2019-09-17 刷片清洗設備(后端銅工藝)/Scrubber/Bevel Clean(CU)1 2019-09-17 前段控檔片回收設備(前段 Oxide&Poly(Bench))/Recycle(前段Oxide&Poly(Bench))1 2019-09-17 刷片清洗設備(后端銅工藝)/Scrubber/Bevel Clean(CU)1 2019-10-22 機械研磨后清洗設備/Post wafer edge triming clean 1 2020-01-19 單片式濕法清洗設備/FEOL single WET clean 1 2020-07-1

117、7 后段 Cu 聚合物剝離設備/Post Polymer Removal(BEOL-Cu)1 2020-07-18 4119191333211051015202530354045 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 33 前段混合式聚合物剝離設備/Hybrid Polymer Removal(FEOL)1 2020-07-18 后段 Cu 聚合物剝離設備 1 2020-08-22 刷片清洗設備 1 2020-08-22 北方華創 爐管清洗裝置(水平式)1 2018-04-09 部件清洗槽/機 12 2018-04-09 芯

118、源微 刷片清洗設備(前端)/Scrubber Bevel Clean(CU)1 2019-10-22 刷片清洗設備 1 2020-06-12 刷片清洗設備 Scrubber Bevel Clean 1 2020-09-15 資料來源:中國國際招標網,中信證券研究部 華虹無錫:迪恩士、盛美上海分列前兩位,國產廠商還包括華虹無錫:迪恩士、盛美上海分列前兩位,國產廠商還包括上海稷以科技有限公司上海稷以科技有限公司。其中,盛美上海中標 24 臺設備涵蓋前后段制程,涉及銅線聚合體剝離、鋁線及通孔清洗、多晶硅氧化膜硅片再生、擴散爐前清洗等環節,產品應用較為多樣;上海稷以科技有限公司于 2021 年 9 月

119、首次中標華虹無錫清洗設備 1 臺,具體產品為 300mm 薄片等離子背面清洗機。圖 29:華虹無錫 20182022 設備招標清洗設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 19:華虹無錫 20182022 設備招標國產清洗設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 盛美上海 銅硅片再生設備(銅后段工藝)1 2019-03-19 多晶硅/氧化膜硅片再生設備 1 2019-03-19 背面清洗設備 1 2019-03-19 金屬硅片再生設備(后段工藝)1 2019-03-19 銅線聚合體剝離設備(后段工藝)1 2019-03-19 爐前清洗

120、設備 1 2019-08-06 鋁線及通孔清洗設備(后段工藝)1 2020-04-21 銅線聚合體剝離設備 1 2020-04-21 刷片機 1 2020-04-21 多晶硅氧化膜硅片再生設備 1 2020-04-21 刷片機 1 2020-11-17 刷片機(銅后段)1 2020-11-17 擴散爐前清洗設備(柵氧后)1 2020-11-17 2524106211051015202530 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 34 擴散爐前清洗設備(柵氧后)1 2020-12-28 刷片機(前段)2 2020-12-28

121、鋁線及通孔清洗設備 1 2020-12-28 刷片機(銅后段)1 2020-12-28 銅線聚合體剝離設備 1 2020-12-28 鋁線及通孔清洗設備(后段工藝)1 2022-02-17 銅線聚合體剝離設備(后段工藝)3 2022-02-17 擴散爐前清洗設備(柵氧前標準版)1 2022-02-17 上海稷以科技有限公司 300mm 薄片等離子背面清洗機 1 2021-09-07 資料來源:中國國際招標網,中信證券研究部 總結:總結:清洗設備方面,盛美上海在選取的三家晶圓廠中設備中標數量位列第二,僅次于日本迪恩士。盛美上海清洗設備工藝覆蓋面較廣,基本涵蓋前、中、后段工藝,除盛美上海以外,國內

122、至純科技、北方華創、芯源微、屹唐股份等企業在該領域均有所布局,積極推進國產化。盛美上海以超/兆聲波方法為特色,可搭配二流體清洗,并推出槽式濕法、刷洗設備,根據公司官方公眾號,截至 2021 年 10 月,其濕法設備交付 2000 腔,累計出貨超過 300 臺;至純科技產品包括槽式濕法工作站和單片式濕法設備,其中單片式濕法設備為旋轉噴淋 Spin-Spray 類型,對標 SCREEN、LAM 等企業,根據公司公告及產業調研,截至 2021 年三季度末,公司濕法設備累計交付超過 100 臺,客戶已涵蓋中芯寧波、中芯紹興、中芯天津、華為、燕東微電子、上海集成電路研發中心、力積電等;芯源微產品包括單片

123、式清洗機及 Scrubber 刷洗設備等,在中芯國際、上海華力、廈門士蘭集科等多客戶處通過工藝驗證,獲得多家 Fab 廠批量重復訂單。從三座晶圓廠累計招標情況統計,國產設備中標總數 114 臺,晶圓廠招標設備總數 381 臺,由此計算國產化率約 37.8%。當前國產設備主要在后端制程為主,且部分用于處理控片、擋片,在正片、前端制程應用相對有限,未來仍存在較大發展空間。6、去膠:國產化率、去膠:國產化率 74%,屹唐股份、盛美上海國產入圍,屹唐股份、盛美上海國產入圍 長江存儲:屹唐股份中標數量位列第一。長江存儲:屹唐股份中標數量位列第一。屹唐股份共計中標 74 臺,數量超過韓國 PSK,中標產品

124、涵蓋前、中、后段干法去膠設備。屹唐股份為中標范圍內唯一一家國產廠商。圖 30:長江存儲 20172022 設備招標去膠設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 741201020304050607080屹唐股份PSK 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 35 表 20:長江存儲 20172022 設備招標國產去膠設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 屹唐股份 中段干法去膠 2 2017-11-09 前段干法去膠 3 2017-11-09 后段干法去膠 2 2017

125、-11-09 干法去膠設備(W)1 2018-11-15 干法去膠設備(FEOL)1 2018-11-15 干法去膠設備(W)6 2019-03-19 干法去膠設備(BEOL)1 2019-04-04 干法去膠設備(FEOL)8 2019-04-04 干法去膠設備(FEOL)1 2019-11-01 干法去膠設備(W)4 2019-11-01 干法去膠設備(BEOL)1 2019-10-31 干法去膠設備(W)3 2020-01-02 干法去膠設備(W)3 2020-05-27 干法去膠設備(FEOL)1 2020-07-16 去膠設備 3 6 2020-09-29 去膠設備 10 2020-

126、09-29 去膠設備 1 2020-12-01 去膠機 1 2021-06-25 去膠機 1 2021-06-25 去膠設備 1 2021-06-25 去膠機 1 2021-06-25 去膠機 1 2021-06-25 去膠機 7 2021-06-25 去膠機 1 2021-06-25 去膠設備 5 2021-09-09 去膠設備 1 2021-09-09 去膠設備 1 2021-09-09 資料來源:中國國際招標網,中信證券研究部 華力集成:屹唐股份中標數量位列第一。華力集成:屹唐股份中標數量位列第一。屹唐股份共計中標 10 臺,中標產品為等離子去膠設備,涵蓋前、中、后段去膠工藝。電子電子行

127、業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 36 圖 31:華力集成 20162022 設備招標去膠設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 21:華力集成 20162022 設備招標國產去膠設備明細 公司名稱 設備名稱 數量 招標時間 屹唐股份 鎳等離子體去膠機 1 2017-06-16 等離子體去膠機(后段)設備 1 2017-12-29 等離子體去膠機(中段)設備 3 2017-12-29 等離子去膠機(前段)/ASHER 1 2018-09-17 等離子體去膠機 Asher 1 2019-08-26 等離

128、子體去膠機 Asher(BSI)1 2019-09-27 等離子體去膠機 Asher(Ni)1 2019-09-27 等離子體去膠機 Asher(FEOL)1 2020-08-08 資料來源:中國國際招標網,中信證券研究部 華虹無錫:迪恩士獲采購較多,國產廠商包括屹唐股份、盛美上海。華虹無錫:迪恩士獲采購較多,國產廠商包括屹唐股份、盛美上海。其中,屹唐股份中標 21 臺設備,均為等離子去膠設備;盛美上海中標 9 臺,均為前段光刻膠剝離設備。圖 32:華虹無錫 20182022 設備招標去膠設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 108024681012屹唐股份泛林La

129、m Research2117930510152025屹唐股份迪恩士Screen盛美上海泛林Lam Research 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 37 表 22:華虹無錫 20182022 設備招標國產去膠設備明細 公司名稱 設備名稱 數量 招標時間 屹唐股份 等離子體去膠機 1 2020-01-21 等離子去膠機 5 2020-04-27 等離子去膠機 4 2020-12-28 等離子去膠機 1 2021-02-22 等離子去膠機 2 2021-08-09 等離子去膠機 7 2022-04-07 等離子去膠機 1

130、2022-06-17 盛美上海 前段光刻膠剝離單片設備 1 2020-04-21 前段光刻膠剝離設備 1 2020-11-17 前段光刻膠剝離設備 1 2020-12-28 前段光刻膠剝離設備 1 2021-02-22 前段光刻膠剝離單片設備 1 2022-02-17 光刻膠剝離設備(標準版)4 2022-02-17 資料來源:中國國際招標網,中信證券研究部 總結:總結:去膠設備方面,屹唐股份、盛美上海等公司入圍,兩家設備類型有所區別。其中,屹唐股份主要產品為各類等離子體干法去膠設備,其收購的 Mattson 在去膠領域具有長期技術積累,國產化率相對較高,盛美上海產品為濕法光刻膠剝離設備。從三

131、座晶圓廠累計招標情況統計,國產設備中標總數 114 臺,晶圓廠招標設備總數 154 臺,由此計算國產化率約 74.0%。7、化學機械拋光:國產化率、化學機械拋光:國產化率 23%,華海清科為國內細分龍頭,華海清科為國內細分龍頭 長江存儲:應用材料、華海清科中標最多。長江存儲:應用材料、華海清科中標最多。其中華海清科共計中標 34 臺,僅次于應用材料,中標產品主要為層間介質層化學機械拋光機、氧化硅化學機械拋光機、晶圓硅面化學機械拋光機等。圖 33:長江存儲 20172022 設備招標化學機械拋光設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 763433245010203040

132、50607080應用材料Applied Materials華海清科DISCO信紘科技ATLANTA 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 38 表 23:長江存儲 20172022 設備招標國產化學機械拋光設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 華海清科 氧化硅化學機械拋光機 2 2019-03-25 層間介質層化學機械拋光機 2 2019-03-25 層間介質層化學機械拋光機 1 2019-08-30 氧化硅化學機械拋光機 2 2020-01-10 層間介質層化學機械拋光機 1 2020-

133、01-10 晶圓硅面化學機械拋光機 3 2020-01-10 氧化硅化學機械拋光機 3 2020-08-14 晶圓硅面化學機械拋光機 1 2020-08-14 晶圓硅面化學機械拋光機-2 2 2020-08-14 層間介質層化學機械拋光機-2 1 2020-08-14 氧化硅化學機械拋光機(Bond Oxide)-2 1 2020-08-14 層間介質層化學機械拋光機 1 2020-08-14 氧化硅化學機械拋光機(Bond Oxide)1 2020-08-14 拋光機 1 2020-12-14 拋光機-C 2 2021-04-13 拋光機 1 2021-04-30 拋光機 1 2021-06

134、-16 拋光機-C 1 2021-07-09 拋光機 1 2021-07-09 拋光機 1 2021-09-09 拋光機 3 2021-09-09 拋光機 1 2021-09-16 拋光機 1 2021-09-16 資料來源:中國國際招標網,中信證券研究部 華力集成:應用材料、華力集成:應用材料、荏原制作所荏原制作所領先,國內華海清科中標。領先,國內華海清科中標。華海清科共計中標 4 臺,中標產品涵蓋硅研磨設備、銅化學機械研磨設備、氧化硅化學機械研磨設備和硅片背面氧化膜化學機械研磨設備。電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明

135、39 圖 34:華力集成 20162022 設備招標化學機械拋光設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 24:華力集成 20162022 設備招標國產化學機械拋光設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 華海清科 硅研磨設備 1 2018-04-13 銅化學機械研磨設備 1 2019-11-12 氧化硅化學機械研磨設備 1 2020-05-11 硅片背面氧化膜化學機械研磨設備 1 2020-07-21 資料來源:中國國際招標網,中信證券研究部 華虹無錫:應用材料、華海清科獲采購較多。華虹無錫:應用材料、華海清科獲采購較多。華海清科共

136、計中標 13 臺設備,化學機械拋光工藝涵蓋銅、硅片再生、淺溝槽絕緣氧化膜&多晶硅膜、鎢等工藝環節,應用領域較為多樣;吉姆西半導體科技中標 6 臺,為氧化膜化學機械拋光設備。圖 35:華虹無錫 20182022 設備招標化學機械拋光設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 25:華虹無錫 20182022 設備招標國產化學機械拋光設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 華海清科 化學機械拋光設備(銅)1 2019-03-19 化學機械拋光設備(硅片再生)1 2019-03-19 化學機械拋光設備(淺溝槽絕緣氧化膜&多晶硅膜)1 202

137、0-04-16 化學機械拋光設備(鎢)2 2020-04-16 201140510152025應用材料Applied Materials荏原制作所華海清科18136102468101214161820應用材料Applied Materials華海清科無錫吉姆西DISCO 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 40 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 化學機械拋光設備(鎢)1 2020-09-30 化學機械拋光設備(鎢)1 2020-11-17 化學機械拋光設備(鎢)1 2021-01-06 化學機

138、械拋光設備(鎢)1 2021-01-06 化學機械拋光設備(淺溝槽絕緣氧化膜&多晶硅膜)1 2021-01-06 化學機械拋光設備(鎢)1 2022-02-09 化學機械拋光設備(銅)2 2022-02-09 吉 姆 西 半導體科技 化學機械拋光設備(氧化膜)2 2020-04-15 化學機械拋光設備(氧化膜)1 2020-05-13 化學機械拋光設備(氧化膜)1 2020-11-17 化學機械拋光設備(氧化膜)1 2020-12-28 化學機械拋光設備(氧化膜)1 2022-02-17 資料來源:中國國際招標網,中信證券研究部 總結:總結:化學機械拋光設備方面,華海清科為國內細分龍頭,化學機

139、械拋光設備涵蓋銅、硅片再生、淺溝槽絕緣氧化膜&多晶硅膜、鎢等多類材料。從三座晶圓廠累計招標情況統計,國產設備中標總數 57 臺,晶圓廠招標設備總數 245 臺,由此計算國產化率約 23.3%。與海外廠商相比,在工藝覆蓋率方面,國內廠商有進一步提升空間。8、離子注入:國產化率、離子注入:國產化率 3.1%,爍科中科信國產獲采購,爍科中科信國產獲采購 長江存儲:應用材料、亞舍立(長江存儲:應用材料、亞舍立(Axcelis)中標較多。)中標較多。應用材料為離子注入領域全球龍頭,共計中標 43 臺,中標產品涵蓋高束流、中束流等類型;亞舍立 Axcelis 中標 9 臺,主要為高能離子注入設備。圖 36

140、:長江存儲 20172022 設備招標離子注入設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 華力集成:應用材料、住友重工、亞舍立等企業領先,國產爍科中科信獲得采購。華力集成:應用材料、住友重工、亞舍立等企業領先,國產爍科中科信獲得采購。應用材料中標設備涵蓋高電流、中電流和高能量離子注入設備;住友重工中標設備包括高電流和中電流離子注入設備;亞舍立中標設備為中電流和高能量離子注入機;爍科中科信于2019 年中標 1 臺中束流離子注入機。439205101520253035404550應用材料Applied Materials亞舍立科技Axcelis Technologies漢辰

141、科技股份有限公司 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 41 圖 37:華力集成 20162022 設備招標離子注入設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 26:華力集成 20162022 設備招標國產離子注入設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 爍科中科信 中束流離子注入機 1 2019-01-14 資料來源:中國國際招標網,中信證券研究部 華虹無錫:住友重工、應用材料獲采購最多,國產廠商爍科中科信獲得采購。華虹無錫:住友重工、應用材料獲采購最多,國產廠商爍

142、科中科信獲得采購。其中,住友重工、應用材料、亞舍立分別中標 30 臺、25 臺、6 臺離子注入機;爍科中科信于 2020年中標 1 臺,為中電流離子注入設備。圖 38:華虹無錫 20182022 設備招標離子注入設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 27:華虹無錫 20182022 設備招標國產離子注入設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 爍科中科信 中電流離子注入設備 1 2020-01-09 中電流離子注入設備 1 2022-03-22 資料來源:中國國際招標網,中信證券研究部 總結:總結:離子注入設備方面,爍科中科信在華

143、虹無錫、華力集成均獲得中標,中標設備均為中束流離子注入設備。凱世通半導體亦為國內離子注入機國產化主力廠商,低能大束流和高能離子注入機陸續交付客戶。從三座晶圓廠累計招標情況統計,國產設備中標總數3274105101520253035應用材料Applied MaterialsSumitomo HeavyIndustries IonTechnology Co.,Ltd.亞舍立科技Axcelis Technologies爍科中科信30256205101520253035Sumitomo HeavyIndustries IonTechnology Co.,Ltd.應用材料Applied Material

144、s亞舍立科技Axcelis Technologies爍科中科信 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 42 5 臺,晶圓廠招標設備總數 161 臺,由此計算國產化率約 3.1%,該領域尚存在較大國內外差距,替代空間廣闊。9、涂膠顯影:國產化率、涂膠顯影:國產化率 1%,芯源微實現國產零突破,芯源微實現國產零突破 長江存儲:主要采購自東京電子,部分迪恩士、漢民科技。長江存儲:主要采購自東京電子,部分迪恩士、漢民科技。尚未采購國產廠商設備。圖 39:長江存儲 20172022 設備招標涂膠顯影設備各廠商中標數量合計 資料來源:中

145、國國際招標網,中信證券研究部 華力集成:東京電子獲采購數量領先,芯源微實現零的突破。華力集成:東京電子獲采購數量領先,芯源微實現零的突破。其中,國產廠商芯源微中標 1 臺,為防反射層勻膠機設備/BARC Coater。圖 40:華力集成 20162022 設備招標涂膠顯影設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 28:華力集成 20162022 設備招標國產涂膠顯影設備明細 公司名稱公司名稱 設備名稱設備名稱 數量數量 招標時間招標時間 芯源微 防反射層勻膠機設備/BARC Coater 1 2018-06-07 資料來源:中國國際招標網,中信證券研究部 華虹無錫:

146、華虹無錫:18 臺招標涂膠顯影設備全部采購自東京電臺招標涂膠顯影設備全部采購自東京電子,尚未采購國產廠商設備。子,尚未采購國產廠商設備。52110102030405060東京電子Tokyo Electron漢民科技Hermes Epitek迪恩士Screen1711024681012141618東京電子Tokyo Electron芯源微馗鼎奈米科技股份有限公司 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 43 圖 41:華虹無錫 20182022 設備招標涂膠顯影設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 總

147、結:總結:涂膠顯影設備方面,東京電子獲采購較多,國產設備公司中僅芯源微入圍。芯源微在華力集成中標設備為勻膠機,國產化尚存在較大發展空間。除上述晶圓廠外,芯源微還在中芯紹興、上海積塔、青島芯恩等晶圓廠獲得批量招標采購,產品包括聚合物涂膠顯影機、背面涂膠顯影機、KrF 勻膠顯影機、I-line 勻膠顯影機等,公司在國內涂膠顯影設備領域具有一定稀缺性。從前述三座晶圓廠累計招標情況統計,國產設備中標總數 1 臺,晶圓廠招標設備總數 100 臺,由此計算國產化率約 1.0%。10、光刻:國產化率、光刻:國產化率 1.1%,阿斯麥絕對壟斷,上海微實現國產零突,阿斯麥絕對壟斷,上海微實現國產零突破破 光刻機

148、方面,各晶圓廠均主要采購阿斯麥產品,少量采購日系廠商佳能、尼康。光刻機方面,各晶圓廠均主要采購阿斯麥產品,少量采購日系廠商佳能、尼康。國產廠商中,上海微電子裝備于 2021 年初于長江存儲中標一臺光刻機。當前在光刻機領域,后續廠與龍頭廠商阿斯麥之間差距仍較為明顯。從三座晶圓廠累計招標情況來看,國產設備中標總數 1 臺,晶圓廠招標設備總數 93 臺,國產化率約 1.1%,國產化率尚低。圖 42:長江存儲 20172022 設備招標光刻設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 表 29:長江存儲 20172022 設備招標國產去膠設備明細 公司名稱公司名稱 設備名稱設備名稱

149、 數量數量 招標時間招標時間 上海微電子裝備 光刻機 1 2021-01-09 資料來源:中國國際招標網,中信證券研究部 27051015202530東京電子Tokyo Electron41121051015202530354045阿斯麥ASML佳能Canon上海微電子裝備 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 44 圖 43:華力集成 20162022 招標光刻設備各廠商中標數量合計 資料來源:中國國際招標網,中信證券研究部 圖 44:華虹無錫 20182022 招標光刻設備各廠商中標數量合計 資料來源:中國國際招標網,中

150、信證券研究部 風險因素風險因素 下游需求不及預期;國際產業環境變化和貿易摩擦加劇風險;宏觀經濟增速不及預期;晶圓廠資本開支不及預期;國產設備研發不及預期等;招標投標數據范圍有限導致分析結果一定程度偏頗的風險??偨Y:總結:測算測算三座晶圓廠設備國產化率總體在三座晶圓廠設備國產化率總體在 17%左右,左右,堅定看好設備國產替代趨勢堅定看好設備國產替代趨勢 國產國產化率化率測算測算:2016-2022 年年長江存儲、華虹無錫、華力集成長江存儲、華虹無錫、華力集成累計累計設備國產化率(按設備國產化率(按照設備臺數占比,下同)分別為照設備臺數占比,下同)分別為 17.9%、12.9%、18%。經過前文討

151、論,我們匯總了三座晶圓廠各類設備的國產化率情況。從各類型設備來看,從各類型設備來看,2016-2022 年累計設備招標中,去膠、清洗、氧化擴散/熱處理、刻蝕、化學機械拋光領域國產化率均可達到 20%以上,而薄膜沉積、過程控制、離子注入、光刻、涂膠顯影設備國產化率尚低。我們發現,國產化率較高的領域都可以找到相應的國內細分龍頭公司,在去膠領域,屹唐股份收購的 Mattson公司在等離子體去膠領域具有長期成熟技術積累,是去膠領域細分龍頭廠商,因此國產化率最高;清洗領域盛美上海深耕多年,兆聲波清洗技術獨特,亦占據國內出貨領先地位;氧化擴散/熱處理領域,北方華創出貨較多,尤其是在長江存儲占比較高;刻蝕領

152、域,中微公司在介質刻蝕深耕多年,北方華創在金屬和硅刻蝕長期布局,屹唐股份亦在介質刻蝕具有成熟技術;化學機械拋光領域,華海清科為國內細分龍頭。而國產化率尚低的領域均為產品類型繁多或者技術壁壘較高領域,尚待時間開拓,如薄膜沉積產品類型眾多,當前國產廠商布局尚且有限,過程控制、離子注入和光刻機技術壁壘均較高,需長期技術積淀,國內廠商需經歷長期發展有望逐步突破,目前拓荊科技、中微公司分工協作分別布局PECVD、LPCVD 化學氣相沉積領域,北方華創布局 PVD 物理氣相沉積領域,芯源微在涂膠顯影領域實現零的突破,上海微電子在光刻領域實現零的突破。三座晶圓廠橫向對比來看,三座晶圓廠橫向對比來看,長江存儲

153、在設備國產化方面較為積極,總體國產化率高于14110246810121416阿斯麥ASML尼康東京電子Tokyo Electron2120510152025阿斯麥ASML尼康 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 45 另兩家晶圓廠,這可能是由于長江存儲生產存儲芯片為 IDM 模式(設計、制造一體),設備選擇自主性相對高于晶圓廠代工廠(代工廠或需考慮設計客戶接受情況)。華虹無錫與華力集成同屬于華虹集團,而華虹無錫各類型設備國產化率基本均高于華力集成(個別如氧化擴散/熱處理、離子注入除外,但相差不大),這可能是由于華虹無錫主要

154、制程在90nm55nm,屬于成熟制程,華力集成主要為 2814nm,相對于華虹無錫而言屬于較先進制程,成熟制程在設備國產化率方面相對更高。表 30:長江存儲、華力集成、華虹無錫三座晶圓廠招投標國產設備份額統計明細(20162022 年)國產設備國產設備臺數臺數 設備總數設備總數 國產化率(按設備數量)國產化率(按設備數量)長江長江存儲存儲 華力華力集成集成 華虹華虹無錫無錫 合計合計 長江長江存儲存儲 華力華力集成集成 華虹華虹無錫無錫 合計合計 長江存儲長江存儲 華力集成華力集成 華虹無錫華虹無錫 合計合計 去膠 74 10 30 114 86 18 50 154 86.0%55.6%60.

155、0%74.0%清洗 84 35 25 144 210 102 69 381 40.0%34.3%36.2%37.8%氧化擴散/熱處理 110 7 19 136 283 52 147 482 38.9%13.5%12.9%28.2%化學機械拋光 34 4 19 57 172 35 38 245 19.8%11.4%50.0%23.3%刻蝕 100 17 20 137 456 80 94 630 21.9%21.3%21.3%21.7%薄膜沉積 34 10 14 58 807 120 97 1024 4.2%8.3%14.4%5.7%過程控制 15 1 9 25 364 179 160 703 4

156、.1%0.6%5.6%3.6%離子注入 2 1 2 5 54 44 63 161 3.7%2.3%3.2%3.1%光刻 1 0 0 1 54 16 23 93 1.9%0.0%0.0%1.1%涂膠顯影 0 1 0 1 54 19 27 100 0.0%5.3%0.0%1.0%合計合計 454 86 138 678 2540 665 768 3973 17.9%12.9%18.0%17.1%資料來源:中國國際招標網,中信證券研究部 注:考慮到再制造設備原品牌多為海外廠商,故未將再制造設備列入國產設備數目中;2022年截至 7 月 4 日 圖 45:三座晶圓廠招投標設備合計國產化率排序(20162

157、022)資料來源:中國國際招標網,中信證券研究部;2022 年截至 7 月 4日 圖 46:三座晶圓廠招投標設備各自國產化率對比(20162022)資料來源:中國國際招標網,中信證券研究部;2022 年截至 7 月 4日 產能擴張產能擴張+國產替代積極推進,看好未來國產替代積極推進,看好未來 12 年半導體設備行業發展年半導體設備行業發展。展望 2022 年下半年2023 年,中芯國際、華虹無錫、華力集成等晶圓代工廠以及長鑫存儲、長江存儲等 IDM 廠均有持續產能擴增計劃,在當前行業景氣、產能緊張背景下,預計半導體設備公司將持續有基本面業績支撐。另一方面,美國制裁華為、中芯國際等已經激發國內廠

158、商供74.0%37.8%28.2%23.3%21.7%5.7%3.1%3.6%1.1%1.0%0.0%10.0%20.0%30.0%40.0%50.0%60.0%70.0%80.0%去膠清洗氧化擴散/熱處理化學機械拋光刻蝕薄膜沉積離子注入過程控制光刻涂膠顯影0%10%20%30%40%50%60%70%80%90%100%長江存儲華力集成華虹無錫 電子電子行業行業半導體設備深度專題半導體設備深度專題2022.7.19 請務必閱讀正文之后的免責條款和聲明 46 應鏈安全意識,國內晶圓廠有望加快供應鏈本土化,國產設備廠商接下來 12 年有望受益國產份額的階躍式提升。建議優先選擇賽道空間大、產品布局

159、全面、技術實力較強的龍頭設備廠商以及份額尚低、受益國產替代有望快速成長的細分賽道成長型企業。推薦北方華創推薦北方華創、中微公司中微公司、盛美盛美上海上海,建議關注,建議關注屹唐股份、屹唐股份、拓荊科技、拓荊科技、華海清科、芯源微、至純科技、精測電子等。華海清科、芯源微、至純科技、精測電子等。除晶圓廠工藝設備外,測試設備/封裝設備方面亦受益國內晶圓制造、配套測試、封裝產能擴張,國產化持續推進,推薦華峰測控,建議關注長川科技、光力科技等推薦華峰測控,建議關注長川科技、光力科技等。47 分析師聲明分析師聲明 主要負責撰寫本研究報告全部或部分內容的分析師在此聲明:(i)本研究報告所表述的任何觀點均精準

160、地反映了上述每位分析師個人對標的證券和發行人的看法;(ii)該分析師所得報酬的任何組成部分無論是在過去、現在及將來均不會直接或間接地與研究報告所表述的具體建議或觀點相聯系。一般性聲明一般性聲明 本研究報告由中信證券股份有限公司或其附屬機構制作。中信證券股份有限公司及其全球的附屬機構、分支機構及聯營機構(僅就本研究報告免責條款而言,不含 CLSA group of companies),統稱為“中信證券”。本研究報告對于收件人而言屬高度機密,只有收件人才能使用。本研究報告并非意圖發送、發布給在當地法律或監管規則下不允許向其發送、發布該研究報告的人員。本研究報告僅為參考之用,在任何地區均不應被視為

161、買賣任何證券、金融工具的要約或要約邀請。中信證券并不因收件人收到本報告而視其為中信證券的客戶。本報告所包含的觀點及建議并未考慮個別客戶的特殊狀況、目標或需要,不應被視為對特定客戶關于特定證券或金融工具的建議或策略。對于本報告中提及的任何證券或金融工具,本報告的收件人須保持自身的獨立判斷并自行承擔投資風險。本報告所載資料的來源被認為是可靠的,但中信證券不保證其準確性或完整性。中信證券并不對使用本報告或其所包含的內容產生的任何直接或間接損失或與此有關的其他損失承擔任何責任。本報告提及的任何證券或金融工具均可能含有重大的風險,可能不易變賣以及不適合所有投資者。本報告所提及的證券或金融工具的價格、價值

162、及收益可跌可升。過往的業績并不能代表未來的表現。本報告所載的資料、觀點及預測均反映了中信證券在最初發布該報告日期當日分析師的判斷,可以在不發出通知的情況下做出更改,亦可因使用不同假設和標準、采用不同觀點和分析方法而與中信證券其它業務部門、單位或附屬機構在制作類似的其他材料時所給出的意見不同或者相反。中信證券并不承擔提示本報告的收件人注意該等材料的責任。中信證券通過信息隔離墻控制中信證券內部一個或多個領域的信息向中信證券其他領域、單位、集團及其他附屬機構的流動。負責撰寫本報告的分析師的薪酬由研究部門管理層和中信證券高級管理層全權決定。分析師的薪酬不是基于中信證券投資銀行收入而定,但是,分析師的薪

163、酬可能與投行整體收入有關,其中包括投資銀行、銷售與交易業務。若中信證券以外的金融機構發送本報告,則由該金融機構為此發送行為承擔全部責任。該機構的客戶應聯系該機構以交易本報告中提及的證券或要求獲悉更詳細信息。本報告不構成中信證券向發送本報告金融機構之客戶提供的投資建議,中信證券以及中信證券的各個高級職員、董事和員工亦不為(前述金融機構之客戶)因使用本報告或報告載明的內容產生的直接或間接損失承擔任何責任。評級說明評級說明 投資建議的評級投資建議的評級標準標準 評級評級 說明說明 報告中投資建議所涉及的評級分為股票評級和行業評級(另有說明的除外)。評級標準為報告發布日后 6 到 12 個月內的相對市

164、場表現,也即:以報告發布日后的 6 到 12 個月內的公司股價(或行業指數)相對同期相關證券市場代表性指數的漲跌幅作為基準。其中:A 股市場以滬深 300指數為基準,新三板市場以三板成指(針對協議轉讓標的)或三板做市指數(針對做市轉讓標的)為基準;香港市場以摩根士丹利中國指數為基準;美國市場以納斯達克綜合指數或標普 500 指數為基準;韓國市場以科斯達克指數或韓國綜合股價指數為基準。股票評級股票評級 買入 相對同期相關證券市場代表性指數漲幅 20%以上 增持 相對同期相關證券市場代表性指數漲幅介于 5%20%之間 持有 相對同期相關證券市場代表性指數漲幅介于-10%5%之間 賣出 相對同期相關

165、證券市場代表性指數跌幅 10%以上 行業評級行業評級 強于大市 相對同期相關證券市場代表性指數漲幅 10%以上 中性 相對同期相關證券市場代表性指數漲幅介于-10%10%之間 弱于大市 相對同期相關證券市場代表性指數跌幅 10%以上 48 特別聲明特別聲明 在法律許可的情況下,中信證券可能(1)與本研究報告所提到的公司建立或保持顧問、投資銀行或證券服務關系,(2)參與或投資本報告所提到的 公 司 的 金 融 交 易,及/或 持 有 其 證 券 或 其 衍 生 品 或 進 行 證 券 或 其 衍 生 品 交 易。本 研 究 報 告 涉 及 具 體 公 司 的 披 露 信 息,請 訪 問https

166、:/ 本研究報告在中華人民共和國(香港、澳門、臺灣除外)由中信證券股份有限公司(受中國證券監督管理委員會監管,經營證券業務許可證編號:Z20374000)分發。本研究報告由下列機構代表中信證券在相應地區分發:在中國香港由 CLSA Limited(于中國香港注冊成立的有限公司)分發;在中國臺灣由 CL Securities Taiwan Co.,Ltd.分發;在澳大利亞由 CLSA Australia Pty Ltd.(商業編號:53 139 992 331/金融服務牌照編號:350159)分發;在美國由 CLSA(CLSA Americas,LLC 除外)分發;在新加坡由 CLSA Sing

167、apore Pte Ltd.(公司注冊編號:198703750W)分發;在歐洲經濟區由 CLSA Europe BV 分發;在英國由 CLSA(UK)分發;在印度由 CLSA India Private Limited 分發(地址:8/F,Dalamal House,Nariman Point,Mumbai 400021;電話:+91-22-66505050;傳真:+91-22-22840271;公司識別號:U67120MH1994PLC083118);在印度尼西亞由 PT CLSA Sekuritas Indonesia 分發;在日本由 CLSA Securities Japan Co.,L

168、td.分發;在韓國由 CLSA Securities Korea Ltd.分發;在馬來西亞由 CLSA Securities Malaysia Sdn Bhd 分發;在菲律賓由 CLSA Philippines Inc.(菲律賓證券交易所及證券投資者保護基金會員)分發;在泰國由 CLSA Securities(Thailand)Limited 分發。針對不同司法管轄區的聲明針對不同司法管轄區的聲明 中國大陸:中國大陸:根據中國證券監督管理委員會核發的經營證券業務許可,中信證券股份有限公司的經營范圍包括證券投資咨詢業務。中國香港:中國香港:本研究報告由 CLSA Limited 分發。本研究報告

169、在香港僅分發給專業投資者(證券及期貨條例(香港法例第 571 章)及其下頒布的任何規則界定的),不得分發給零售投資者。就分析或報告引起的或與分析或報告有關的任何事宜,CLSA 客戶應聯系 CLSA Limited 的羅鼎,電話:+852 2600 7233。美國:美國:本研究報告由中信證券制作。本研究報告在美國由 CLSA(CLSA Americas,LLC 除外)僅向符合美國1934 年證券交易法下 15a-6 規則界定且 CLSA Americas,LLC 提供服務的“主要美國機構投資者”分發。對身在美國的任何人士發送本研究報告將不被視為對本報告中所評論的證券進行交易的建議或對本報告中所述

170、任何觀點的背書。任何從中信證券與 CLSA 獲得本研究報告的接收者如果希望在美國交易本報告中提及的任何證券應當聯系CLSA Americas,LLC(在美國證券交易委員會注冊的經紀交易商),以及 CLSA 的附屬公司。新加坡:新加坡:本研究報告在新加坡由 CLSA Singapore Pte Ltd.,僅向(新加坡財務顧問規例界定的)“機構投資者、認可投資者及專業投資者”分發。就分析或報告引起的或與分析或報告有關的任何事宜,新加坡的報告收件人應聯系 CLSA Singapore Pte Ltd,地址:80 Raffles Place,#18-01,UOB Plaza 1,Singapore 0

171、48624,電話:+65 6416 7888。因您作為機構投資者、認可投資者或專業投資者的身份,就 CLSA Singapore Pte Ltd.可能向您提供的任何財務顧問服務,CLSA Singapore Pte Ltd 豁免遵守財務顧問法(第 110 章)、財務顧問規例以及其下的相關通知和指引(CLSA 業務條款的新加坡附件中證券交易服務 C 部分所披露)的某些要求。MCI(P)085/11/2021。加拿大:加拿大:本研究報告由中信證券制作。對身在加拿大的任何人士發送本研究報告將不被視為對本報告中所評論的證券進行交易的建議或對本報告中所載任何觀點的背書。英國:英國:本研究報告歸屬于營銷文

172、件,其不是按照旨在提升研究報告獨立性的法律要件而撰寫,亦不受任何禁止在投資研究報告發布前進行交易的限制。本研究報告在英國由 CLSA(UK)分發,且針對由相應本地監管規定所界定的在投資方面具有專業經驗的人士。涉及到的任何投資活動僅針對此類人士。若您不具備投資的專業經驗,請勿依賴本研究報告。歐洲經濟區:歐洲經濟區:本研究報告由荷蘭金融市場管理局授權并管理的 CLSA Europe BV 分發。澳大利亞:澳大利亞:CLSA Australia Pty Ltd(“CAPL”)(商業編號:53 139 992 331/金融服務牌照編號:350159)受澳大利亞證券與投資委員會監管,且為澳大利亞證券交易

173、所及 CHI-X 的市場參與主體。本研究報告在澳大利亞由 CAPL 僅向“批發客戶”發布及分發。本研究報告未考慮收件人的具體投資目標、財務狀況或特定需求。未經 CAPL 事先書面同意,本研究報告的收件人不得將其分發給任何第三方。本段所稱的“批發客戶”適用于公司法(2001)第 761G 條的規定。CAPL 研究覆蓋范圍包括研究部門管理層不時認為與投資者相關的 ASX All Ordinaries 指數成分股、離岸市場上市證券、未上市發行人及投資產品。CAPL 尋求覆蓋各個行業中與其國內及國際投資者相關的公司。印度:印度:CLSA India Private Limited,成立于 1994 年

174、 11 月,為全球機構投資者、養老基金和企業提供股票經紀服務(印度證券交易委員會注冊編號:INZ000001735)、研究服務(印度證券交易委員會注冊編號:INH000001113)和商人銀行服務(印度證券交易委員會注冊編號:INM000010619)。CLSA 及其關聯方可能持有標的公司的債務。此外,CLSA 及其關聯方在過去 12 個月內可能已從標的公司收取了非投資銀行服務和/或非證券相關服務的報酬。如需了解 CLSA India“關聯方”的更多詳情,請聯系 Compliance-I。未經中信證券事先書面授權,任何人不得以任何目的復制、發送或銷售本報告。未經中信證券事先書面授權,任何人不得以任何目的復制、發送或銷售本報告。中信證券中信證券 2022 版權所有。保留一切權利。版權所有。保留一切權利。

友情提示

1、下載報告失敗解決辦法
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站報告下載后的文檔和圖紙-無水印,預覽文檔經過壓縮,下載后原文更清晰。

本文(半導體設備深度:從招標數據看半導體設備國產化現狀-220719(48頁).pdf)為本站 (小時候) 主動上傳,三個皮匠報告文庫僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對上載內容本身不做任何修改或編輯。 若此文所含內容侵犯了您的版權或隱私,請立即通知三個皮匠報告文庫(點擊聯系客服),我們立即給予刪除!

溫馨提示:如果因為網速或其他原因下載失敗請重新下載,重復下載不扣分。
客服
商務合作
小程序
服務號
折疊
午夜网日韩中文字幕,日韩Av中文字幕久久,亚洲中文字幕在线一区二区,最新中文字幕在线视频网站