《半導體設備行業國產化現狀深度分析-220824(50頁).pdf》由會員分享,可在線閱讀,更多相關《半導體設備行業國產化現狀深度分析-220824(50頁).pdf(50頁珍藏版)》請在三個皮匠報告上搜索。
1、請務必閱讀末頁的免責條款和聲明2022年年8月月24日日半導體設備行業國產化現狀分析半導體設備行業國產化現狀分析中信證券研究部中信證券研究部 電子組電子組徐濤徐濤/王子源王子源半導體設備深度專題半導體設備深度專題目錄目錄CONTENTS11.中國大陸晶圓廠擴產拉動各類半導體設備需求中國大陸晶圓廠擴產拉動各類半導體設備需求2.國產化趨勢:美日設備占比最高,國產占比呈現顯著上升趨勢國產化趨勢:美日設備占比最高,國產占比呈現顯著上升趨勢3.設備廠商現狀:優秀國產廠商涌現,國產替代有望加快設備廠商現狀:優秀國產廠商涌現,國產替代有望加快4.總結:堅定看好設備國產替代趨勢總結:堅定看好設備國產替代趨勢8
2、XpXeX8VvXjWtXcVbR8Q7NoMnNsQsQiNqQzRfQoMqN8OpPyRwMrNqOMYmRmN21.中國大陸晶圓廠擴產拉動各類半導體設備需求中國大陸晶圓廠擴產拉動各類半導體設備需求I.I.半導體制造工藝和晶圓廠設備需求情況半導體制造工藝和晶圓廠設備需求情況II.II.中國大陸產線進展:行業增速中國大陸產線進展:行業增速39%39%,晶圓廠擴產拉動各類半導體設備需求,晶圓廠擴產拉動各類半導體設備需求III.III.國內主要下游晶圓廠擴產進展更新和對應市場空間測算國內主要下游晶圓廠擴產進展更新和對應市場空間測算3資料來源:各公司官網,中信證券研究部資料來源:Intel官網,
3、中信證券研究部半導體產業鏈各環節半導體產業鏈各環節晶圓制造流程晶圓制造流程半導體制造:世界最精密制造業,納米級工藝半導體制造:世界最精密制造業,納米級工藝晶圓涂光刻膠光刻曝光光刻曝光刻蝕光刻膠清洗離子注入晶體管形成薄膜沉積薄膜沉積化學機械拋光金屬多層連接IC設計IC制造封裝測試IC設備IC材料IP、EDA工具集成電路內部結構縱切示意圖集成電路內部結構縱切示意圖資料來源:Semiengineering,中信證券研究部4半導體制造:工藝主流尺寸縮進,摩爾定律半導體制造:工藝主流尺寸縮進,摩爾定律2年遞減年遞減資料來源:各公司網站,中信證券研究部臺積電、英特爾、三星臺積電、英特爾、三星 14/16n
4、m、10nm、7nm工藝指標比較工藝指標比較IRDS基本路線圖16/14nm英特爾英特爾1414nmnm三星三星 1414nmnmTSMC 16nmIRDSIRDS基本路基本路線圖線圖1010nmnmTSMC 10nm三星三星 1010nmnm TSMC 7nmTSMC 7nm+三星三星 7 7nm nm EUVEUV英特爾英特爾1010nmnm量產時間量產時間20152014201520152017201720172018Q22019Q220192019H2晶體管結構FinFET/FDSOIFinFETFinFETFinFETFinFET/FDSOIFinFETFinFETFinFETFin
5、FETFinFETFinFET鰭片間距Fin pitch(nm)42434945363642N/AN/A2734柵極間距Gate pitch(nm)7070788854666854N/A5454最小金屬間距Min Metal pitch(nm)5652677036424840N/A3636邏輯單元高度(nm)N/AN/AN/AN/AN/A360420N/AN/AN/A272邏輯晶體管密度邏輯晶體管密度(MTr/mmMTr/mm)N/A37.530.529N/A48.151.680100101.2100.8鰭片高度Fin height(nm)42423737454449N/AN/AN/A53柵極
6、長度Gate length(nm)24-2624303320-22N/A25N/AN/AN/A18N+1(8nm)主流晶圓廠先進制程進展主流晶圓廠先進制程進展5設備類型:薄膜沉積、刻蝕、過程控制等設備均為百億美金級別市場設備類型:薄膜沉積、刻蝕、過程控制等設備均為百億美金級別市場資料來源:半導體制造技術(Michael Quirk),中信證券研究部晶圓廠半導體制造流程及相關半導體設備示意圖21.9%21.3%20.4%11.2%4.8%4.7%3.8%3.8%2.6%2.5%2.2%0.8%薄膜沉積光刻刻蝕過程控制自動化制造和控制清洗涂膠顯影其他晶圓級設備CMP快速熱處理/氧化擴散離子注入去膠
7、2021年半導體設備各細分類型市場銷售額占比從晶圓廠內各工藝環節來看,薄膜沉積薄膜沉積、光刻光刻、刻蝕設備是產線刻蝕設備是產線中總價值量最高的三類半導體設備中總價值量最高的三類半導體設備,2021年均占全球半導體設備市場的20%以上。晶圓廠內半導體設備按照類型可大致分為薄膜沉積、光刻、刻蝕、過程控制、自動化制造和控制、清洗、涂布顯影、去膠、化學機械研磨(CMP)、快速熱處理/氧化擴散、離子注入、其他晶圓級設備等類別,其中薄膜沉積、光刻、刻蝕、過程控制占比最大。資料來源:Gartner,中信證券研究部6晶圓廠投資規模晶圓廠投資規模7nm的12英寸晶圓廠每1萬片/月產能Capex規模在25億億美元
8、左右。45nm的12英寸晶圓廠每1萬片/月產能Capex規模在9.2億億美元左右。90nm的12英寸晶圓廠每1萬片/月產能Capex規模在4.8億億美元左右。0.13m的8英寸晶圓廠每1萬片/月等效12英寸產能(2.25萬片/月8英寸產能)Capex規模在6.3億億美元左右。若同為購買全新設備,新建12英寸產線效率更高,同等產能下投入更低。資料來源:IC Insights,中信證券研究部注:投資包括:建廠、設備、IT基礎設施、自動化、產能10K片/月各制程每萬片各制程每萬片/月產能晶圓代工廠投資規模(億美元)月產能晶圓代工廠投資規模(億美元)2.84.87.49.21217.820250510
9、15202530130nm90nm65nm45nm28nm20nm14nm7nm300mm200mm7設備銷售額與半導體行業景氣度同步設備銷售額與半導體行業景氣度同步-100%-50%0%50%100%150%200%250%300%05001,0001,5002,0002,5003,0003,5004,0004,5001991-011991-081992-031992-101993-051993-121994-071995-021995-091996-041996-111997-061998-011998-081999-031999-102000-052000-122001-072002-0
10、22002-092003-042003-112004-062005-012005-082006-032006-102007-052007-122008-072009-022009-092010-042010-112011-062012-012012-082013-032013-102014-052014-122015-072016-022016-092017-042017-112018-062019-012019-082020-032020-102021-052021-12北美半導體設備制造商:出貨額:當月值YoY-60%-40%-20%0%20%40%60%80%01000020000300
11、004000050000600001991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022全球半導體銷售額(M$)YOY單位:百萬美元資料來源:SEMI(上圖)、WSTS(下圖)、中信證券研究部8在國內晶圓廠擴產驅動下,半導體設備需求持續拉升。據SEMI數據,20212021年全球半導體設備市場同比年全球半導體設備市場同比增加增加444
12、4%達到達到10261026億美元的歷史新高億美元的歷史新高,SEMISEMI預計到預計到20222022年將擴大到年將擴大到11401140億美元億美元。2021年中國大陸半導體設備市場銷售額增長58%,達到296億美元,占全球市場約28.9%,再次成為半導體設備的最大市場,這也是中國市場連續第四年增長。由于晶圓廠擴產加速,國內市場增速顯著高于全球。我們預計2023年中芯國際、長鑫存儲、華虹集團、長江存儲等國內主流晶圓廠均為擴產主力,多個新廠區項目將繼續拉動國內設備市場需求。資料來源:SEMI,中信證券研究部全球半導體設備市場規模(億美元)中國大陸產線進展:行業增速中國大陸產線進展:行業增速
13、44%44%,晶圓廠擴產拉動各類半導體設備需求,晶圓廠擴產拉動各類半導體設備需求中國半導體設備市場規模(億美元)及在全球市場占比資料來源:SEMI(含預測),中信證券研究部566.2645.3597.5711.9102611401134.2-10%0%10%20%30%40%50%020040060080010001200201720182019202020212022E2023E全球半導體設備市場規模(億美元)增長率(%)82.3131.1134.5187.2296.214.5%20.3%22.5%26.3%28.9%0%5%10%15%20%25%30%35%050100150200250
14、30035020172018201920202021中國半導體設備市場規模(億美元)中國市場占比9我們預計我們預計20232023年中芯國際年中芯國際、合肥長鑫合肥長鑫、華虹集團華虹集團、長江存儲均為擴產主力長江存儲均為擴產主力。長江存儲:3D NAND Flash存儲器國內龍頭IDM,三期總產能規劃30萬片/月。中芯國際:中國大陸晶圓代工龍頭,深圳、北京、上海臨港項目依次擴產,已披露產能規劃有望新增約40萬片/月。華虹無錫:12英寸成熟制程頭部廠商,2022年持續擴產,新項目有望上馬,有望擴張10萬片以上月產能。華力集成:12英寸先進制程頭部廠商,后續有望新建Fab 8,新增約4萬片/月先進
15、制程產能。長鑫存儲:DRAM存儲器國內龍頭IDM,三期總產能規劃37.5萬片/月。資料來源:SEMI,中信證券研究部國內主要下游晶圓廠擴產進展更新國內主要下游晶圓廠擴產進展更新中國大陸半導體生產線分布圖(2020年4月)10資料來源:SEMI,中信證券研究部國內主要下游晶圓廠擴產進展更新國內主要下游晶圓廠擴產進展更新中國大陸半導體生產線分布圖(2021年2月)11未來中國大陸晶圓廠產能擴張統計未來中國大陸晶圓廠產能擴張統計狀態狀態公司公司工廠代碼工廠代碼地點地點生產項目生產項目晶圓尺寸晶圓尺寸 規劃月產量(萬片)規劃月產量(萬片)投資金額(億元)投資金額(億元)在建中芯國際SN2上海晶圓代工1
16、23.587.5中芯國際B3P1北京晶圓代工12538長江存儲Fab2武漢NAND Flash121080紫光集團CD成都NAND、DRAM1230240廣州粵芯廣州模擬芯片、功率器件、微控制器1245.38 芯恩青島邏輯代工12421.74 芯恩青島邏輯代工88華潤微電子重慶MOSFET、IGBT、電源管理芯片等功率半導體1214.29士蘭微(士蘭集昕)Fab2杭州MEMS、功率器件842.14 積塔半導體上海功率器件、電源管理、傳感器等128吋線和12吋線共359億元積塔半導體上海功率器件、電源管理、傳感器等88吋線和12吋線共359億元賽萊克斯北京MEMS傳感器83海辰半導體無錫面板驅動
17、IC(DDI)、電源管理IC(PMIC)、CMOS影像感測器(CIS)810.59.7萬國半導體CQ重慶功率半導體1275.71 富能半導體839.2307692濟南泉芯12贛州名芯8青島城芯12擬建中芯國際B3P2北京晶圓代工12538中芯國際B3P3北京晶圓代工125中芯國際B3P4北京晶圓代工125華虹集團(上海華力)Fab8上海12459.54 華虹集團(華虹半導體)Fab9無錫12825長江存儲Fab3武漢NAND Flash121080合肥長鑫Fab 2合肥DRAM1212.572合肥長鑫Fab 3合肥DRAM1212.572晶合集成N3合肥面板驅動及邏輯代工1249.85 晶合集
18、成N4合肥面板驅動及邏輯代工1249.85 士蘭微(士蘭集科)Fab2廈門MEMS、功率器件12814.29矽力杰青島先進模擬芯片1225.71 中科晶芯成都功率半導體8國內新建晶圓廠及晶圓廠擴產情況統計國內新建晶圓廠及晶圓廠擴產情況統計(8寸及以上產線)寸及以上產線)資料來源:各公司網站,中信證券研究部;注:投資金額統一換算為人民幣,匯率為人民幣:美元=7:1中國大陸晶圓廠現有計劃未來新增產能235萬片/月(等效12英寸),總投資額超過1500億美元,對應平均每1萬片/月產能投資額約6.5億美元。其中前道設備投資大致占50%60%。12未來資本開支及對應設備國內市場空間測算未來資本開支及對應
19、設備國內市場空間測算2022E2023E2024E國內每年新增產能假設(萬片/月,12英寸)32.33540對應投資額(億美元,按照6545nm每萬片7.59億美元假設)242.25262.5320對應設備采購額(億美元,按照投資額的80%)193.8210256國產化率20%25%30%國產廠商所占市場(億美元)38.7652.576.8國產廠商增速國產廠商增速40%35.5%46.3%國內半導體設備廠商市場國內半導體設備廠商市場空間測算空間測算國內半導體設備廠商主要面向國內客戶為主國內半導體設備廠商主要面向國內客戶為主,考慮國內市場:考慮國內市場:8英寸英寸2021年產能年產能101.85
20、萬片萬片/月月,已公開的擴產計劃達成后達到已公開的擴產計劃達成后達到114.3萬片萬片/月月,擴產規模已相對有限擴產規模已相對有限。12英寸英寸2021年產能年產能114.7萬片萬片/月月,已公開的擴產計劃達成后達到已公開的擴產計劃達成后達到349萬片萬片/月月,增長增長204.3%,假設假設56年內陸續實施年內陸續實施,CAGR=20%25%。新增投資額對應新增投資額對應1524.5億美元億美元,假設其中假設其中80%用于設備投資用于設備投資,對應對應1220億美元億美元,按照按照5年均攤到每年均攤到每年年244億美元設備采購額億美元設備采購額。資料來源:IC Insights,中信證券研究
21、部(含預測)132.國產化趨勢:美日設備占比最高,國產占比呈國產化趨勢:美日設備占比最高,國產占比呈現顯著上升趨勢現顯著上升趨勢I.I.美日歐廠商在半導體設備領域具備傳統優勢美日歐廠商在半導體設備領域具備傳統優勢II.II.長江存儲:美日占比最高,中國大陸占比持續提升長江存儲:美日占比最高,中國大陸占比持續提升III.III.華力集成:美日占比最高,中國大陸華力集成:美日占比最高,中國大陸20202020年占比達年占比達28%28%IV.IV.華虹無錫:美日占比最高,中國大陸近兩年占比達華虹無錫:美日占比最高,中國大陸近兩年占比達23%23%14從行業格局來看從行業格局來看,美日歐廠商在半導體
22、設備領域具備傳統優勢美日歐廠商在半導體設備領域具備傳統優勢,占據半導體設備全球前占據半導體設備全球前15名席位名席位。國際主流廠商中,應用材料、泛林、東京電子在薄膜沉積、刻蝕領域具備領先地位,科天在過程控制(檢測、量測)設備處于領導地位,均穩居全球前五位置。據我們測算,2021年中國大陸廠商營收在全球市場占比約年中國大陸廠商營收在全球市場占比約2.5%。資料來源:VLSI Research,中信證券研究部注:部分公司營收中包含了平板顯示等泛半導體領域設備,與SEMI統計口徑不同全球半導體設備廠商排名全球半導體設備廠商排名美日歐廠商在半導體設備領域具備傳統優勢美日歐廠商在半導體設備領域具備傳統優
23、勢排名排名公司名稱公司名稱(英文英文)公司名稱公司名稱(中文中文)國家國家/地區地區主要產品領域主要產品領域2020年營收(億美元)年營收(億美元)2021年營收(億美元)年營收(億美元)2021年增長年增長2021年年全球份額全球份額1Applied Materials應用材料美國沉積、刻蝕、離子注入、化學機械研磨等163.7241.7247.66%19.45%2ASML阿斯麥荷蘭光刻設備154217.7541.40%17.52%3Tokyo Electron東京電子日本沉積、刻蝕、勻膠顯影設備等113.2172.7852.63%13.90%4Lam Research泛林美國刻蝕、沉積、清洗
24、等119.3165.2438.51%13.29%5KLA科天美國硅片檢測、測量設備54.481.6550.09%6.57%6Advantest愛德萬測試日本自動測試設備25.339.0754.43%3.14%7Teradyne泰瑞達美國自動測試設備22.637.0363.85%2.98%8SCREEN迪恩士日本刻蝕、清洗設備23.336.3255.88%2.92%9SEMES細美事韓國清洗、光刻、封裝設備10.624.86134.53%2.00%10Hitachi High-Technologies日立高新日本沉積、刻蝕、檢測設備、封裝貼片設備等17.224.5342.62%1.97%11AS
25、M International先域荷蘭沉積、封裝鍵合設備等15.220.2433.16%1.63%12Nikon尼康日本光刻設備10.919.9883.30%1.61%13ASM Pacific TechonologyASM太平洋新加坡后段制程、SMT工藝設備10.317.3968.83%1.40%14Kokusai Electric國際電氣日本熱處理設備14.616.3812.19%1.32%15DISCO迪斯科日本晶圓切割設備15.921.6736.29%1.74%TOP15合計770.51136.6147.52%91.44%其他153.6106.39-30.74%8.56%設備廠商總計設
26、備廠商總計924.1124334.51%100.00%15長江存儲:美日占比最高,中國大陸占比持續提升長江存儲:美日占比最高,中國大陸占比持續提升資料來源:中國國際招標網,中信證券研究部 注:數據范圍為相關網站公布的長江存儲20172022年招標數據,數據截至2022年7月4日長江存儲近五年部分設備招標項目累計數量占比長江存儲近五年部分設備招標項目累計數量占比長江存儲近五年歷年部分設備招標項目數量占比長江存儲近五年歷年部分設備招標項目數量占比從近五年長江存儲招投標項目累計數量(按供應商總部國家/地區統計)來看,美國、日本廠商中標項目數量占比分別達43%、30%,反映出兩地區廠商仍占據主流地位。
27、五年累計招標中,中國五年累計招標中,中國大陸廠商中標項目數量占比大陸廠商中標項目數量占比15%15%。分年度看,2021年長江存儲415項設備招標中,中國大陸廠商中標項目數量占比22.2%,過去幾年呈現逐漸上升趨勢,過去幾年呈現逐漸上升趨勢,相應美國廠商中標項目數量占比呈現下降趨勢。43.44%29.52%15.71%3.47%2.77%1.85%1.68%1.56%美國日本中國大陸韓國荷蘭中國臺灣英國馬來西亞58.7%42.0%46.4%39.7%31.8%29.8%22.2%25.8%31.5%30.1%4.0%12.5%14.3%16.8%22.2%0%20%40%60%80%100%1
28、20%20172018201920202021美國日本中國大陸韓國荷蘭中國臺灣英國馬來西亞16華力集成:美日占比最高,中國大陸華力集成:美日占比最高,中國大陸2021年占比達年占比達24%資料來源:中國國際招標網,中信證券研究部 注:數據范圍為相關網站公布的華力集成20162022年招標數據,數據截至2022年7月4日華力集成近六年部分設備招標項目累計數量占比華力集成近六年部分設備招標項目累計數量占比華力集成近五年歷年部分設備招標項目數量占比華力集成近五年歷年部分設備招標項目數量占比從近六年華力集成招投標項目累計數量(按供應商總部國家/地區統計)來看,美國、日本廠商中標項目數量占比分別達41%
29、、22%,反映出兩地區廠商仍占據主流地位。六年累計招標中,中國六年累計招標中,中國大陸廠商設備中標項目數量占比大陸廠商設備中標項目數量占比19%19%。分年度看,2020年華力集成164項設備招標中,中國大陸廠商中標項目數量占比27.9%;2021年華力集成38項設備招標中,中國大陸廠商中標項目數量占比23.7%;20222022年(截至年(截至7 7月月4 4日)華力集成日)華力集成5 5項設項設備招標中,中國大陸廠商中標項目數量占比備招標中,中國大陸廠商中標項目數量占比20%20%,穩定在兩成左右。41.02%21.78%19.52%3.82%3.39%3.11%7.36%美國日本中國大陸
30、中國臺灣荷蘭德國其他75.0%28.6%43.9%44.7%36.4%34.2%20.0%15.0%25.4%26.3%20.1%16.4%18.4%40.0%10.0%15.9%13.7%20.8%27.9%23.7%20.0%0%20%40%60%80%100%120%2016201720182019202020212022美國日本中國大陸中國臺灣荷蘭德國其他17華虹無錫:美日占比最高,中國大陸近兩年占比達華虹無錫:美日占比最高,中國大陸近兩年占比達23%資料來源:中國國際招標網,中信證券研究部 注:數據范圍為相關網站公布的華虹無錫20182022年招標數據,2022年數據截至2022年7
31、月4日華虹無錫近四年部分設備招標項目累計數量占比華虹無錫近四年部分設備招標項目累計數量占比華虹無錫近四年歷年部分設備招標項目數量占比華虹無錫近四年歷年部分設備招標項目數量占比從近四年華虹無錫招投標項目累計數量(按供應商總部國家/地區統計)來看,美國、日本廠商中標項目數量占比分別達35%、27%,反映出兩地區廠商仍占據主流地位,中國大陸廠商設備中標中國大陸廠商設備中標項目數量占比項目數量占比21%21%。分年度看,2020年華力集成166項設備招標中,中國大陸廠商中標項目數量占比23.5%;2021年華力集成160項設備招標中,中國大陸廠商中標項目數量占比21.3%;20222022年(截至年(
32、截至7 7月月4 4日)華力集成日)華力集成128128項項設備招標中,中國大陸廠商中標項目數量占比設備招標中,中國大陸廠商中標項目數量占比22.7%22.7%,近兩年國產廠商占比呈現上升趨勢。34.50%27.05%20.52%4.26%2.74%2.28%2.28%6.38%美國日本中國大陸荷蘭以色列英國馬來西亞其他56.7%30.5%39.2%39.4%22.7%16.7%32.2%26.5%25.0%25.8%3.3%18.4%23.5%21.3%22.7%0%20%40%60%80%100%120%20182019202020212022美國日本中國大陸荷蘭以色列馬來西亞英國其他18
33、3.設備廠商現狀:優秀國產廠商涌現,國產替代設備廠商現狀:優秀國產廠商涌現,國產替代有望加快有望加快I.I.刻蝕:國產化率刻蝕:國產化率22%22%,中微公司、北方華創、屹唐股份三強崛起,中微公司、北方華創、屹唐股份三強崛起II.II.薄膜沉積:國產化率薄膜沉積:國產化率5.7%5.7%,拓荊科技、北方華創、盛美上海為國產前三強,拓荊科技、北方華創、盛美上海為國產前三強III.III.過程控制:國產化率過程控制:國產化率3.6%3.6%,中科飛測、精測半導體、睿勵科學儀器國內領先,中科飛測、精測半導體、睿勵科學儀器國內領先IV.IV.氧化擴散氧化擴散/熱處理設備:國產化率熱處理設備:國產化率2
34、8%28%,北方華創優勢較為明顯,北方華創優勢較為明顯V.V.清洗設備:國產化率清洗設備:國產化率38%38%,盛美上海中標設備數量國產最多,僅次于日本迪恩士,盛美上海中標設備數量國產最多,僅次于日本迪恩士VI.VI.去膠設備:國產化率去膠設備:國產化率74%74%,屹唐股份、盛美上海國產入圍,屹唐股份、盛美上海國產入圍VII.化學機械拋光:國產化率化學機械拋光:國產化率23%,華海清科為國內細分龍頭,華海清科為國內細分龍頭VIII.離子注入:國產化率離子注入:國產化率3.1%,爍科中科信國產獲采購,爍科中科信國產獲采購IX.涂膠顯影:國產化率涂膠顯影:國產化率1%,芯源微實現國產零突破,芯源
35、微實現國產零突破X.光刻:國產化率光刻:國產化率1.1%,阿斯麥絕對壟斷,上海微實現國產零突破,阿斯麥絕對壟斷,上海微實現國產零突破19由于半導體設備種類繁多,制造原理各異,在各細分在各細分領域中已形成具備一定規模和國內替代技術實力的國領域中已形成具備一定規模和國內替代技術實力的國產細分龍頭廠商產細分龍頭廠商,但與海外廠商相比技術實力與收入體量相差仍大。國內廠商中,北方華創、中微公司、盛美上海等廠商已橫向實現平臺化布局,值得重點關注。北方華創北方華創為國內規模最大、產品覆蓋最廣的半導體設備公司,在氧化擴散/熱處理、PVD設備具備較強的產品競爭力,硅刻蝕和金屬刻蝕、清洗機亦導入長江存儲。中微公司
36、中微公司為國內半導體設備技術領先龍頭,在集成電路制造使用的刻蝕設備以及LED外延片生長使用的MOCVD設備領域技術領先,在長江存儲介質刻蝕份額已達到30%左右水平,已橫向拓展化學氣相沉積和量測設備等市場。盛美上海盛美上海在清洗設備方面通過自研技術解決了兆聲波清洗的缺點,與國際龍頭差異化競爭,爭奪高端市場,同時橫向拓展電鍍、立式爐,以及先進封裝所用的刻蝕、涂膠顯影、拋光、去膠等設備。拓荊科技、華海清科、芯源微、屹唐股份、至純科技、精測電子、中科飛測、爍科中科信、華峰測控、長川科技等公司在國內細分領域領先。資料來源:各公司公告,中國電子專用設備協會,中信證券研究部*注:2020、2021年國內半導
37、體設備廠商半導體設備收入為中國電子專用設備工業協會測算,并非表中數值加總國內部分半導體設備廠商情況國內部分半導體設備廠商情況國內在半導體設備各細分領域涌現出一國內在半導體設備各細分領域涌現出一批代表性公司批代表性公司公司公司2021年半導體設年半導體設備收入(億元)備收入(億元)2020年半導體年半導體設備收入(億設備收入(億元)元)2021年占國年占國產市場份額產市場份額2020年占國年占國產市場份額產市場份額主要產品主要產品應用領域應用領域北方華創79.548.720.6%20.0%刻蝕、薄膜沉積、氧化擴散、清洗設備等集成電路、分立器件、LED、光伏、面板中微公司31.122.78.1%9
38、.3%刻蝕、薄膜沉積設備等集成電路、分立器件、LED盛美上海15.510.14.0%4.2%清洗、電鍍、爐管設備等集成電路、分立器件屹唐股份23.19.5%去膠、刻蝕、快速熱處理集成電路、分立器件拓荊科技7.64.42.0%1.8%薄膜沉積設備(PECVD)集成電路、分立器件、光伏、LED華海清科8.13.92.1%1.6%化學機械拋光(CMP)設備 集成電路、分立器件芯源微8.13.22.1%1.3%涂膠顯影、清洗、去膠集成電路、分立器件、光伏至純科技7.02.21.8%0.9%高純工藝系統、清洗設備集成電路、分立器件、平板顯示、光伏、LED等精測電子1.40.70.4%0.3%光學檢測設備
39、面板、LED、集成電路、分立器件等萬業企業0.80.20.2%0.1%離子注入機光伏、集成電路睿勵科學儀器n/an/a光學檢測設備、量測設備集成電路、分立器件、光伏、LED上海微電子n/an/a光刻機,且已經能夠提供90nm工藝設備集成電路、分立器件等爍科中科信n/an/a離子注入機、快速退火爐集成電路、分立器件、光伏中科飛測n/an/a光學檢測設備集成電路、分立器件華峰測控8.84.02.3%1.6%自動化測試系統集成電路、分立器件長川科技15.18.03.9%3.3%分選機、測試機集成電路、分立器件半導體設備半導體設備廠商合計廠商合計385.5*242.9*20國家國家0202專項已公開部
40、分項目及企業梳理專項已公開部分項目及企業梳理所屬公司所屬公司代碼代碼項目名稱項目名稱時間時間具體成果具體成果公司介紹公司介紹成果應用成果應用1北方華創北方華創002371.SZ65-45nm PVD設備設備研發(北方微電子)2009物理氣相沉積設備(PVD)公司產品綜合覆蓋了集成電路、先進封裝、化合物半導體、面板、LED、MEMS、太陽能光伏等半導體相關領域.在集成電路領域,公司產品覆蓋了刻蝕、成膜、氧化/擴散、清洗、流量計五大類設備,公司已有30多種成熟設備在國內集成電路生產線上量產使用。完成了刻蝕機、磁控濺射、氧化爐、低壓化學氣相沉積、清洗機、原子層沉積等集成電路設備90/55/40/28
41、納米工藝驗證,實現產業化;刻蝕(ETCH)、單片退火系統、化學氣相沉積(CVD)三大類集成電路設備進入14納米工藝驗證階段,首次實現與國外設備同步驗證。2008年3月6日,北方微電子公司自主研制的NMC 612高密度等離子刻蝕機正式進入中芯國際北京12英寸工廠生產線,應用于90-65納米硅柵刻蝕和淺槽隔離刻蝕等工藝制程。2013年推出的Polaris T是應用于先進封裝領域TSV阻擋層、籽晶層沉積工藝的高性能PVD設備,可面對極具挑戰的TSV孔隙填充工藝要求,可以實現5um直徑、12:1深寬比TSV深孔的無孔洞電鍍填充,兼容12英寸硅、玻璃等多種基片。2015年exTinH430 TiN PV
42、D設備、eVictorA830 Al pad PVD兩款設備進入海外主流芯片企業外,Booster A630單片退火系統、NMC612硅刻蝕設備也成為中芯國際的基線(Baseline)機臺,實現大生產線的批量生產。2016年11月推出200mm高性能多功能磁控濺射系統,滿足先進封裝、微機電系統等多領域制程的發展需求,推出當月就實現銷售。65nm超精細清洗設備清洗設備研制與產業化2010清洗設備45-32nm LPCVD設備設備產業化2011-2016化學氣相沉積設備(CVD)14nm 立體柵等離子體刻蝕機等離子體刻蝕機研發及產業化2014-2017刻蝕機28-14nm 原子層沉積系統(原子層沉
43、積系統(ALD)產品研發及產業化2015-2018原子層沉積系統(ALD)14-7nm CuBS多工藝腔室集成裝備多工藝腔室集成裝備研發及產業化2016-2019刻蝕、氧化/擴散、清洗、氣體質量流量計等2中電科裝備中電科裝備未上市90-65nm 大角度離子注入機離子注入機研發及產業化2008.8離子注入機公司是我國以集成電路制造裝備、新型平板顯示裝備、光伏新能源裝備以及太陽能光伏產業為主的科研生產骨干單位,形成了以光刻機、平坦化裝備(CMP)、離子注入機、電化學沉積設備(ECD)等為代表的微電子工藝設備研究開發與生產制造體系,涵蓋材料加工、芯片制造、先進封裝和測試檢測等多個領域。已在中芯國際集
44、成電路大線應用5臺,特種離子注入機應用5臺45-22nm低能大束流離子注入機大束流離子注入機研發及產業化2011.1離子注入機28-14nm 拋光設備拋光設備及工藝、配套材料產業化2015.1化學機械拋光設備(CMP)已在中物院應用2臺6-8英寸定制機型300mm 超薄晶圓減薄拋光一體機晶圓減薄拋光一體機研發與產業化2014.1化學機械拋光設備(CMP)已在華進半導體應用1臺300mm硅片單面拋光機(拋光機(CMP)的開發2009.1化學機械拋光設備(CMP)已在有研新材料應用1臺封裝設備關鍵部件封裝設備關鍵部件與核心技術2009.1封裝設備已配套整機應用1500余套關鍵封裝設備關鍵封裝設備、
45、材料應用工程2009.1封裝設備已在長電科技等應用50余套面向通訊、多媒體等(國產)高端芯片封裝的封裝設備與材料應用工程2012.1封裝設備已在長電科技等應用10臺3拓荊科技拓荊科技688072.SH90-65nm 等離子體增強化學氣相沉積(等離子體增強化學氣相沉積(PECVD)設備研發與應用2010-2015等離子體增強化學的氣相沉積(PECVD)拓荊科技自成立以來,始終專注于芯片制造設備領域,現已成為國內唯一一家產業化應用的集成電路PECVD(等離子體增強化學氣相沉積)、SACVD(次常壓化學氣相沉積)設備廠商,也是國內領先的ALD(原子層沉積)設備廠商。公司主要產品已批量發往各大行業領先
46、集成電路制造企業產線,廣泛應用于國內晶圓廠14nm及以上制程集成電路制造產線,并已展開10nm及以下制程產品驗證測試。1x nm 3D NAND PECVD研發與產業化4芯源微芯源微688037.SH凸點封裝涂膠顯影、單片濕法刻蝕涂膠顯影、單片濕法刻蝕設備的開發與產業化2009-2012濕法刻蝕設備芯源微自2002年成立,主要從事半導體設備研發和銷售,芯源微的產品包括光刻工序涂膠顯影設備(涂膠、顯影機、噴膠機)和單片式濕法設備(清洗機、去膠機、濕法刻蝕機),可用于8/12英寸單晶圓處理(如集成電路制造前道晶圓加工及后道先進封裝環節)及6英寸及以下單晶圓處理(如化合物、MEMS、LED芯片制造等
47、環節)。300mm 晶圓勻膠顯影勻膠顯影設備研發2012-2015勻膠機等突破了193nm光刻工藝超薄膠膜均勻涂敷等多項關鍵核心技術,成功研制出具有自主知識產權的300mm晶圓勻膠顯影設備考核測試機和上線示范應用機,并在項目實施期間銷售5臺勻膠顯影設備。5華海清科華海清科A20569.SH28-14nm拋光設備拋光設備及工藝、配套材料產業化-CMP拋光系統研發與整機系統集成2017-2019化學機械拋光設備(CMP)等華海清科股份有限公司是一家擁有核心自主知識產權的高端半導體設備制造商。公司主要從事化學機械拋光(CMP)、研磨等設備和配套耗材的研發、生產、銷售,以及晶圓再生代工服務。2014年
48、,華海清科研制出國內首臺12英寸“干進干出”CMP商業機型Universal-300,2015年該機臺進入中芯國際北京廠,2016年通過中芯國際考核并實現銷售。這填補了我國集成電路制造領域CMP設備技術的空白,打破了國外壟斷。截至2017年,該機臺已累計加工60000余片硅片。資料來源:各公司官網,公司招股說明書,公司公告,中國科學院網站,科塔學術網站,中信證券研究部注:表格內僅統計部分已公開項目信息21國家國家0202專項已公開部分項目及企業梳理專項已公開部分項目及企業梳理所屬公司所屬公司代碼代碼項目名稱項目名稱時間時間具體成果具體成果公司介紹公司介紹成果應用成果應用6上海微電子上海微電子未
49、上市浸沒光刻機光刻機關鍵技術預研項目2006-2017光刻機等上海微電子裝備(集團)股份有限公司(簡稱SMEE)主要致力于半導體裝備、泛半導體裝備、高端智能裝備的開發、設計、制造、銷售及技術服務。公司設備廣泛應用于集成電路前道、先進封裝、FPD面板、MEMS、LED、Power Devices等制造領域。目前已經能生產干式90nm的光刻機。屬于沉浸式的65nm、45nm和28nmDUV光刻機項目還未能突破,當前世界上最先進的7nm EUV光刻機還沒有開始整機立項研發。90nm光刻機光刻機樣機研制2006-201765nm光刻機光刻機研制大視/雙面對準步進投影光刻機步進投影光刻機201528nm
50、節點浸沒式分步重復投影光刻機重復投影光刻機研發成功并實現產業化20157中微公司中微公司688012.SH65-45nm等離子介質刻蝕設備等離子介質刻蝕設備產品研制和產業化介質刻蝕機(CCP)等中微公司是一家以中國為基地、面向全球的微觀加工高端設備公司,中微開發的等離子體刻蝕設備和化學薄膜設備是制造各種微觀器件的關鍵設備,可加工微米級和納米級的各種器件。從2008年起,在國家科技重大專項和上海市政府的研發資金支持下,中微先后成功開發和銷售了適用于65/45/28/20/14/10/nm米工藝制程的一系列等離子體刻蝕設備,陸續覆蓋了存儲器件和邏輯器件制造中大部分的介質刻蝕和導體刻蝕工藝,始終保持
51、著與當時的世界先進水平同步。2019年6月,中微半導體成功研發生產世界上第一臺5nm蝕刻機,并實現向臺積電供貨。2021年5月,中微半導體又完成3nm蝕刻機的研發工作,并開始量產。32-22nm等離子介質刻蝕設備等離子介質刻蝕設備產品研制和產業化22-14nm等離子介質刻蝕設備等離子介質刻蝕設備產品研制和產業化8中科信中科信未上市12英寸90-65nm大角度離子注入機離子注入機研發及產業化2006-2010離子注入機北京中科信電子裝備有限公司成立于2003年,是中電科電子裝備集團有限公司(中電科隸屬于中國電子科技集團有限公司)的全資子公司。中科信是一家專業從事離子注入機研發、生產、制造和銷售,
52、以及光伏系列產品生產和銷售的高新技術企業。90nm65nm大角度離子注入機于2010年10月進入中芯國際北京公司FAB至今,已完成基于90nm工藝器件的匹配測試、90nm商用器件小批量測試,WAT(晶片允收測試)測試數據達到中芯要求,現正處于65nm工藝器件匹配測試階段,即將進行該制程的器件小批量工藝測試。12英寸45-22nm低能大束流離子注入機大束流離子注入機研發及產業化2011-20159晶盛機電晶盛機電300316.SZ300mm硅單晶直拉生長裝備硅單晶直拉生長裝備的開發2009-2014硅單晶爐晶盛機電股份有限公司創建于2006年12月,是國內領先的半導體材料裝備和LED襯底材料制造
53、的高新技術企業,相繼開發出具有完全自主知識產權的全自動單晶生長爐、多晶鑄錠爐、區熔硅單晶爐、藍寶石爐、碳化硅爐等晶體生長設備,成功研制出可安裝28”和32”熱場、滿足300mm直拉硅單晶90-65nm特征線寬、高性能要求的新型全自動硅單晶生長爐商業樣機。8英寸區熔硅單晶爐硅單晶爐國產設備研制2011公司從2007年率先推出國內首臺全自動硅單晶爐開始,已接連研制出多款滿足直徑6-12英寸硅單晶生長裝備。2020年由公司研制開發的國內首臺硬軸直拉爐成功生長出首顆8英寸硅單晶。10盛美上海盛美上海688082.SH45-22納米單片晶圓清洗裝備單片晶圓清洗裝備研發與應用2011-2015清洗設備20
54、05年,盛美在上海成立,公司主要產品有半導體清洗設備、半導體電鍍設備和先進封裝濕法設備等。通過自主研發的單片兆聲波清洗技術、單片槽式組合清洗技術、電鍍技術、無應力拋光技術和立式爐管技術等,公司主要客戶包括SK海力士、中芯國際、華虹集團、長江存儲、長電科技等。自主研發單片兆聲波清洗技術、單片槽式組合清洗技術、電鍍技術、無應力拋光技術和立式爐管技術等20-14nm銅互連鍍銅設備鍍銅設備研發與應用2011-2015鍍銅設備65-45nm銅互連無應力拋光設備無應力拋光設備研發2006-2010無應力拋光設備11萬業企業萬業企業600641.SH300mm高能離子注入機高能離子注入機裝備及工藝研發201
55、9離子注入機2018年,萬業企業成功收購上海凱世通半導體股份有限公司,正式進入集成電路四大核心裝備之一的離子注入機領域。凱世通是中國領先的離子注入機研發制造企業,技術覆蓋范圍從突破超越7nm到成熟主流工藝制程。自主研發中束流離子注入機,在中芯國際大生產線上穩定流片逾200萬片。12華卓精科華卓精科A20224.SHIC裝備高端零部件高端零部件集成制造工藝研究與生產制造2013整機系統華卓精科主營業務包含高端整機、超精密運動系統、精密儀器設備和高端特種制造等方面。浸沒式光刻機雙工件臺雙工件臺產品研制與能力建設2017光刻機雙工件臺浸沒式光刻機雙工件臺平面光柵位置測量系統位置測量系統研發研發201
56、8光刻機雙工件臺資料來源:各公司官網,公司招股說明書,公司公告,中國科學院網站,科塔學術網站,中信證券研究部注:表格內僅統計部分已公開項目信息22長江存儲中標供應商中長江存儲中標供應商中:北方華創、屹唐股份、中微公司、盛美上海位列國產供應商前列北方華創、屹唐股份、中微公司、盛美上海位列國產供應商前列長江存儲:中標供應商中,美國廠商(泛林、應用材料、科天、Onto、泰瑞達等)、日本廠商(東京電子、國際電氣、迪恩士、愛德萬等)仍是采購主流。國 內 廠 商 方 面,中 國 國 際 招 標 網 數 據 顯 示,20172021年間北方華創北方華創在長江存儲共中標56次、143臺設備;屹唐股份屹唐股份同
57、期在長江存儲共中標48次、102臺設備;中微公司中微公司同期在長江存儲共中標37、59臺設備;盛美上海盛美上海同期在長江存儲共中標29次、35臺設備。長江存儲長江存儲20172021年設備招標各廠商中標項目數量排名年設備招標各廠商中標項目數量排名資料來源:中國國際招標網,中信證券研究部 注:數據范圍為長江存儲20172021年招標數據2722422168377565648454240383729292423222019191716141412050100150200250300泛林Lam Research應用材料Applied Materials東京電子Tokyo Electron國際電氣KO
58、KUSAI ELECTRIC科天KLA-Tencor北方華創迪恩士Screen屹唐股份愛德萬AdvantestOnto Innovation泰瑞達Teradyne阿斯麥ASML中微公司賽默飛Thermo Fisher盛美上海Edwards華海清科Mirae日立高新Hitachi High-Tech拓荊科技北京京儀東京精密ACCRETECHSEMICS是德科技KeysightDISCOQualitau23華力集成華力集成/華虹無錫:華虹無錫:中標供應商中,盛美上海、北方華創等位列國產供應商前列中標供應商中,盛美上海、北方華創等位列國產供應商前列華力集成:20162022年間盛美上海盛美上海在華力
59、集成共中標17次、21臺設備,北方華創北方華創同期在華力集成共中標11次、22臺設備,屹唐屹唐股份股份同期在華力集成共中標10次、12臺設備,上海天雋機電設備上海天雋機電設備有限公司同期在華力集成共中標9次、42臺設備(均為研磨液供應設備),廣立微同期在華力集成共中標8次、14臺設備(均為EDA軟件或晶圓電性測試儀),中微公司同期在華力集成共中標7次、15臺設備。華力集成華力集成20162022年設備招標各廠商中標項目數量排名年設備招標各廠商中標項目數量排名資料來源:中國國際招標網,中信證券研究部 注:數據范圍為華力集成20162022年招標數據;2022年截至7月4日華力無錫華力無錫2018
60、2022年設備招標各廠商中標項目數量排名年設備招標各廠商中標項目數量排名華虹無錫:20182022年間盛美上海盛美上海在華虹無錫共中標22次、23臺設備,北方華創北方華創同期在華虹無錫共中標18次、25臺設備,中微中微公司公司同期在華虹無錫共中標11次、14臺設備,華海清科華海清科同期在華虹無錫共中標11次、13臺設備,屹唐股份屹唐股份同期在華虹無錫共中標11次、25臺設備,拓荊科技拓荊科技同期在華虹無錫共中標7次、7臺設備。11168593022221715141111111111109987020406080100120應用材料Applied Materials泛林Lam Research
61、東京電子Tokyo Electron迪恩士Screen科天KLA-Tencor阿斯麥ASML盛美上海Nova Measuring Instruments是德科技KeysightEdwards北方華創日立高新Hitachi High-Tech賽默飛Thermo Fisher國際電氣KOKUSAI ELECTRIC屹唐股份上海天雋機電Mentor杭州廣立微中微公司80696749322718181615131111111199870102030405060708090應用材料Applied Materials泛林Lam Research東京電子Tokyo Electron科天KLA-Tencor迪
62、恩士Screen盛美上海北方華創阿斯麥ASMLSumitomo Heavy Industries Ion Technology Co.,Ltd.吉姆西半導體科技(無錫)有限公司日立高新Hitachi High-TechNova Measuring Instruments屹唐股份華海清科中微公司ASM InternationalDISCOEdwards拓荊科技24【分設備類型分設備類型】刻蝕:國產化率刻蝕:國產化率22%,中微公司、北方華創、屹唐股份三強崛起,中微公司、北方華創、屹唐股份三強崛起資料來源:中國國際招標網,中信證券研究部;2022年截至7月4日長江存儲:國產刻蝕設備主要采購自中微公
63、司長江存儲:國產刻蝕設備主要采購自中微公司、北方華北方華創創、屹唐股份屹唐股份。在長江存儲20172021年刻蝕設備招標中,中微公司設備中標數量位列第三,累計58臺,僅次于泛林、東京電子,高于應用材料,體現出中微公司在刻蝕設備領域達到國際水平的技術競爭力。北方華創、屹唐股份僅次于應用材料,分別錄得24臺、18臺。長江存儲長江存儲20172022設備招標刻蝕設備各廠商中標數量合計設備招標刻蝕設備各廠商中標數量合計刻蝕設備方面刻蝕設備方面,中微公司中微公司、北方華創北方華創、屹唐股份分列國內前三屹唐股份分列國內前三。中微公司工藝覆蓋范圍相對較廣,其主力出貨類型為CCP(電容耦合等離子刻蝕),面向介
64、質刻蝕較多,近期ICP(電感耦合等離子刻蝕)逐步發力,未來工藝范圍有望進一步拓寬;北方華創主要工藝覆蓋為多晶硅、淺溝槽、鋁刻蝕等類型,主要面向金屬、硅等導體刻蝕為主;屹唐股份在長江存儲獲得大量采購,主要面向介質刻蝕。從三座晶圓廠累計招標情況統計,國產設備中標總數137臺,晶圓廠招標設備總數630臺,由此計算國產化率約國產化率約22%(按照臺數占比,下同)。與國外廠商相比,國產刻蝕設備在刻蝕精度、工藝覆蓋率等方面還存在進一步提升空間。239585539261815605010015020025030025【分設備類型分設備類型】刻蝕:國產化率刻蝕:國產化率22%,中微公司、北方華創、屹唐股份三強
65、崛起,中微公司、北方華創、屹唐股份三強崛起資料來源:中國國際招標網,中信證券研究部;2022年截至7月4日華虹無錫:中微公司位列第二華虹無錫:中微公司位列第二,僅次于泛林僅次于泛林,高于迪恩高于迪恩士士、東京電子東京電子。中微公司共中標14臺,北方華創中標6臺,其中中微公司中標設備包括鈍化膜刻蝕、氧化膜刻蝕、介質側墻刻蝕等,北方華創中標設備包括多晶硅刻蝕、淺溝槽刻蝕等。華虹無錫華虹無錫20182022設備招標刻蝕設備各廠商中標數量合計設備招標刻蝕設備各廠商中標數量合計資料來源:中國國際招標網,中信證券研究部;2022年截至7月4日華力集成:中微公司中標數量位列第二華力集成:中微公司中標數量位列
66、第二,僅次于泛林僅次于泛林,高于東京電子高于東京電子、應用材料應用材料。過去五年華力集成招標期間,中微公司共中標15臺,北方華創中標1臺。其中中微公司中標設備包括光阻刻蝕、銅互連溝槽刻蝕、鈍化膜刻蝕、通孔刻蝕、多晶硅刻蝕等,北方華創中標設備為多晶硅STI刻蝕。華力集成華力集成20162022設備招標刻蝕設備各廠商中標數量合計設備招標刻蝕設備各廠商中標數量合計411513322111105101520253035404551141196111010203040506026薄膜沉積:薄膜沉積:國產化率國產化率5.7%,拓荊科技、北方華創、盛美上海為國產前三強,拓荊科技、北方華創、盛美上海為國產前三
67、強薄膜沉積設備方面薄膜沉積設備方面,拓荊科技拓荊科技、北方華創北方華創、盛美上海分列中標數量國內前三盛美上海分列中標數量國內前三,但三家廠商設備類型有明顯差異但三家廠商設備類型有明顯差異。其中拓荊科技主要為PECVD(等離子增強化學氣相沉積),北方華創主要為PVD(物理氣相沉積),盛美上海涉及電鍍設備,三家廠商均是對應細分設備(PECVD、PVD、電鍍)領域的國內龍頭,產業地位突出。中微公司等企業目前也在布局薄膜沉積設備領域。從三座晶圓廠累計招標情況統計,國產設備中標總數58臺,晶圓廠招標設備總數1024臺,由此計算國產化率約國產化率約5.7%。與海外廠商相比,國產廠商在薄膜沉積領域工藝覆蓋類
68、型方面尚不完善,仍有較大發展空間。長江存儲:薄膜沉積設備主要采購日美設備長江存儲:薄膜沉積設備主要采購日美設備,包括東包括東京電子京電子、國際電氣國際電氣、泛林泛林、應用材料等應用材料等。國產廠商中,拓荊科技、北方華創分別中標14臺、11臺,其中拓荊科技中標設備主要為PECVD(等離子增強化學氣相沉積),北方華創中標設備主要為PVD(物理氣相沉積)。資料來源:Intel官網,中信證券研究部長江存儲長江存儲20172021設備招標薄膜沉積設備各廠商中標數量設備招標薄膜沉積設備各廠商中標數量合計(臺)合計(臺)21418818318119156105010015020025027資料來源:中國國際
69、招標網,中信證券研究部;2022年截至7月4日華虹無錫:主要采購應用材料華虹無錫:主要采購應用材料、泛林泛林,國產廠商包括北國產廠商包括北方華創方華創、拓荊科技拓荊科技、江蘇芯夢江蘇芯夢。其中,北方華創中標設備為PVD,拓荊科技中標設備為PECVD,江蘇芯夢中標設備為化學鍍設備。華虹無錫華虹無錫20182022設備薄膜沉積設備各廠商中標數量設備薄膜沉積設備各廠商中標數量合計(臺)合計(臺)資料來源:中國國際招標網,中信證券研究部;2022年截至7月4日華力集成:應用材料中標最多華力集成:應用材料中標最多,國產包括拓荊科技國產包括拓荊科技、北北方華創方華創、盛美上海盛美上海。其中拓荊科技中標設備
70、為PECVD,北方華創中標設備為濺射設備,盛美上海中標設備為銅電鍍設備。華力集成華力集成20162022設備招標薄膜沉積設備各設備招標薄膜沉積設備各廠商中標廠商中標數量數量合計(臺)合計(臺)薄膜沉積:薄膜沉積:國產化率國產化率5.7%,拓荊科技、北方華創、盛美上海為國產前三強,拓荊科技、北方華創、盛美上海為國產前三強673275421110102030405060708044338542105101520253035404550應用材料Applied Materials泛林Lam Research北方華創拓荊科技SPTSTechnologiesASM International江蘇芯夢28過
71、程控制:過程控制:國產化率國產化率3.6%,中科飛測、精測半導體、睿勵科學儀器國內領先,中科飛測、精測半導體、睿勵科學儀器國內領先過程控制設備方面過程控制設備方面,中科飛測中科飛測、精測半導體精測半導體、睿勵科學儀器屬于國內布局領先企業睿勵科學儀器屬于國內布局領先企業。中科飛測主要產品為光學表面三維形貌量測設備等光學檢測設備,精測半導體、睿勵科學儀器主要產品均為膜厚量測設備。從三座晶圓廠累計招標情況統計,國產設備中標總數25臺,晶圓廠招標設備總數703臺,由此計算國產化率約國產化率約3.6%,國產廠商設備僅覆蓋膜厚量測、光學形貌量測等類型,品類尚不齊全,存在較大市場空間尚待開拓。資料來源:中國
72、國際招標網,中信證券研究部長江存儲:過程控制設備主要采購美長江存儲:過程控制設備主要采購美、日設備日設備,包括包括Onto(由由Nanometrics 和和 Rudolph Technologies合并合并)、科天科天、日立高新日立高新、應用材應用材料料、賽默飛等賽默飛等。國產廠商中,中科飛測、精測半導體、睿勵科學儀器分別中標7臺、6臺、2臺。其中中科飛測中標設備主要為光學表面三維形貌量測設備,精測半導體中標設備主要為膜厚光學關鍵尺寸量測儀,睿勵科學儀器中標設備為介質薄膜測量系統。長江存儲長江存儲20172021設備招標過程控制設備各廠商中標數量設備招標過程控制設備各廠商中標數量合計(臺)合計
73、(臺)102784126241387665554433222222202040608010012029過程控制:過程控制:國產化率國產化率3.6%,中科飛測、精測半導體、睿勵科學儀器國內領先,中科飛測、精測半導體、睿勵科學儀器國內領先華力集成:華力集成:Nova Measuring、科天中標最多科天中標最多,國產僅睿勵科學儀國產僅睿勵科學儀器中標器中標。其中Nova Measuring為以色列量測設備公司,共計中標45臺,中標產品包括化學機械研磨厚度在線測量設備、光學線寬測量儀設備、硅片厚度測量儀、X射線光電子能譜分析量測設備等。睿勵科學儀器于2019年11月中標的1臺設備為后段膜厚測量儀設備
74、(BEOL)。資料來源:中國國際招標網,中信證券研究部華力集成華力集成20162022設備招標過程控制設備各設備招標過程控制設備各廠商中標廠商中標數量數量合計(臺)合計(臺)華虹無錫:主要采購科天華虹無錫:主要采購科天、日立高新日立高新,國產廠商包括吉姆西半導國產廠商包括吉姆西半導體科技體科技、無錫卓海無錫卓海。其中,吉姆西半導體科技8臺中標設備為膜厚測量儀,無錫卓海1臺中標設備為套刻精度檢測機。吉姆西半導體科技主要業務為半導體再制造設備和研磨液供應系統;無錫卓??萍紝W雽w前道檢測與量測設備領域的研發、制造、修理、技術服務。資料來源:中國國際招標網,中信證券研究部;2022年截至7月4日華
75、虹無錫華虹無錫20182022設備招標過程控制設備各設備招標過程控制設備各廠商中標廠商中標數量數量合計(臺)合計(臺)4534181514116543333205101520253035404550712622876665332220102030405060708030氧化擴散氧化擴散/熱處理設備:國產化率熱處理設備:國產化率28%,北方華創優勢較為明顯,北方華創優勢較為明顯氧化擴散氧化擴散/熱處理設備方面熱處理設備方面,北方華創中標設備數量靠前北方華創中標設備數量靠前,尤其是在長江存儲中獲采購數量較大尤其是在長江存儲中獲采購數量較大。北方華創相關設備主要以各類氧化爐、退火爐、合金爐等為主;除
76、北方華創外,屹唐股份、盛美上海等公司亦有相關爐管產品;上海微電子面向IGBT等應用開發了激光退火設備,與爐管設備有所區別。從三座晶圓廠累計招標情況統計,國產設備中標總數136臺,晶圓廠招標設備總數482臺,由此計算國產化率約國產化率約28.2%。資料來源:中國國際招標網,中信證券研究部長江存儲:北方華創中標僅次于東京電子長江存儲:北方華創中標僅次于東京電子,屹屹唐股份唐股份、成都萊普科技亦獲得采購成都萊普科技亦獲得采購。其中北方華創共計中標100臺,中標產品涵蓋氧化、退火、合金等設備。屹唐股份中標8臺,主要為退火設備。成都萊普科技于2021年9月中標2臺,為退火設備。長江存儲長江存儲20172
77、021設備招標薄膜沉積設備各廠商中標數量設備招標薄膜沉積設備各廠商中標數量合計(臺)合計(臺)129100301482020406080100120140東京電子Tokyo Electron北方華創應用材料Applied Materials國際電氣KOKUSAI ELECTRIC屹唐股份成都萊普科技31氧化擴散氧化擴散/熱處理設備:國產化率熱處理設備:國產化率28%,北方華創優勢較為明顯,北方華創優勢較為明顯資料來源:中國國際招標網,中信證券研究部華力集成:東京電子華力集成:東京電子、應用材料等企業領先應用材料等企業領先,北方華創北方華創、屹唐股份屹唐股份、盛美上海亦獲得采購盛美上海亦獲得采購
78、。其中北方華創共計中標4臺,中標產品涵蓋退火、合金、氧化爐設備;屹唐股份(Mattson)中標2臺,為快速熱退火/快速熱氧化設備;盛美上海中標1臺,為低壓高溫氧化爐設備。華力集成華力集成20162022設備招標氧化擴散設備招標氧化擴散/熱處理設備各廠商中標數量熱處理設備各廠商中標數量合計(臺)合計(臺)2410842211051015202530華虹無錫:東京電子獲采購最多華虹無錫:東京電子獲采購最多,國產廠商包括北方華國產廠商包括北方華創創、屹唐股份屹唐股份、上海微電子等上海微電子等。其中,北方華創中標11臺設備,包括合金退火爐、真空烘烤爐等;屹唐股份中標4臺,為快速熱退火設備;上海微電子中
79、標4臺,為背面激光退火設備。華虹無錫華虹無錫20182022設備招標氧化擴散設備招標氧化擴散/熱處理設備各廠商中標數量熱處理設備各廠商中標數量合計(臺)合計(臺)資料來源:中國國際招標網,中信證券研究部;2022年截至7月4日9726114441020406080100120東京電子Tokyo Electron應用材料Applied Materials北方華創屹唐股份上海微電子裝備ASM InternationalVeeco32清洗設備:清洗設備:國產化率國產化率38%,盛美上海中標設備數量國產最多,僅次于日本迪恩士,盛美上海中標設備數量國產最多,僅次于日本迪恩士資料來源:中國國際招標網,中信
80、證券研究部長江存儲:盛美上海中標設備數僅次于日本廠商迪恩士長江存儲:盛美上海中標設備數僅次于日本廠商迪恩士,國產中標廠商還包括芯矽科技國產中標廠商還包括芯矽科技、北方華創北方華創、屹唐股份屹唐股份。其中盛美上海共中標35臺,中標產品主要包括各類型單片式清洗機;芯矽科技共計中標5臺,中標產品為零部件清洗機;北方華創共中標2臺制程擋控片蝕刻回收清洗機;屹唐股份亦于2021年中標2臺清洗設備。長江存儲長江存儲20172021設備招標清洗設備各廠商中標數量合計(臺)設備招標清洗設備各廠商中標數量合計(臺)資料來源:中國國際招標網,中信證券研究部;2022年截至7月4日華力集成:盛美上海中標僅次于日本迪
81、恩士華力集成:盛美上海中標僅次于日本迪恩士,北方華創北方華創、芯源微亦獲得采購芯源微亦獲得采購。其中盛美上海共計中標19臺,中標產品涵蓋前段、后段工藝的清洗設備。北方華創中標13臺,均為部件清洗設備;芯源微中標3臺,為刷片清洗設備。華力集成華力集成20162022設備招標清洗設備各廠商中標數量合計(臺)設備招標清洗設備各廠商中標數量合計(臺)594135241565444322222010203040506070411919133321105101520253035404533清洗設備:清洗設備:國產化率國產化率38%,盛美上海中標設備數量國產最多,僅次于日本迪恩士,盛美上海中標設備數量國產最
82、多,僅次于日本迪恩士華虹無錫:迪恩士華虹無錫:迪恩士、盛美上海分列前兩位盛美上海分列前兩位,國產廠商還包國產廠商還包括上海稷以科技有限公司括上海稷以科技有限公司。其中,盛美上海中標24臺設備涵蓋前后段制程,涉及銅線聚合體剝離、鋁線及通孔清洗、多晶硅氧化膜硅片再生、擴散爐前清洗等環節,產品應用較為多樣;上海稷以科技有限公司于2021年9月首次中標華虹無錫清洗設備1臺,具體產品為300mm薄片等離子背面清洗機。華虹無錫華虹無錫20182022設備招標清洗設備各廠商中標數量合計(臺)設備招標清洗設備各廠商中標數量合計(臺)資料來源:中國國際招標網,中信證券研究部;2022年截至7月4日清洗設備方面清
83、洗設備方面,盛美上海在選取的三家晶圓廠中設備中標數盛美上海在選取的三家晶圓廠中設備中標數量位列第二量位列第二,僅次于日本迪恩士僅次于日本迪恩士。盛美上海清洗設備工藝覆蓋面較廣,基本涵蓋前、中、后段工藝。國內至純科技、北方華創、芯源微、屹唐股份等企業均有所布局,積極推進國產化。盛美上海以超/兆聲波方法為特色,可搭配二流體清洗,并推出槽式濕法、刷洗設備,根據公司官方公眾號,截至2021年10月,其濕法設備交付2000腔,累計出貨超過300臺。至純科技產品包括槽式濕法工作站和單片式濕法設備,其中單 片 式 濕 法 設 備 為 旋 轉 噴 淋 Spin-Spray 類 型,對 標SCREEN、LAM等
84、企業,根據公司公告及產業調研,截至2021年三季度末,公司濕法設備累計交付超過100臺。芯源微產品包括單片式清洗機及Scrubber刷洗設備等,在中芯國際、上海華力、廈門士蘭集科等多客戶處通過工藝驗證,獲得多家Fab廠批量重復訂單。從三座晶圓廠累計招標情況統計,國產設備中標總數114臺,晶圓廠招標設備總數381臺,由此計算國產化率約計算國產化率約37.8%。當前國產設備主要在后端制程為主,且部分用于處理控片、擋片,在正片、前端制程應用相對有限,未來仍存在較大發展空間。252410621105101520253034去膠設備:國產化率去膠設備:國產化率74%,屹唐股份、盛美上海國產入圍,屹唐股份
85、、盛美上海國產入圍資料來源:中國國際招標網,中信證券研究部長江存儲:屹唐股份中標數量位列第一長江存儲:屹唐股份中標數量位列第一。屹唐股份共計中標74臺,數量超過韓國PSK,中標產品涵蓋前、中、后段干法去膠設備。屹唐股份為中標范圍內唯一一家國產廠商。長江存儲長江存儲20172021設備招標去膠設備各廠商中標數量合計(臺)設備招標去膠設備各廠商中標數量合計(臺)資料來源:中國國際招標網,中信證券研究部;2022年截至7月4日華力集成:屹唐股份中標數量位列第一華力集成:屹唐股份中標數量位列第一。屹唐股份共計中標10臺,中標產品為等離子去膠設備,涵蓋前、中、后段去膠工藝。華力集成華力集成2016202
86、2設備招標去膠設備各廠商中標數量合計(臺)設備招標去膠設備各廠商中標數量合計(臺)741201020304050607080屹唐股份PSK108024681012屹唐股份泛林Lam Research35去膠設備:國產化率去膠設備:國產化率74%,屹唐股份、盛美上海國產入圍,屹唐股份、盛美上海國產入圍華虹無錫:迪恩士獲采購較多華虹無錫:迪恩士獲采購較多,國產廠商包括屹唐股國產廠商包括屹唐股份份、盛美上海盛美上海。其中,屹唐股份中標21臺設備,均為等離子去膠設備;盛美上海中標9臺,均為前段光刻膠剝離設備。華虹無錫華虹無錫20182022設備招標去膠設備各廠商中標數量合計(臺)設備招標去膠設備各廠商
87、中標數量合計(臺)資料來源:中國國際招標網,中信證券研究部;2022年截至7月4日去膠設備方面去膠設備方面,屹唐股份屹唐股份、盛美上海等公司入圍盛美上海等公司入圍,兩家兩家設備類型有所區別設備類型有所區別。其中,屹唐股份主要產品為各類等離子體干法去膠設備,其收購的Mattson在去膠領域具有長期技術積累,國產化率相對較高,盛美上海產品為濕法光刻膠剝離設備。從三座晶圓廠累計招標情況統計,國產設備中標總數114臺,晶圓廠招標設備總數154臺,由此計算國產化率國產化率約約74.0%。公司名稱公司名稱設備名稱設備名稱數量數量招標時間招標時間屹唐股份屹唐股份等離子體去膠機12020-01-21等離子去膠
88、機52020-04-27等離子去膠機42020-12-28等離子去膠機12021-02-22等離子去膠機22021-08-09等離子去膠機72022-04-07等離子去膠機12022-06-17盛美上海盛美上海前段光刻膠剝離單片設備12020-04-21前段光刻膠剝離設備12020-11-17前段光刻膠剝離設備12020-12-28前段光刻膠剝離設備12021-02-22前段光刻膠剝離單片設備12022-02-17光刻膠剝離設備(標準版)42022-02-17華虹無錫華虹無錫20182022設備招標國產去膠設備明細(臺)設備招標國產去膠設備明細(臺)2117930510152025屹唐股份迪恩
89、士Screen盛美上海泛林Lam Research36化學機械拋光:國產化率化學機械拋光:國產化率23%,華海清科為國內細分龍頭,華海清科為國內細分龍頭資料來源:中國國際招標網,中信證券研究部長江存儲:應用材料長江存儲:應用材料、華海清科中標最多華海清科中標最多。其中華海清科共計中標34臺,僅次于應用材料,中標產品主要為層間介質層化學機械拋光機、氧化硅化學機械拋光機、晶圓硅面化學機械拋光機等。長江存儲長江存儲20172021設備招標化學機械拋光設備各廠商中標數量合計(臺)設備招標化學機械拋光設備各廠商中標數量合計(臺)資料來源:中國國際招標網,中信證券研究部;2022年截至7月4日華力集成:應
90、用材料華力集成:應用材料、荏原制作所領先荏原制作所領先,國內華海清科國內華海清科中標中標。華海清科共計中標4臺,中標產品涵蓋硅研磨設備、銅化學機械研磨設備、氧化硅化學機械研磨設備和硅片背面氧化膜化學機械研磨設備。華力集成華力集成20162022設備招標化學機械拋光設備各廠商中標數量合計(臺)設備招標化學機械拋光設備各廠商中標數量合計(臺)76343324501020304050607080應用材料Applied Materials華海清科DISCO信紘科技ATLANTA201140510152025應用材料Applied Materials荏原制作所華海清科37化學機械拋光:國產化率化學機械拋
91、光:國產化率23%,華海清科為國內細分龍頭,華海清科為國內細分龍頭華虹無錫:應用材料華虹無錫:應用材料、華海清科獲采購較多華海清科獲采購較多。華海清科共計中標13臺設備,化學機械拋光工藝涵蓋銅、硅片再生、淺溝槽絕緣氧化膜&多晶硅膜、鎢等工藝環節,應用領域較為多樣;吉姆西半導體科技中標6臺,為氧化膜化學機械拋光設備。華虹無錫華虹無錫20182022設備招標化學機械拋光設備各廠商中標數量合計(臺)設備招標化學機械拋光設備各廠商中標數量合計(臺)資料來源:中國國際招標網,中信證券研究部;2022年截至7月4日化學機械拋光設備方面化學機械拋光設備方面,華海清科為國內細分龍頭華海清科為國內細分龍頭?;瘜W
92、機械拋光設備涵蓋銅、硅片再生、淺溝槽絕緣氧化膜&多晶硅膜、鎢等多類材料。從三座晶圓廠累計招標情況統計,國產設備中標總數57臺,晶圓廠招標設備總數245臺,由此計算國產化率國產化率約約23.3%。與海外廠商相比,在工藝覆蓋率方面,國內廠商有進一步提升空間。華虹無錫華虹無錫20182022設備招標國產化學機械拋光設備設備招標國產化學機械拋光設備明細明細公司名稱公司名稱設備名稱設備名稱數量數量(臺臺)招標時間招標時間華海清科華海清科化學機械拋光設備(銅)12019-03-19化學機械拋光設備(硅片再生)12019-03-19化學機械拋光設備(淺溝槽絕緣氧化膜&多晶硅膜)12020-04-16化學機械
93、拋光設備(鎢)22020-04-16化學機械拋光設備(鎢)12020-09-30化學機械拋光設備(鎢)12020-11-17化學機械拋光設備(鎢)12021-01-06化學機械拋光設備(鎢)12021-01-06化學機械拋光設備(淺溝槽絕緣氧化膜&多晶硅膜)12021-01-06化學機械拋光設備(鎢)12022-02-09化學機械拋光設備(銅)22022-02-09吉姆西半導體科技吉姆西半導體科技化學機械拋光設備(氧化膜)22020-04-15化學機械拋光設備(氧化膜)12020-05-13化學機械拋光設備(氧化膜)12020-11-17化學機械拋光設備(氧化膜)12020-12-28化學機械
94、拋光設備(氧化膜)12022-02-1718136102468101214161820應用材料Applied Materials華海清科無錫吉姆西DISCO38離子注入:國產化率離子注入:國產化率3.1%,爍科中科信國產獲采購,爍科中科信國產獲采購資料來源:中國國際招標網,中信證券研究部長江存儲:應用材料長江存儲:應用材料、亞舍立亞舍立(Axcelis)中標較多中標較多。應用材料為離子注入領域全球龍頭,共計中標43臺,中標產品涵蓋高束流、中束流等類型;亞舍立Axcelis中標8臺,主要為高能離子注入設備。長江存儲長江存儲20172021設備招標離子注入設備各廠商中標數量合計(臺)設備招標離子注
95、入設備各廠商中標數量合計(臺)資料來源:中國國際招標網,中信證券研究部;2022年截至7月4日華力集成:應用材料華力集成:應用材料、住友重工住友重工、亞舍立等企業領先亞舍立等企業領先,國產爍科中科信獲得采購國產爍科中科信獲得采購。應用材料中標設備涵蓋高電流、中電流和高能量離子注入設備;住友重工中標設備包括高電流和中電流離子注入設備;亞舍立中標設備為中電流和高能量離子注入機;爍科中科信于2019年中標1臺中束流離子注入機。華力集成華力集成20162022設備招標離子注入設備各廠商中標數量合計(臺)設備招標離子注入設備各廠商中標數量合計(臺)3274105101520253035應用材料Appli
96、ed MaterialsSumitomo Heavy IndustriesIon Technology Co.,Ltd.亞舍立科技Axcelis Technologies爍科中科信439205101520253035404550應用材料Applied Materials亞舍立科技Axcelis Technologies漢辰科技股份有限公司39離子注入:國產化率離子注入:國產化率3.1%,爍科中科信國產獲采購,爍科中科信國產獲采購華虹無錫:住友重工華虹無錫:住友重工、應用材料獲采購最多應用材料獲采購最多,國產廠國產廠商爍科中科信獲得采購商爍科中科信獲得采購。其中,住友重工、應用材料、亞舍立分別中
97、標30臺、25臺、6臺離子注入機;爍科中科信于2020、2022年中標2臺,為中電流離子注入設備。華虹無錫華虹無錫20182022設備招標化學機械拋光設備各廠商中標數量合計(臺)設備招標化學機械拋光設備各廠商中標數量合計(臺)資料來源:中國國際招標網,中信證券研究部;2022年截至7月4日離子注入設備方面離子注入設備方面,爍科中科信在華虹無錫爍科中科信在華虹無錫、華力集華力集成均獲得中標成均獲得中標,中標設備均為中束流離子注入設備中標設備均為中束流離子注入設備。凱世通半導體亦為國內離子注入機國產化主力廠商,低能大束流和高能離子注入機陸續交付客戶。從三座晶圓廠累計招標情況統計,國產設備中標總數5
98、臺,晶圓廠招標設備總數161臺,由此計算國產化率約國產化率約3.1%,該領域尚存在較大國內外差距,替代空間廣闊。華虹無錫華虹無錫20182022設備招標國產離子注入設備明細設備招標國產離子注入設備明細公司名稱公司名稱設備名稱設備名稱數量數量(臺臺)招標時間招標時間爍科中科信爍科中科信中電流離子注入設備12020-01-09中電流離子注入設備12022-03-22公司名稱公司名稱設備名稱設備名稱數量數量(臺臺)招標時間招標時間爍科中科信爍科中科信中束流離子注入機12019-01-14華力集成華力集成20162022設備招標離子注入設備各廠商中標數量合計設備招標離子注入設備各廠商中標數量合計302
99、56205101520253035Sumitomo Heavy IndustriesIon Technology Co.,Ltd.應用材料Applied Materials亞舍立科技Axcelis Technologies爍科中科信40涂膠顯影:國產化率涂膠顯影:國產化率1%,芯源微實現國產零突破,芯源微實現國產零突破資料來源:中國國際招標網,中信證券研究部長江存儲:主要采購自東京電長江存儲:主要采購自東京電子子,部分迪恩士部分迪恩士、漢民科技漢民科技。尚未采購國產廠商設備。長江存儲、華力集成、華虹無錫設備招標涂膠顯影設備各廠商中標數量合計(臺)長江存儲、華力集成、華虹無錫設備招標涂膠顯影設備
100、各廠商中標數量合計(臺)華力集成:東京電子獲采購數量領華力集成:東京電子獲采購數量領先先,芯源微實現零的突破芯源微實現零的突破。其中,國產廠商芯源微中標1臺,為防反射層勻膠機設備/BARC Coater。52110102030405060東京電子Tokyo Electron迪恩士Screen漢民科技Hermes Epitek1711024681012141618華虹無錫:華虹無錫:27臺招標涂膠顯影設臺招標涂膠顯影設備全部采購自東京電子備全部采購自東京電子,尚未采尚未采購國產廠商設備購國產廠商設備。涂膠顯影設備方面涂膠顯影設備方面,東京電子獲采購較多東京電子獲采購較多,國產設備公司中僅芯源微入
101、圍國產設備公司中僅芯源微入圍。芯源微在華力集成中標設備為勻膠機,國產化尚存在較大發展空間。除上述晶圓廠外,芯源微還在中芯紹興、上海積塔、青島芯恩等晶圓廠獲得批量招標采購,產品包括聚合物涂膠顯影機、背面涂膠顯影機、KrF勻膠顯影機、I-line勻膠顯影機等,公司在國內涂膠顯影設備領域具有一定稀缺性。從前述三座晶圓廠累計招標情況統計,國產設備中標總數1臺,晶圓廠招標設備總數100臺,由此計算國產化率約計算國產化率約1%。27051015202530東京電子Tokyo Electron41光刻:國產化率光刻:國產化率1.1%,阿斯麥絕對壟斷,上海微實現國產零突破,阿斯麥絕對壟斷,上海微實現國產零突破
102、資料來源:中國國際招標網,中信證券研究部長江存儲長江存儲長江存儲、華力集成、華虹無錫設備招標光刻設備各廠商中標數量合計(臺)長江存儲、華力集成、華虹無錫設備招標光刻設備各廠商中標數量合計(臺)華力集成華力集成華虹無錫華虹無錫光刻機方面光刻機方面,各晶圓廠均主要采購阿斯麥產品各晶圓廠均主要采購阿斯麥產品,少量采購日系廠商佳能少量采購日系廠商佳能、尼康尼康。國產廠商中,上海微電子裝備于2021年初于長江存儲中標一臺光刻機。當前在光刻機領域,后續廠與龍頭廠商阿斯麥之間差距仍較為明顯。從三座晶圓廠累計招標情況來看,國產設備中標總數1臺,晶圓廠招標設備總數93臺,國產化率約國產化率約1.1%,國產化率尚
103、低國產化率尚低。411210510152025303540451410246810121416阿斯麥ASML尼康2120510152025阿斯麥ASML尼康424.總結:堅定看好設備國產替代趨勢總結:堅定看好設備國產替代趨勢I.I.國產化率計算:長江存儲、華虹無錫、華力集成設備國產化率國產化率計算:長江存儲、華虹無錫、華力集成設備國產化率II.II.長江存儲在設備國產化方面較為積極,總體國產化率高于另兩家晶圓廠長江存儲在設備國產化方面較為積極,總體國產化率高于另兩家晶圓廠III.III.產能擴張產能擴張+國產替代積極推進,看好未來國產替代積極推進,看好未來1212年半導體設備行業發展年半導體設
104、備行業發展43國產化率計算:長江存儲、華力集成、華虹無錫設備國產化率國產化率計算:長江存儲、華力集成、華虹無錫設備國產化率國產化率計算:長江存儲國產化率計算:長江存儲、華力集成華力集成、華虹無錫設備國產化率華虹無錫設備國產化率(按照設備臺數占比按照設備臺數占比,下同下同)分別為分別為17.9%、12.9%、18%。從各類型設備來看,去膠、清洗、氧化擴散/熱處理、刻蝕、化學機械拋光領域國產化率均可達到20%以上,而薄膜沉積、過程控制、離子注入、光刻、涂膠顯影設備國產化率尚低。國產設備臺數國產設備臺數設備總數設備總數國產化率國產化率(按設備數量按設備數量)長江存儲華力集成華虹無錫合計長江存儲華力集
105、成華虹無錫合計長江存儲華力集成華虹無錫合計去膠去膠74103011486185015486.0%55.6%60.0%74.0%清洗清洗8435251442101026938140.0%34.3%36.2%37.8%氧化擴散氧化擴散/熱處理熱處理1107191362835214748238.9%13.5%12.9%28.2%刻蝕刻蝕3441957172353824519.8%11.4%50.0%23.3%化學機械拋光化學機械拋光1001720137456809463021.9%21.3%21.3%21.7%薄膜沉積薄膜沉積341014588071209710244.2%8.3%14.4%5.7%
106、過程控制過程控制1519253641791607034.1%0.6%5.6%3.6%離子注入離子注入21255444631613.7%2.3%3.2%3.1%光刻光刻1001541623931.9%0.0%0.0%1.1%涂膠顯影涂膠顯影01015419271000.0%5.3%0.0%1.0%合計合計454861386782540665768397317.9%12.9%18.0%17.1%長江存儲、華力集成、華虹無錫三座晶圓廠招投標國產設備份額統計明細(長江存儲、華力集成、華虹無錫三座晶圓廠招投標國產設備份額統計明細(20162022年)年)資料來源:中國國際招標網,中信證券研究部注:考慮到
107、再制造設備原品牌多為海外廠商,故未將再制造設備列入國產設備數目中;2022年截至7月4日44長江存儲在設備國產化方面較為積極,總體國產化率高于另兩家晶圓廠長江存儲在設備國產化方面較為積極,總體國產化率高于另兩家晶圓廠資料來源:中國國際招標網,中信證券研究部三座晶圓廠招投標設備合計國產化率排序(三座晶圓廠招投標設備合計國產化率排序(20162022)資料來源:中國國際招標網,中信證券研究部三座晶圓廠招投標設備各自國產化率對比(三座晶圓廠招投標設備各自國產化率對比(20162022)三座晶圓廠橫向對比來看三座晶圓廠橫向對比來看,長江存儲在設備國產化方面較為積極長江存儲在設備國產化方面較為積極,總體
108、國產化率高于另兩家晶圓廠總體國產化率高于另兩家晶圓廠。這可能是由于長江存儲生產存儲芯片,為IDM模式(設計、制造一體),設備選擇自主性相對高于晶圓廠代工廠(代工廠或需考慮設計客戶接受情況)。華虹無錫與華力集成同屬于華虹集團,而華虹無錫各類型設備國產化率基本均高于華力集成(個別如氧化擴散/熱處理、離子注入除外,但相差不大),這可能是由于華虹無錫主要制程在90nm55nm,屬于成熟制程,華力集成主要為2814nm,相對于華虹無錫而言屬于較先進制程,成熟制程在設備國產化率方面相對更高。74.0%37.8%28.2%23.3%21.7%5.7%3.1%3.6%1.1%1.0%0.0%10.0%20.0
109、%30.0%40.0%50.0%60.0%70.0%80.0%0%10%20%30%40%50%60%70%80%90%100%長江存儲華力集成華虹無錫45產能擴張產能擴張+國產替代積極推進,看好未來國產替代積極推進,看好未來3年半導體設備行業發展年半導體設備行業發展展望展望2023年年,中芯國際中芯國際、華虹無錫華虹無錫、華力集成等晶圓代工廠以及長鑫存儲華力集成等晶圓代工廠以及長鑫存儲、長江存儲等長江存儲等IDM廠均有持續產能擴增計劃廠均有持續產能擴增計劃,國內資本開支保持較高投入水平國內資本開支保持較高投入水平。另一方面,美國制裁華為、中芯國際等已經激發國內廠商供應鏈安全意識,國內晶圓廠有
110、望加快供應鏈本土化,國產設備廠商接下來35年有望受益國產份額的提升,半導體設備企業有較強基本面支撐。建議優先選擇賽道空間大、產品布局全面、技術實力較強的龍頭設備廠商,以及份額尚低、受益國產替代有望快速成長的細分賽道成長型企業。推薦北方華創、中微公司、盛美上海,建議關注拓荊科技、華海清科、芯源微、屹唐股份、至純科技、精測電子等。除晶圓廠工藝設備外,測試設備/封裝設備方面亦受益國內晶圓制造、配套測試、封裝產能擴張,國產化持續推進,推薦華峰測控,建議關注長川科技、新益昌、光力科技等。46風險因素風險因素 下游需求不及預期;下游需求不及預期;國際產業環境變化和貿易摩擦加劇風險;國際產業環境變化和貿易摩
111、擦加劇風險;宏觀經濟增速不及預期;宏觀經濟增速不及預期;晶圓廠資本開支不及預期;晶圓廠資本開支不及預期;國產設備國產設備研發進展不及研發進展不及預期等。預期等。感謝您的信任與支持!感謝您的信任與支持!THANK YOU47徐濤徐濤(科技產業聯席首席分析科技產業聯席首席分析師師)執業證書編號:S1010517080003王子源王子源(半導體分析半導體分析師師)執業證書編號:S1010521090002免責聲明免責聲明48分析師聲明分析師聲明主要負責撰寫本研究報告全部或部分內容的分析師在此聲明:(i)本研究報告所表述的任何觀點均精準地反映了上述每位分析師個人對標的證券和發行人的看法;(ii)該分析
112、師所得報酬的任何組成部分無論是在過去、現在及將來均不會直接或間接地與研究報告所表述的具體建議或觀點相聯系。一般性聲明一般性聲明本研究報告由中信證券股份有限公司或其附屬機構制作。中信證券股份有限公司及其全球的附屬機構、分支機構及聯營機構(僅就本研究報告免責條款而言,不含CLSAgroup of companies),統稱為“中信證券”。本研究報告對于收件人而言屬高度機密,只有收件人才能使用。本研究報告并非意圖發送、發布給在當地法律或監管規則下不允許向其發送、發布該研究報告的人員。本研究報告僅為參考之用,在任何地區均不應被視為買賣任何證券、金融工具的要約或要約邀請。中信證券并不因收件人收到本報告而
113、視其為中信證券的客戶。本報告所包含的觀點及建議并未考慮個別客戶的特殊狀況、目標或需要,不應被視為對特定客戶關于特定證券或金融工具的建議或策略。對于本報告中提及的任何證券或金融工具,本報告的收件人須保持自身的獨立判斷并自行承擔投資風險。本報告所載資料的來源被認為是可靠的,但中信證券不保證其準確性或完整性。中信證券并不對使用本報告或其所包含的內容產生的任何直接或間接損失或與此有關的其他損失承擔任何責任。本報告提及的任何證券或金融工具均可能含有重大的風險,可能不易變賣以及不適合所有投資者。本報告所提及的證券或金融工具的價格、價值及收益可跌可升。過往的業績并不能代表未來的表現。本報告所載的資料、觀點及
114、預測均反映了中信證券在最初發布該報告日期當日分析師的判斷,可以在不發出通知的情況下做出更改,亦可因使用不同假設和標準、采用不同觀點和分析方法而與中信證券其它業務部門、單位或附屬機構在制作類似的其他材料時所給出的意見不同或者相反。中信證券并不承擔提示本報告的收件人注意該等材料的責任。中信證券通過信息隔離墻控制中信證券內部一個或多個領域的信息向中信證券其他領域、單位、集團及其他附屬機構的流動。負責撰寫本報告的分析師的薪酬由研究部門管理層和中信證券高級管理層全權決定。分析師的薪酬不是基于中信證券投資銀行收入而定,但是,分析師的薪酬可能與投行整體收入有關,其中包括投資銀行、銷售與交易業務。若中信證券以
115、外的金融機構發送本報告,則由該金融機構為此發送行為承擔全部責任。該機構的客戶應聯系該機構以交易本報告中提及的證券或要求獲悉更詳細信息。本報告不構成中信證券向發送本報告金融機構之客戶提供的投資建議,中信證券以及中信證券的各個高級職員、董事和員工亦不為(前述金融機構之客戶)因使用本報告或報告載明的內容產生的直接或間接損失承擔任何責任。評級說明評級說明投資建議的評級標準投資建議的評級標準評級評級說明說明報告中投資建議所涉及的評級分為股票評級和行業評級(另有說明的除外)。評級標準為報告發布日后6到12個月內的相對市場表現,也即:以報告發布日后的6到12個月內的公司股價(或行業指數)相對同期相關證券市場
116、代表性指數的漲跌幅作為基準。其中:A股市場以滬深300指數為基準,新三板市場以三板成指(針對協議轉讓標的)或三板做市指數(針對做市轉讓標的)為基準;香港市場以摩根士丹利中國指數為基準;美國市場以納斯達克綜合指數或標普500指數為基準;韓國市場以科斯達克指數或韓國綜合股價指數為基準。股票評級買入相對同期相關證券市場代表性指數漲幅20%以上增持相對同期相關證券市場代表性指數漲幅介于5%20%之間持有相對同期相關證券市場代表性指數漲幅介于-10%5%之間賣出相對同期相關證券市場代表性指數跌幅10%以上行業評級強于大市相對同期相關證券市場代表性指數漲幅10%以上中性相對同期相關證券市場代表性指數漲幅介
117、于-10%10%之間弱于大市相對同期相關證券市場代表性指數跌幅10%以上證券研究報告證券研究報告2022年年8月月24日日免責聲明免責聲明49特別聲明特別聲明在法律許可的情況下,中信證券可能(1)與本研究報告所提到的公司建立或保持顧問、投資銀行或證券服務關系,(2)參與或投資本報告所提到的公司的金融交易,及/或持有其證券或其衍生品或進行證券或其衍生品交易。本研究報告涉及具體公司的披露信息,請訪問https:/ Limited(于中國香港注冊成立的有限公司)分發;在中國臺灣由CL Securities Taiwan Co.,Ltd.分發;在澳大利亞由CLSA Australia Pty Ltd.
118、(商業編號:53 139 992 331/金融服務牌照編號:350159)分發;在美國由CLSA(CLSA Americas,LLC除外)分發;在新加坡由CLSA Singapore Pte Ltd.(公司注冊編號:198703750W)分發;在歐洲經濟區由CLSA Europe BV分發;在英國由CLSA(UK)分發;在印度由CLSA India Private Limited分發(地址:8/F,Dalamal House,Nariman Point,Mumbai 400021;電話:+91-22-66505050;傳真:+91-22-22840271;公司識別號:U67120MH1994P
119、LC083118);在印度尼西亞由PT CLSA Sekuritas Indonesia分發;在日本由CLSA Securities Japan Co.,Ltd.分發;在韓國由CLSA Securities Korea Ltd.分發;在馬來西亞由CLSA Securities Malaysia Sdn Bhd分發;在菲律賓由CLSA Philippines Inc.(菲律賓證券交易所及證券投資者保護基金會員)分發;在泰國由CLSASecurities(Thailand)Limited分發。針對不同司法管轄區的聲明針對不同司法管轄區的聲明中國大陸:中國大陸:根據中國證券監督管理委員會核發的經營證
120、券業務許可,中信證券股份有限公司的經營范圍包括證券投資咨詢業務。中國香港中國香港:本研究報告由CLSA Limited分發。本研究報告在香港僅分發給專業投資者(證券及期貨條例(香港法例第571 章)及其下頒布的任何規則界定的),不得分發給零售投資者。就分析或報告引起的或與分析或報告有關的任何事宜,CLSA客戶應聯系CLSALimited的羅鼎,電話:+852 2600 7233。美國:美國:本研究報告由中信證券制作。本研究報告在美國由CLSA(CLSA Americas,LLC除外)僅向符合美國1934年證券交易法下15a-6規則界定且CLSA Americas,LLC提供服務的“主要美國機構
121、投資者”分發。對身在美國的任何人士發送本研究報告將不被視為對本報告中所評論的證券進行交易的建議或對本報告中所述任何觀點的背書。任何從中信證券與CLSA獲得本研究報告的接收者如果希望在美國交易本報告中提及的任何證券應當聯系CLSA Americas,LLC(在美國證券交易委員會注冊的經紀交易商),以及 CLSA 的附屬公司。新加坡:新加坡:本研究報告在新加坡由CLSA Singapore Pte Ltd.,僅向(新加坡財務顧問規例界定的)“機構投資者、認可投資者及專業投資者”分發。就分析或報告引起的或與分析或報告有關的任何事宜,新加坡的報告收件人應聯系CLSA Singapore PteLtd,
122、地址:80 Raffles Place,#18-01,UOB Plaza 1,Singapore 048624,電話:+65 6416 7888。因您作為機構投資者、認可投資者或專業投資者的身份,就CLSA Singapore Pte Ltd.可能向您提供的任何財務顧問服務,CLSA Singapore Pte Ltd豁免遵守財務顧問法(第110章)、財務顧問規例以及其下的相關通知和指引(CLSA業務條款的新加坡附件中證券交易服務C部分所披露)的某些要求。MCI(P)085/11/2021。加拿大:加拿大:本研究報告由中信證券制作。對身在加拿大的任何人士發送本研究報告將不被視為對本報告中所評論
123、的證券進行交易的建議或對本報告中所載任何觀點的背書。英國:英國:本研究報告歸屬于營銷文件,其不是按照旨在提升研究報告獨立性的法律要件而撰寫,亦不受任何禁止在投資研究報告發布前進行交易的限制。本研究報告在英國由CLSA(UK)分發,且針對由相應本地監管規定所界定的在投資方面具有專業經驗的人士。涉及到的任何投資活動僅針對此類人士。若您不具備投資的專業經驗,請勿依賴本研究報告。歐洲經濟區:歐洲經濟區:本研究報告由荷蘭金融市場管理局授權并管理的CLSAEurope BV 分發。澳大利亞:澳大利亞:CLSA Australia Pty Ltd(“CAPL”)(商業編號:53 139 992 331/金融
124、服務牌照編號:350159)受澳大利亞證券與投資委員會監管,且為澳大利亞證券交易所及CHI-X的市場參與主體。本研究報告在澳大利亞由CAPL僅向“批發客戶”發布及分發。本研究報告未考慮收件人的具體投資目標、財務狀況或特定需求。未經CAPL事先書面同意,本研究報告的收件人不得將其分發給任何第三方。本段所稱的“批發客戶”適用于公司法(2001)第761G條的規定。CAPL研究覆蓋范圍包括研究部門管理層不時認為與投資者相關的ASXAll Ordinaries 指數成分股、離岸市場上市證券、未上市發行人及投資產品。CAPL尋求覆蓋各個行業中與其國內及國際投資者相關的公司。印度:印度:CLSA Indi
125、a Private Limited,成立于 1994 年 11 月,為全球機構投資者、養老基金和企業提供股票經紀服務(印度證券交易委員會注冊編號:INZ000001735)、研究服務(印度證券交易委員會注冊編號:INH000001113)和商人銀行服務(印度證券交易委員會注冊編號:INM000010619)。CLSA 及其關聯方可能持有標的公司的債務。此外,CLSA及其關聯方在過去 12 個月內可能已從標的公司收取了非投資銀行服務和/或非證券相關服務的報酬。如需了解CLSA India“關聯方”的更多詳情,請聯系 Compliance-I。未經中信證券事先書面授權未經中信證券事先書面授權,任何人不得以任何目的復制任何人不得以任何目的復制、發送或銷售本報告發送或銷售本報告。中信證券中信證券2022版權所有版權所有。保留一切權利保留一切權利。