《長電科技-公司深度報告:周期拐點已現先進封裝引領變革龍頭進擊-230613(51頁).pdf》由會員分享,可在線閱讀,更多相關《長電科技-公司深度報告:周期拐點已現先進封裝引領變革龍頭進擊-230613(51頁).pdf(51頁珍藏版)》請在三個皮匠報告上搜索。
1、 國海證券研究所 請務必閱讀正文后免責條款部分 2023 年年 06 月月 12 日日 公司公司研究研究 評級:買入評級:買入(首次覆蓋首次覆蓋)研究所 證券分析師:葛星甫 S0350522100001 周期拐點已現,先進封裝引領變革,龍頭進擊周期拐點已現,先進封裝引領變革,龍頭進擊 長電科技(長電科技(600584)深度報告深度報告 最近一年走勢 相對滬深 300 表現 2023/06/12 表現 1M 3M 12M 長電科技 16.0%15.7%34.7%滬深 300-2.4%-3.1%-9.3%市場數據 2023/06/12 當前價格(元)32.62 52 周價格區間(元)20.41-3
2、8.55 總市值(百萬)58,049.02 流通市值(百萬)58,049.02 總股本(萬股)177,955.30 流通股本(萬股)177,955.30 日均成交額(百萬)2,116.19 近一月換手(%)2.49 投資要點:投資要點:長電科技為封測龍頭長電科技為封測龍頭,傳統封裝與先進封裝并駕齊驅,內外循環助傳統封裝與先進封裝并駕齊驅,內外循環助力公司發展力公司發展。長電科技是全球排名前三的封測廠商,經過多年的內生外延發展,在技術創新、產能擴張、客戶擴展、運營管理等方面持續發力,不斷提升公司的市場競爭力,鞏固其領先優勢。技術創技術創新方面新方面,公司通過自身研發及并購,已囊括 2.5D/3D
3、 集成、晶圓級封裝及扇出技術、SiP、倒裝、焊線及 MEMS 等封裝技術,先進封裝與傳統封裝并駕齊驅,服務下游客戶不同的需求;產能擴張方面產能擴張方面,公司于中國、新加坡和韓國等地共有 6 個工廠,其中包括星科金朋、長電韓國及長電先進為代表的先進封裝,和以宿遷廠、滁州廠、江陰廠等為代表的傳統封裝,借此,公司的產能布局得以完善;運營運營管理方面管理方面,隨著 2019 年新管理層的加入,對公司組織架構進行改革,對星科金朋落實扁平化管理,取得較好成效,從財務表現看,2019年至 2022 年,公司的資產負債率由 62.37%下降至 37.47%,財務費用率從 3.70%降至 0.37%,經營性現金
4、流由 31.76 億元提升至60.12 億元,財務質地已顯著提高。此外此外,公司注重人才培養,在2022 年實施股權激勵計劃,以調動管理和業務骨干的工作積極性,推動業績目標的完成。周期拐點疊加先進封裝,封測龍頭成長性凸顯周期拐點疊加先進封裝,封測龍頭成長性凸顯。公司在經歷了 2015年至 2019 年的周期影響、產能搬遷和星科晶朋整合等挑戰后,于2019 年迎來新管理層,開始逐步實現盈利。從 2019 年至 2022 年,公司銷售收入呈現顯著增長,從 235.26 億元增長至 337.62 億元,扣除非經常性損益后歸母凈利潤也從-7.93 億元增長至 28.30 億元,表現優異。公司的業績表現
5、一方面受到供需周期的影響,隨著 2020年“缺芯潮”的到來,半導體產業向中國轉移提速,封測行業迎來發展良機,下游景氣度提升使得公司產能利用率上升,傳統封裝工廠的資本開支相對較早,因此較早進入投資回報期,展現了較強的盈利能力。另一方面,公司也受益于先進封裝發展,海外子公司星科金朋收入從 2019 年的 74.60 億元增長至 2022 年的 135.50 億元,凈利潤率水平也從-5.08%提升至 14.02%,韓國長電受益于大客戶需求,收入從 51.71 億元提升至 128.76 億元,展現了較強的成長性。后摩爾時代,先進封裝價值凸顯,封測龍頭憑借客戶后摩爾時代,先進封裝價值凸顯,封測龍頭憑借客
6、戶/技術底蘊,深技術底蘊,深度受益度受益。隨著半導體制程發展已逐步達到物理極限,摩爾定律難以持續,先進封裝成為打破這一瓶頸的重要途徑之一。同時,我國半-0.1625-0.01840.12560.26960.41370.557722/6 22/7 22/8 22/9 22/1022/1122/12 23/1 23/3 23/4 23/5 23/6長電科技滬深300證券研究報告 請務必閱讀正文后免責條款部分 2 導體先進制程發展還需時間,因此先進封裝也將在一定時期內發揮重要作用。根據 YOLE 預測,2019 年至 2025 年間,全球先進封裝的復合增速將達到約 7%,遠高于傳統封裝年復合增速 2
7、%;根據 Frost&Sullivan 預測,2021 年-2025 年中國先進封裝市場的年復合增速將達 30.83%。臺積電、英特爾和三星等國際半導體巨頭分別擁有不同的先進封裝技術布局,臺積電依托 InFO、CoWoS 和 SoIC 等技術構建了3D Fabric平臺;英特爾則主要發展Co-EMIB和Forevos等技術;三星則擁有 Cube 系列封裝技術等。長電科技在先進封裝技術方面布局廣、實力雄厚,在 5G 通信類、高性能計算、消費類、汽車和工業等重要領域擁有行業領先的半導體先進封裝技術(如 SiP、WL-CSP、FC、eWLB、PiP 及 PoP),其 Chiplet 平臺 XDFOI
8、 也已實現穩定產能。公司在先進封裝的技術積累與布局是公司抓住下游新興需求釋放的機遇的堅實基礎,未來或將在先進封裝這一戰略布局上獲益頗豐。盈利預測和投資評級盈利預測和投資評級:我們預計公司 2023 年、2024 年、2025 年營業收入分別為 305.99 億元、379.81 億元、414.31 億元,歸母凈利潤分別為 21.52 億元、33.16 億元、41.34 億元,2023 年 6 月 12 日市值為 580.49 億元,對應 PE 為 26.97x、17.51x、14.04x,看好公司作為龍頭企業在周期復蘇的盈利彈性以及先進封裝的成長性,首次覆蓋,給予“買入”評級。風險提示風險提示:
9、1)消費電子恢復不及預期的風險;2)新興市場發展及下游需求不及預期的風險;3)先進封裝進程不及預期的風險;4)貿易摩擦及海外市場波動的風險;5)市場競爭加劇風險;6)匯率風險;7)測算僅供參考,以實際為準。預測指標預測指標 2022A 2023E 2024E 2025E 營業收入(百萬元)33762 30599 37981 41431 增長率(%)11-9 24 9 歸母凈利潤(百萬元)3231 2152 3316 4134 增長率(%)9-33 54 25 攤薄每股收益(元)1.82 1.21 1.86 2.32 ROE(%)13 8 11 12 P/E 12.66 26.97 17.51
10、14.04 P/B 1.66 2.17 1.93 1.70 P/S 1.21 1.90 1.53 1.40 EV/EBITDA 6.56 8.19 6.55 5.18 資料來源:Wind 資訊、國海證券研究所 BXdUpXqUxUnXhUrNmR7NaO7NoMrRsQpMkPoOoQkPmNqM6MnMqQNZoNpNMYmNqR證券研究報告 請務必閱讀正文后免責條款部分 3 內容目錄內容目錄 1、內生改善提升財務質地,外延并購助力規模擴張.6 1.1、國際封測龍頭,業務布局完善,股權激勵彰顯信心.6 1.1.1、國際龍頭封測企業,布局海內外產能,內生外延發展,.6 1.1.2、股權激勵助力
11、人才培養,股東背景雄厚助力產業協同.8 1.2、并購謀求發展,封測龍頭從“量變”到“質變”.9 1.2.1、星科金朋整合順利,規模及技術優勢凸顯.9 1.2.2、規模持續擴張,資本結構不斷優化,財務表現亮眼.11 1.2.3、先進封裝廠體現較強成長,傳統封裝穩定市場競爭力.13 2、行業:技術百花齊放,先進封裝為成長動能之源.15 2.1、技術:封裝技術協同演進,Chiplet 推動先進封裝發展.15 2.1.1、封裝技術迭代,引領集成電路跨越發展“四面墻”.15 2.1.2、先進封裝集體演進,互為依存與推動.18 2.1.3、Chiplet 與先進封裝相生與共,創新發展.21 2.2、供需:
12、產能轉移與先進封裝協同推動封測行業發展.25 2.2.1、供給側:產業聚集中國,成本效益及規模經濟凸顯.25 2.2.2、需求側:先進封裝引領未來發展新機遇.27 2.3、封測特征:景氣導向,技術領先為競爭高地.29 2.3.1、封測的管道屬性觀察景氣度的重要窗口之一.29 2.3.2、封測的業績變化CAPEX 帶來折舊壓力,產能利用率決定盈利水平.30 2.3.3、封測的行業趨勢傳統封裝穩中向上,先進封裝成長迅猛.31 3、周期拐點浮現,內外循環共同發力,先進封裝與傳統封裝雙輪驅動.33 3.1、低谷已過,高峰再攀,封測龍頭或將受益于內外雙循環驅動.33 3.1.1、行業景氣度拐點已現,封測
13、環節盈利能力有望提升.33 3.1.2、客戶基礎多元化,內外雙循環驅動增長.37 3.2、先進封裝有望突破技術封鎖,國內龍頭企業加速布局.38 3.3、經營行穩致遠,傳統封裝或迎來周期拐點,先進封裝受益 Chiplet 迎來成長良機.40 3.3.1、經營戰略不斷成熟,盈利能力持續釋放.40 3.3.2、先進封裝核心“玩家”,或將受益 Chiplet 需求的顯著增長.42 3.3.3、穩而有變,傳統封裝擁抱周期,先進封裝凸顯成長.45 4、盈利預測與評級.46 5、風險提示.47 證券研究報告 請務必閱讀正文后免責條款部分 4 圖表目錄圖表目錄 圖 1:長電科技發展歷史.6 圖 2:長電科技全
14、球廠區分布圖.7 圖 3:長電科技股權結構圖(截至 2023 年一季度末).8 圖 4:2015 年要約收購交易結構.10 圖 5:2011 年-2015 年星科金朋具體技術及收入占比.10 圖 6:星科金朋收入及凈利率情況.11 圖 7:營業收入及同比增速.11 圖 8:扣非后歸母凈利潤(億元).11 圖 9:長電科技毛利率 v.s.臺股封測企業.12 圖 10:長電科技凈利率 v.s.臺股封測企業.12 圖 11:期間費用率.12 圖 12:CFO&Capex.12 圖 13:有息負債及有息負債率.13 圖 14:年度財務費用及資產負債率.13 圖 15:長電科技各廠區收入占比.14 圖
15、16:長電科技各廠區收入增速.15 圖 17:長電科技各廠區凈利率.15 圖 18:1999-2014 年國際半導體技術發展路線(與 IC 封裝有關項).16 圖 19:封測技術迭代.16 圖 20:集成電路發展過程中的面臨的挑戰.18 圖 21:晶圓級封裝示意圖.19 圖 22:扇入/扇出型封裝對比示意圖.19 圖 23:倒裝(Flip-Chip,FC)結構示意圖.20 圖 24:2.5D/3D 封裝對比示意圖.20 圖 25:SiP 演進示意圖.20 圖 26:異構集成的技術構建.22 圖 27:封裝技術的 IO 密度及 IO 間距.22 圖 28:高端性能封裝主要企業.22 圖 29:國
16、際高端性能封裝技術平臺.24 圖 30:2020 全球封測產能分布圖.25 圖 31:按區域全球封測行業收入分布.26 圖 32:2022 年全球封測行業市占率.26 圖 33:全球封測行業集中度變化情況.26 圖 34:全球封測市場規模及預測.27 圖 35:中國封測市場規模及預測.27 圖 36:全球先進封裝占比及預測.28 圖 37:中國先進封裝占比及預測.28 圖 38:高端性能封裝市場規模及預測(百萬美元).28 圖 39:A 股封測、半導體銷售額季度同比.29 圖 40:中國臺股封測、半導體銷售額當月同比.29 圖 41:半導體產業鏈.30 圖 42:部分 A 股及臺股封測企業資本
17、性支出(億元).30 圖 43:部分 A 股及臺股封測企業折舊與攤銷(億元).31 圖 44:先進制程與先進封裝的技術迭代時間圖.31 證券研究報告 請務必閱讀正文后免責條款部分 5 圖 45:芯片良率及面積的關系.32 圖 46:芯片成本隨工藝節點微縮遞增.32 圖 47:分制程全球晶圓產能(萬片/月).32 圖 48:臺積電分制程收入情況(十億美元).32 圖 49:2020 年底各地區分制程產能占比.33 圖 50:全球半導體月度銷售額及同比、環比增速(億美元).34 圖 51:2020-2030 年,按下游需求分半導體銷售額(十億美元).34 圖 52:按廠商季度手機出貨量(百萬臺).
18、35 圖 53:自動駕駛汽車數量預測(百萬輛).35 圖 54:全球云 IT 基礎設施支出預測(十億美元).35 圖 55:集成電路庫存水平及晶圓廠產能利用率(十億美元).36 圖 56:中芯國際二季度業績指引.36 圖 57:2021 年、2022 年長電下游領域收入占比.36 圖 58:A 股封測廠毛利率對比.37 圖 59:A 股封測廠凈利率對比.37 圖 60:海外、國內客戶收入占比.37 圖 61:前五大客戶收入占比.37 圖 62:凈利潤按地區分類(億元).38 圖 63:凈利率按地區分類(%).38 圖 64:先進制程各節點晶圓制造企業情況.39 圖 65:UCIe 協議及規范的
19、封裝技術.39 圖 66:有息負債率同業對比(%).41 圖 67:財務費用率同業對比(%).41 圖 68:扣非后歸母凈利潤同業對比(億元).41 圖 69:ROE 同業對比(%).41 圖 70:Capex/營業收入比率同業對比(%).42 圖 71:CFO 同業對比(億元).42 圖 72:長電科技封裝技術布局.42 圖 73:eWLB 封裝技術.44 圖 74:長電科技 Chiplet 平臺及解決方案XDFOITM.45 圖 75:先進封裝及傳統封裝銷量占比.45 圖 76:先進封裝及其他銷量同比變化.45 圖 77:各子公司收入增速及半導體銷售額增速對比.46 表 1:公司管理團隊介
20、紹(截至 2022 年末).7 表 2:長電科技股權激勵情況(億元).9 表 3:長電科技子公司及其產品介紹(截至 2022 年).13 表 4:先進封裝中常見的互聯技術.18 表 5:封裝技術的在不同硬件設備的應用方向.21 表 6:中國龍頭封測企業技術布局.40 表 7:盈利預測(億元).47 證券研究報告 請務必閱讀正文后免責條款部分 6 1、內生改善提升財務質地,外延并購助力規模擴張內生改善提升財務質地,外延并購助力規模擴張 1.1、國際封測龍頭國際封測龍頭,業務布局完善,股權激勵彰顯信,業務布局完善,股權激勵彰顯信心心 1.1.1、國際龍頭國際龍頭封測企業封測企業,布局海內外產能,內
21、生外延發展,布局海內外產能,內生外延發展,底蘊深厚,內生外延發展成國際領先封測企業。底蘊深厚,內生外延發展成國際領先封測企業。公司全名為江蘇長電科技股份有限公司(以下稱“公司”或“長電科技”)。公司發展可分為三個階段:第一階段始于 1972 年,公司前身江陰晶體管廠成立,1989 年自動化生產線投產,2000年公司改制為長電科技股份有限公司;第二階段始于 2003 年,公司在上海證券交易所上市,并加快異地生產基地建設和業務擴張。公司開發了多條 IC 生產線,WL-CSP、Bumping 等高端封裝產品實現高速增長。2015 年公司收購星科晶朋,進一步擴大規模。第三階段始于 2019 年,公司實
22、現了新管理層的加入和星科晶朋的業務和資源整合落地,經營質量大幅改善,銷售規模迅速增長。長電科技躍居全球第三大IC封裝和測試服務商,產品、服務和技術已覆蓋主流IC系統應用。圖圖 1:長電科技發展歷史:長電科技發展歷史 資料來源:公司官網,國海證券研究所 全球布局,服務海內外客戶全球布局,服務海內外客戶。長電科技在中國、韓國、新加坡合計共有六大集成電路成品生產基地(江陰濱江廠區、江陰城東廠區、滁州廠區、宿遷廠區,新加坡義順廠區、韓國仁川廠區)和兩大研發中心(高密度集成電路國家工程實驗室和仁川韓國研發中心),在歐美、亞太地區設有營銷辦事處,可與全球客戶進行緊密的技術合作并提供高效的產業鏈支持,擁有廣
23、泛的地區覆蓋,因此公司在全球擁有穩定的多元化優質客戶群。1972江陰晶體管廠創立1989集成電路自動化生產線投產2000改制為長電科技股份有限公司2003上證交易所上市2003長電先進公司成立2011長電科技(宿遷)公司成立2012長電科技(滁州)公司成立2015長電科技收購星科金朋2019完成了董事會、監事會的換屆選舉以及管理層的選聘工作2021成立設計服務事業中心及汽車電子事業中心2022長電微電子晶圓級微系統集成高端制造項目動工發展初期規模拓展行業領先證券研究報告 請務必閱讀正文后免責條款部分 7 圖圖 2:長電科技全球廠區分布圖:長電科技全球廠區分布圖 資料來源:公司官網 公司擁有具備
24、國際化視野、先進經營管理理念及卓越運營能力的領導團隊,如公司董事長高永崗擁有 30 多年的企業管理經驗;首席技術官李春興有 20 年的半導體工作經驗;CEO 鄭力在集成電路行業有近 30 年的工作經驗。公司高級管理層在專業性、學歷背景與豐富經驗方面都具有較強實力。表表 1:公司管理團隊介紹:公司管理團隊介紹(截至(截至 2022 年末)年末)姓名 職務 主要經歷 高永崗 董事長 現任公司董事長,中芯國際集成電路制造有限公司董事長兼執行董事,上海奕瑞光電子科技股份有限公司獨立董事,并兼任中芯國際集成電路制造有限公司若干子公司及關聯公司之董事、董事長;擁有逾 30 年企業管理經驗,曾擔任過多個企業
25、或機構的財務或企業負責人;曾任電信科學技術研究院總會計師、大唐電信集團財務有限公司董事長。高博士現任中國會計學會常務理事,上海證券交易所科創板上市委員會委員,亦是香港獨立非執行董事協會創會理事,中國電子信息行業聯合會副會長等。LEE CHOON HEUNG(李春興)首席技術長 現任公司首席技術長,美國凱斯西儲大學理論固體物理博士,在半導體領域擁有20多年的工作經驗,曾任Amkor Technology首席技術官、全球制造業務執行副總裁和Amkor韓國總裁。曾撰寫各種封裝技術相關課題的研究論文,擁有韓國專利38項,美國專利21項。鄭 力 董事/首席執行長(CEO)現任公司首席執行長,深耕集成電路
26、產業領域,在美國、日本、歐洲和中國的集成電路產業擁有近 30 年的工作經驗。曾擔任恩智浦全球高級副總裁兼大中華區總裁,瑞薩電子大中華區CEO等高級管理職務。目前同時擔任中國半導體行業協會副理事長、中國集成電路創新聯盟副理事長、中國半導體行業協會封測分會輪值理事長、上海市集成電路行業協會副會長、中關村融信金融信息化產業聯盟副理事長等職務。彭 進 董事 現任公司董事、中芯國際全球銷售資深副總裁,上海市集成電路行業協會副會長。國務院特殊津貼獲得者,于 2015 年獲得中國人社部頒發的國家百千萬人才工程“有突出貢獻中青年專家”。歷任無錫華晶MOS 事業部廠長,華晶上華(CSMC)公司廠長、資深總監,中
27、芯國際大中華區總經理,全球銷售副總裁等職務。張春生 董事 現任公司董事、國家集成電路產業投資基金股份有限公司副總裁、國家集成電路產業投資基金二期股份有限公司副總裁。歷任中遠對外勞務合作公司研發部經理,中遠人力資源開發公司辦公室主任,信息產業部經濟體制改革與經濟運行司經濟調節處正處級干部、處長,工業和信息化部財務司綜合處處長、財經政策處處長,中國電子信息產業發展研究院副院長。于 江 董事 現任公司董事、華芯投資管理有限責任公司總監。歷任華芯投資管理有限責任公司黨總支委員,國開科技創業投資有限責任公司總裁,國開科技創業投資有限責任公司籌備組成員,國開金融有限責任公司綜合業務部歷任副總經理、總經理、
28、黨委辦公室主任、戰略發展部副總經理、投資北京國際有限公司項目管理部部門經理。羅宏偉 董事/執行副總裁 現任公司董事、執行副總裁,并兼任本公司若干附屬公司之董事。歷任江陰晶體管廠設備科長、江蘇長電科技股份有限公司IC廠廠長、生產部部長、總經理助理、副總經理、執行總經理、執行副總裁兼本部總經理,至今任長電科技總部執行副總裁。深耕半導體集成電路封測產業逾三十年,長期領導長電科技采購、銷售、生產制造、運營等諸多部門,積累了中國半導體封測產業豐富管理經驗。徐 陽 首席財務長 現任公司首席財務長,曾在思科系統公司、南非報業集團、戴爾計算機公司等跨國高科技企業,擔任大中華區/全球業務總部 CFO 以及新興業
29、務負責人等管理職位,積累了豐富的業務洞察、戰略財務規劃和管理經驗。鄺東山 法務高級副總裁 現任公司高級副總裁,管理法律、合規和知識產權事務,兼任附屬公司董事??的螤柎髮W法學博士、哥倫比亞大學經濟學學士,紐約律師資格,擁有20多年跨國公司法律服務經驗,在多國法律、合規、知識產權等方面有豐富的實踐。曾于ASAT控股、展訊通信等多家半導體行業上市公司擔任總法律顧問等管理職務。林桂鳳 監事會主席 現任公司監事會主席、產業基金監事會主席、產業基金二期監事會主席、芯鑫融資租賃有限責任公司監事會主席;歷任財政部預算司副司長,財政部預算司巡視員,財政部社會保障司司長。吳宏鯤 董事會秘書 現任公司董事會秘書,歷
30、任展訊通信有限公司商務經理,中芯國際集成電路制造有限公司董事長助理、投資者關系部經理、高級經理、助理總監。證券研究報告 請務必閱讀正文后免責條款部分 8 在半導體領域有近二十年的工作經驗,對國內外資本市場與投資者關系管理有深刻的了解和豐富的經驗。資料來源:公司公告,公司官網,中商產業研究院,國海證券研究所 1.1.2、股權激勵助力人才培養,股東背景雄厚助力產業協同股權激勵助力人才培養,股東背景雄厚助力產業協同 大股東產業基金、中芯國際深耕產業,有望助力公司實現業務協同大股東產業基金、中芯國際深耕產業,有望助力公司實現業務協同。截至 2023年一季度末,公司第一、第二大股東為國家集成電路產業投資
31、基金股份有限公司和芯電半導體(上海)有限公司,分別直接持有公司 13.31%、12.86%的股權。兩位皆于 2017 年作為戰略投資者參與公司定向增發成為公司股東。國家集成電路產業投資基金股份有限公司為國家為促進集成電路產業發展設立的戰略型投資機構,為長期投資者,其所投資的多家公司在業務上可與長電實現協同效應;芯電半導體(上海)有限公司為中芯國際全資控股公司,中芯國際作為全球領先的晶圓代工商,與長電科技產業鏈上下游關系密切,且對于公司技術培育具有重要意義。主要全資子公司:STATS CHIPPAC PTE.LTD.為星科晶朋,主營半導體封裝設計、凸焊、針測、封裝、測試和布線解決方案提供商;JC
32、ET STATS CHIPPAC KOREA LIMITED(長電韓國)主營高端封裝測試產品,主要進行高階 SiP 產品封裝測試;江陰長電先進封裝有限公司主營半導體芯片凸塊及封裝測試產品;長電科技(宿遷)有限公司和長電科技(滁州)有限公司主營研制、開發、生產、銷售半導體、電子元件、專用電子電氣裝置。圖圖 3:長電科:長電科技技股權結構圖股權結構圖(截至(截至 2023 年一季度末)年一季度末)資料來源:公司公告,國海證券研究所 設定設定 2022 年至年至 2024 年股權激勵計劃。年股權激勵計劃。公司于 2022 年設定股權激勵計劃,授予中層管理人員及核心技術(業務)骨干 1382 人合計
33、3113 萬股期權,行權價格為 19.71 元/股。公司以 2020-2021 年兩年營業收入均值及扣非凈利潤均值為業績基數,考核累計平均營業收入增速及累計平均扣非凈利潤增速,并根據兩個指標完成情況確定行權比例。2022-2024 年營業收入目標值(億元)分別為340.09、354.90、379.96,2022-2024 年營業收入行權觸發值(億元)分別為證券研究報告 請務必閱讀正文后免責條款部分 9 328.98、340.94、360.88;2022-2024 年扣非凈利潤目標值(億元)分別為 28.02、30.08、33.35,2022-2024年扣非凈利潤行權觸發值(億元)分別為25.7
34、9、27.50、30.08。公司發行股權激勵,有利于激發管理與業務骨干的工作積極性,推動關鍵業績指標的完成,同時也將激勵人才群體的工作熱情,有利于公司核心技術與業務體系的穩定。表表 2:長電科技股權激勵情況長電科技股權激勵情況(億元)(億元)考核指標 基準年度(2020-2021 年均值)業績目標 達成情況 第一年(2022 年)第二年(2023 年)第三年(2024 年)營業收入(億元)284.83 目標值 340.09 354.90 379.96 2022 年營業收入337.62 億元達到目標值 95.5%;2022 年扣非凈利潤為 28.30 億元,達到目標值 100%觸發值 328.9
35、8 340.94 360.88 扣非凈利(億元)17.19 目標值 28.02 30.08 33.35 觸發值 25.79 27.50 30.08 資料來源:公司公告,國海證券研究所 注:根據累計平均營業收入增長率(A)及累計平均扣非凈利潤增長率(B)完成情況分別對應的系數(X)、(Y)核算各年度公司層面行權比例,累計平均營業收入增長率(A)若達到目標值(Am),指標對應系數X=100%,若達到觸發值(An)并小于目標值(Am),指標對應系數X=A/Am;累計平均扣非凈利潤增長率(B)若達到目標值(Bm),指標對應系數Y=100%,若達到觸發值(Bn)并小于目標值(Bm),指標對應系數Y=B/
36、Bm,公司層面行權比例為(X*50%+Y*50%)*計劃年度釋放%。1.2、并購謀求發展并購謀求發展,封測龍頭從“量變”到“質變”封測龍頭從“量變”到“質變”1.2.1、星科金朋整合順利星科金朋整合順利,規模及技術優勢凸顯規模及技術優勢凸顯 公司于公司于 2015 年收購星科金朋。年收購星科金朋。2015 年,長電科技、產業基金和芯電半導體合計以 5.1 億美元投資設立長電新科;長電新科和產業基金分別以 5.1 億美元、0.1億美元再投資設立長電新朋公司,同時,產業基金向新朋提供 1.4 億美元股東貸款;長電新朋利用自籌資金 6.6 億美元向 JCET-SC(Singapore)Pte.Ltd
37、.出資,剩余款項由銀行貸款補足以收購星科金朋。2017 年發行定增收購星科晶朋剩余股權,星科晶朋成為長電全資子公司年發行定增收購星科晶朋剩余股權,星科晶朋成為長電全資子公司。2017年,公司以發行股份方式購買產業基金持有的長電新科 29.41%股權、長電新朋 22.73%股權以及芯電半導體持有的長電新科 19.61%股權,星科金朋從而成為公司間接持股 100%的子公司。證券研究報告 請務必閱讀正文后免責條款部分 10 圖圖 4:2015 年要約收購交易結構年要約收購交易結構 資料來源:公司公告,國海證券研究所 星科金朋為國際技術領先的封測公司,擁有較為優質的客戶資源。星科金朋為國際技術領先的封
38、測公司,擁有較為優質的客戶資源。在先進封裝領域,公司具有 FC(倒裝)、eWLB(嵌入式晶圓級球柵陣列)、TSV(硅通孔封裝技術)、3D 封裝、SiP(系統級封裝)、PiP(堆疊組裝)、PoP(堆疊封裝)等在內的行業領先的集成電路封裝技術,2015 年,星科晶朋的先進封裝、焊線封裝、測試的收入占比分別為 49%、28%、23%,先進封裝的營收占比較 2011年 35%有較大提升,為公司收入占比最大領域,也是最大的競爭優勢所在??蛻舴矫?,公司主要客戶為國際、國內知名的半導體企業,包括博通、高通、展訊、SanDisk、Marvell 等,且公司與客戶之間的合作較為穩定,整體客戶資源優質。圖圖 5:
39、2011 年年-2015 年星科金朋具體技術及收入占比年星科金朋具體技術及收入占比 業務板塊業務板塊 具體技術具體技術 營收比例營收比例(%)(%)20112011 20122012 20132013 20142014 20152015 先進封裝先進封裝 主要包括晶圓凸塊、倒裝芯片、扇出型晶圓級封裝,內嵌式晶圓級凸點封裝(eWLB)等先進集成電路封裝技術 35%45%47%47%49%焊線封裝焊線封裝 主要包括引線封裝、層壓封裝等焊線集成電路封裝技術 45%35%31%32%28%測試測試 主要包括晶圓針探、最終測試等服務 20%20%22%21%23%資料來源:公司公告,國海證券研究所 星科
40、金朋經管理層優化組織架構后,實現質的提升星科金朋經管理層優化組織架構后,實現質的提升。在 2015 年至 2018 年期間,星科金朋的上海廠因環保問題而遷入江陰,搬遷過程對產能造成影響,同時,由于個別大客戶訂單的大幅下降以及周期性影響的疊加,整體收入規模保持平穩。然而,經過 2019 年公司管理層的努力,撤除原星科金朋總部并將必要職能部門并入工廠或集團總部,精簡了組織架構,從而大大提高了生產效率并縮小了虧損。到 2020 年,星科金朋實現了 0.23 億美元的盈利,全面扭虧為盈,優化管理初見成效。2021 年、2022 年,星科金朋快速成長,實現了收入和凈利率的雙升,經營實力得到全面釋放。通過
41、這次收購,公司一舉獲得了星科金朋的研發技術、客戶資源和市場份額,增強了自身在半導體封測領域的競爭力。證券研究報告 請務必閱讀正文后免責條款部分 11 圖圖 6:星科金朋收入及凈利率情況星科金朋收入及凈利率情況 資料來源:Wind,公司公告,國海證券研究所 注:收入及利潤若只披露美元口徑收入,則用當年美元兌人民幣匯率平均值換算成人民幣 1.2.2、規模持續擴張規模持續擴張,資本結構不斷優化,財務表現亮眼,資本結構不斷優化,財務表現亮眼 內生外延內生外延,雙重驅動下實現高速成長。雙重驅動下實現高速成長。2013 年至 2022 年,公司收入從 51.02億元增長至 337.62 億元,年復合增速為
42、 23.36%;扣非歸母凈利潤從-0.005 億元增長至 28.30 億元。公司營收在 2014 年至 2017 年逐年提高,主要得益于收購星科金朋,其在全球半導體封測行業市場份額提升。2018 年至 2019 年,公司營收增速受中美貿易摩擦、4G 手機增長乏力等因素影響減緩。但隨著新管理層的加入,在精細化運作下,星科晶朋的業務順利整合,疊加半導體行業周期上行因素,公司 2020 年開始轉虧為盈,且從 2020 年開始業績步入快速增長通道,扣非歸母凈利潤于 2022 年達到 28.30 億元歷史新高。圖圖 7:營業收入營業收入及同比增速及同比增速 圖圖 8:扣非后歸母凈利潤:扣非后歸母凈利潤(
43、億元)(億元)資料來源:Wind,國海證券研究所 資料來源:wind,國海證券研究所 2015 年至 2018 年期間,受全球經濟放緩和中美貿易摩擦的影響,半導體行業-9.72%-8.07%-7.68%-23.22%-5.08%1.71%8.32%14.02%-30%-25%-20%-15%-10%-5%0%5%10%15%20%-40-2002040608010012014016020152016201720182019202020212022收入(億元)凈利潤(億元)凈利率(%)-50%0%50%100%080160240320400營業收入(億元)增速(%)-20-15-10-50510
44、1520253035扣非后歸屬母公司股東的凈利潤證券研究報告 請務必閱讀正文后免責條款部分 12 較為疲弱,中國臺灣封測企業起步較早,具有先發優勢以及規模優勢,其毛利率水平表現整體優于公司,但隨著 2019 年公司管理層對星科金朋的執行扁平化管理,新建集團總部的供應鏈、精益生產、應用技術服務等功能,減少組織層次重疊,運營效率和成本管控上有較大提高,再疊加半導體行業復蘇,公司的毛利率和凈利率逐漸趕超中國臺灣同行。圖圖 9:長電科技:長電科技毛利率毛利率 v.s.臺股封測企業臺股封測企業 圖圖 10:長電科技:長電科技凈利率凈利率 v.s.臺股封測企業臺股封測企業 資料來源:Wind,國海證券研究
45、所 資料來源:Wind,國海證券研究所 公司期間費用率持續下降,成本控制效果顯著。公司期間費用率持續下降,成本控制效果顯著。2019 年至 2022 年期間,隨著收入規??焖僭鲩L,公司的銷售費用率從 1.13%降至 0.55%、管理費用率從 8.55%降至 6.56%、財務費用率從 3.70%降至 0.37%。研發費用率在 4%上下波動,公司對研發始終保持重視,對銷售、管理、財務費用等進行精細化管理,有效控制了期間費用成本。經營凈現金流超過資本開支,財務質地大幅改善經營凈現金流超過資本開支,財務質地大幅改善。2013 年至 2018 年期間,公司的經營性現金流凈流量低于公司整體資本開支,意味著
46、公司需要通過借款或其他方式籌集資金來支持運營和擴張,存在一定的資金壓力。但在 2019 年至 2022年期間,隨著公司財務質地的較大改善和盈利能力的提升,長電科技的經營凈現金流開始超過資本開支,公司現金流充?!,F金流的改善有利于公司更有能力投資于研發和生產等方面,從而提高公司的競爭力和可持續發展能力。圖圖 11:期間費用率期間費用率 圖圖 12:CFO&Capex 資料來源:Wind,國海證券研究所 資料來源:Wind,國海證券研究所 20%21%18%12%12%11%11%15%18%17%5%10%15%20%25%30%2013 2014 2015 2016 2017 2018 201
47、9 2020 2021 2022長電科技日月光投控(去除環旭電子)力成1%3%-1%-2%0%-4%0%5%10%10%-10%-5%0%5%10%15%20%2013 2014 2015 2016 2017 2018 2019 2020 2021 2022長電科技日月光投控(去除環旭電子)力成0%2%4%6%8%10%12%14%2013 2014 2015 2016 2017 2018 2019 2020 2021 2022銷售費用率(%)管理費用率(%)研發費用率(%)財務費用率(%)0.0010.0020.0030.0040.0050.0060.0070.0080.002013 201
48、4 2015 2016 2017 2018 2019 2020 2021 2022CFO(億元)Capex(億元)證券研究報告 請務必閱讀正文后免責條款部分 13 負債率穩步降低,負債率穩步降低,財務實力財務實力獲得釋放獲得釋放。在 2014 年至 2016 年期間,公司因收購星科金朋而需要籌集資金,因此發行了債券借款,有息負債從 34.14 億元上升至165.86 億元,導致財務費用較高。然而,自 2019 年起,公司的有息負債及財務費用開始逐步下降,截至 2022 年底,公司資產負債率為 37.47%,有息負債率為 17.74%,資本結構得到了優化,財務成本得以減少。此外,公司經營性凈現金
49、流充足,為有息負債的減少提供了資金基礎,目前公司資產負債端已處于比較健康的水平。圖圖 13:有息負債及有息負債率有息負債及有息負債率 圖圖 14:年度財務費用及:年度財務費用及資產負債率資產負債率 資料來源:Wind,國海證券研究所 資料來源:Wind,國海證券研究所 1.2.3、先進封裝先進封裝廠廠體現體現較強較強成長,成長,傳統封裝穩定市場競爭力傳統封裝穩定市場競爭力 先進、傳統先進、傳統封裝技術齊備封裝技術齊備,產能布局國際化。產能布局國際化。公司主要產能分布在江蘇、韓國和新加坡三地,其中星科金朋在上述區域都有生產基地。區分主要產品,星科金朋、長電韓國和江陰長電先進廠區擁有相對先進的封裝
50、技術,包括倒裝基板、扇出基板、凸點封裝和芯片級封裝等;滁州、宿遷和江陰老廠區主要生產 SoP、QFN 和 BGA 等相對傳統封裝產品。表表 3:長電科技子公司及其產品介紹:長電科技子公司及其產品介紹(截至(截至 2022 年)年)業務板塊業務板塊 廠區廠區 運營主體運營主體 主要產品主要產品 星科金朋星科金朋 韓國廠 STATS CHIPPAC PTE.LTD.FCCSP、POP、SiP、eWLB 扇出型晶圓級封裝、FCBGA/FCCSP 等倒裝封裝、焊線封裝 新加坡廠 江陰廠 長電韓國長電韓國 韓國廠 JSCK SiP,芯片堆疊 PoP、倒裝及測試 其余廠區其余廠區 江陰長電先進廠區 江陰長
51、電先進封裝有限公司 WLCSP、凸點、ECP 等 滁州廠區 長電科技(滁州)有限公司 小功率器件引線框封裝、分立器件及測試 宿遷廠區 長電科技(宿遷)有限公司 大功率器件引線框封裝、集成電路封裝、倒裝及測試 江陰廠區 本部、基板封裝事業部 QFN、BGA 等 資料來源:公司公告,公司官網,國海證券研究所 先進封裝先進封裝競爭力不斷提升競爭力不斷提升。2022 年,公司的三大先進封裝技術子公司星科金朋、10%20%30%40%50%60%040801201602002013 2014 2015 2016 2017 2018 2019 2020 2021 2022有息負債(億元)有息負債率(%)3
52、0%35%40%45%50%55%60%65%70%75%80%0246810122013 2014 2015 2016 2017 2018 2019 2020 2021 2022財務費用(億元)資產負債率(%)證券研究報告 請務必閱讀正文后免責條款部分 14 長電韓國和長電先進,收入占比達到 83.25%,而其他廠區的收入僅占 16.75%。隨著這三大子公司的產能和競爭力的不斷提升,公司先進封裝產品的收入貢獻不斷提高。星科金朋在倒裝和 eWLB 等高端封裝領域具有優勢,長電韓國為海外大客戶提供 SiP 產品,長電先進則在扇出型、凸點工藝方面具有競爭力。相比之下,其他廠區則相對較為成熟穩定,產
53、品具有成本低的特點,因此其價格競爭力較強。圖圖 15:長電科技各廠區收入占比長電科技各廠區收入占比 資料來源:Wind,公司公告,國海證券研究所 星科金朋體現出較強成本性及抗周期能力星科金朋體現出較強成本性及抗周期能力,傳統封裝廠區具備較強成本優勢傳統封裝廠區具備較強成本優勢。在2016年至2019年期間,星科金朋經歷了個別客戶訂單下降、產區搬遷等影響,但由于其具有先進封裝技術和國際客戶資源,隨著管理層對業務整合進展和技術升級步伐的加快,2020 至 2021 年實現了收入和利潤的穩步增長,展現出較強的盈利能力。同時,先進封裝產品具有較高的技術門檻和客戶黏性,這也使得星科金朋在業績表現方面呈現
54、出抗周期性。長電韓國的收入波動較大,主要因其客戶結構較為單一,因此受到客戶訂單波動的影響較大。相比之下,宿遷、滁州以及長電先進等產區的凈利率在 2017 年后保持為正,隨著行業周期性波動而變化,在下游需求較好時,產能利用率較高,盈利水平較好,這些產區相對較為成熟穩定,具有生產優勢和成本優勢,因此能夠在市場中保持競爭力。同時,隨著公司先進封裝技術的不斷升級和優化,這些產區的產能和生產能力也得以不斷提升,為公司的未來發展奠定了堅實的基礎??傮w而言,公司不斷優化業務結構和技術水平,以應對市場的變化和挑戰,從而提高公司的盈利能力和可持續發展能力。0%10%20%30%40%50%60%70%80%90
55、%100%2016201720182019202020212022星科金朋長電韓國滁州廠宿遷廠長電先進江陰廠證券研究報告 請務必閱讀正文后免責條款部分 15 圖圖 16:長電科技各廠區收入增速:長電科技各廠區收入增速 圖圖 17:長電科:長電科技各廠區凈利率技各廠區凈利率 資料來源:Wind,公司公告,國海證券研究所 資料來源:Wind,公司公告,國海證券研究所 綜上,隨著 HPC、AIGC、5G 等對高端封裝需求的增加,公司在先進封裝技術路線的布局和投入顯現出較好成效,隨著新能源汽車等行業對自動駕駛芯片和高性能計算芯片需求的持續釋放,先進封裝領域的競爭將愈發激烈,公司在這一領域已構建的技術基
56、礎,可能成為其維持競爭優勢的重要條件。盡管公司的先進封裝和傳統封裝產品特點和發展階段上存在差異,但兩者在長電科技的產能布局中發揮著互補的作用。先進封裝聯動高端客戶,搶占先進封裝芯片高地,而其他廠區則可發揮成本控制與價格優勢,共同應對行業變化,為長電科技的長期穩定發展奠定了基礎。2、行業行業:技術百花齊放,先進封裝為成長動能之源:技術百花齊放,先進封裝為成長動能之源 2.1、技術:封裝技術協同演進,技術:封裝技術協同演進,Chiplet 推動先進封裝推動先進封裝發展發展 2.1.1、封裝技術封裝技術迭代,迭代,引領集成電路跨越發展“引領集成電路跨越發展“四面墻四面墻”封裝是芯片與封裝是芯片與 P
57、CB 之間信息傳遞的橋梁之間信息傳遞的橋梁。芯片加工完成后,芯片在空氣中與各種雜質接觸從而對芯片上的電路產生腐蝕,進而使芯片的電氣性能下降,甚至損壞,而經過封裝處理后的裸芯片便于裝配及運輸,因此封裝具有把芯片的信號引出到 PCB、散熱及保護芯片等作用。從應用層面上來說,封裝是芯片與 PCB 之間信息傳遞的橋梁。芯片的集成化、高速化芯片的集成化、高速化驅動驅動封裝封裝技術發展,帶動技術發展,帶動 I/O 數量高密度化數量高密度化。隨著集成電路的快速發展,芯片尺寸越來越大,集成度和功能密度越來越高,工作頻率越來越快,封裝作為芯片與 PCB 之間信息傳遞的橋梁,需要通過縮小節距來減少信號延遲,通過增
58、加 I/O(接入/接出)數量和引腳數量來增加信號帶寬,即 I/O 的高密度化。同時,封裝也要適應便攜式消費電子設備所帶來的小型化趨勢,IC-150%-100%-50%0%50%100%2016201720182019202020212022星科金朋長電韓國滁州廠宿遷廠長電先進江陰廠-30%-25%-20%-15%-10%-5%0%5%10%15%20%25%2016201720182019202020212022星科金朋長電韓國滁州廠宿遷廠長電先進江陰廠證券研究報告 請務必閱讀正文后免責條款部分 16 功耗增大帶來的高發熱環境,以及可靠性、環保等要求。圖圖 18:1999-2014 年國際半導
59、體技術發展路線(與年國際半導體技術發展路線(與 IC 封裝有關項)封裝有關項)資料來源:集成電路芯片封裝技術李可為 封裝技術從傳統走向先進。封裝技術從傳統走向先進。半導體封測技術發展可分為 5 個階段:1)第一階段)第一階段:20 世紀 70 年代前,封裝形式為直插型封裝,代表技術為雙列直插封裝(DIP);2)第二階段)第二階段:出現于 20 世紀 80 年代以后,主要以表面貼裝技術的衍生和針柵列陣封裝為主;3)第三階段)第三階段:進入 20 世紀 90 年代后,開始出現球柵陣列封裝(BGA)、芯片級封裝(CSP)、倒裝封裝(FC)等;4)第四階段)第四階段:20 世紀末開始,封裝技術從二維封
60、裝向三維封裝發展,出現了系統級封裝(SiP)、凸點制作(Bumping)、多芯片組封裝(MCM)等技術。5)第五階段)第五階段:21 世紀前十年開始出現硅通孔(TSV)、扇出型集成電路封裝(Fan-Out)、三維立體封裝(3D)等。圖圖 19:封測技術迭代:封測技術迭代 階段階段 起始時間起始時間 封裝形式封裝形式 具體典型的封裝形式具體典型的封裝形式 第一階段 20世紀70年代以前 通孔插裝型封裝 晶體管封裝(TO)、陶瓷雙列直插封裝(CDIP)、塑料雙列直插封裝(PDIP)、單列直插式封裝(SIP)等 第二階段 20世紀80年代以后 表面貼裝型封裝 塑料有引線片式載體封裝(PLCC)、塑料
61、四邊引線扁平封裝(PQFP)、小外形表面封裝(SOP)、無引線四邊扁平封裝(PQFN)、雙邊扁平無引腳封裝(DFN)等 第三階段 20世紀90年代以后 球柵陣列封裝(BGA)塑料焊球陣列封裝(PBGA)、陶瓷焊球陣列封裝(CBGA)、帶散熱器焊球陣列封裝(EBGA)、倒裝芯片焊球陣列封裝(FC-BGA)晶圓級封裝(WLP)芯片級封裝(CSP)引線框架型 CSP 封裝、柔性插入板 CSP 封裝、剛性插入板 CSP 封裝、圓片級 CSP 封裝 證券研究報告 請務必閱讀正文后免責條款部分 17 第四階段 20 世紀末開始 多芯片組封裝(MCM)多層陶瓷基板(MCM-C)、多層薄膜基板(MCM-D)、
62、多層印制板(MCM-L)系統級封裝(SiP)、芯片上制作凸點(Bumping)第五階段 21 世紀前十年開始 晶圓級系統封裝-硅通孔(TSV)、扇出型集成電路封裝(Fan-Out)、三維立體封裝(3D)等 資料來源:艾森股份招股說明書,國海證券研究所 先進封裝為集成電路發展的破局之選先進封裝為集成電路發展的破局之選。封裝技術的發展史是芯片性能不斷提高、系統不斷小型化的歷史。但隨著集成電路的發展,根據先進封裝技術的發展與機遇(曹立強),“存儲墻”、“面積墻”、“功耗墻”、“功能墻”成為制約發展的四大瓶頸:1)存儲墻存儲墻:1996 年至 2020 年,處理器的計算算力每兩年增長 3.1 倍,而存
63、儲帶寬每兩年僅增長 1.4 倍,速遞遠落后于處理器,業界提出近存計算這一基于先進封裝的技術途徑,通過超短互聯技術實現存儲器和處理器之間的數據近距離搬運。2)面積墻:)面積墻:當芯片制程相同時,通過增大芯片面積可以集成更多的晶體管數量,從而提升芯片的性能,然而,芯片尺寸受限于光刻機的光罩極限;雖可用連接技術推出大型芯片,突破光照面積,但成本極高,因此通過先進封裝技術集成多顆芯片是突破“面積墻”的低成本主流方案。3)功耗墻:)功耗墻:芯片算力越強,功率越高,2024 年單個 GPU 的熱設計功耗將突破千瓦級,由多個 GPU 芯片和高帶寬存儲器(High Bandwidth Memory,HBM)陣
64、列組成的系統,熱設計功耗可能突破萬瓦級,因此迫切需要采用更先進的冷卻技術。4)功能墻:)功能墻:在一個單一的芯片或襯底上可以集成的功能是有限的,可通過多芯片異質集成技術,將傳感、存儲、計算、通信等不同功能的元器件集成在一起。證券研究報告 請務必閱讀正文后免責條款部分 18 圖圖 20:集成電路發展過程中的面臨集成電路發展過程中的面臨的的挑戰挑戰 資料來源:先進封裝技術的發展與機遇曹立強 2.1.2、先進封裝先進封裝集體演進集體演進,互為依存與推動互為依存與推動 先進封裝涵蓋多種技術,共同演繹先進封裝涵蓋多種技術,共同演繹。目前,帶有倒裝芯片(FC)結構的封裝、晶圓級封裝(WLP)、扇出型封裝(
65、Fan-out)、系統級封裝(SiP)、2.5D 封裝、3D 封裝等均被認為屬于先進封裝范疇,這些先進封裝大量使用 RDL、Bumping、TSV 等基礎工藝技術,不同技術之前也存在發展階段的遞進,可根據需求選擇不同的解決方案,同時各項技術之間也具有融合的可能?;ヂ摷夹g為半導體封裝提供了電性連接的實現手段,水平方向主要有 RDL,垂直方向上主要有 TSV、凸點/微凸點、混合鍵合等互聯技術,技術之間存在相結合的可能,適配芯片設計的復雜性。表表 4:先進封裝中常見的互聯技術:先進封裝中常見的互聯技術 互聯技術 互聯方向 簡介 圖示 RDL 再布線層 水平 在晶圓或芯片的表面構建金屬微線路層,用于對
66、芯片的原始管腳布局進行重新布線和導電,從而改變芯片的管腳分布和間距,或將管腳從晶圓中心引出至外圍 TSV 硅穿孔 垂直 利用短而垂直的電氣接口或通過硅片的“通孔”,建立從裸片有緣面到背 面的電氣連接 證券研究報告 請務必閱讀正文后免責條款部分 19 Bumping/bump 凸點/微凸點 垂直 提供電連接的鍵合技術,通過在晶圓或芯片表面形成半球形的焊點(Bump),然后與封裝基板或互聯襯底對應的焊盤(Land)實現電性連接和機械鍵合 Hybrid Bonding 混合鍵合 垂直 混合鍵合是通過銅銅金屬鍵合和二氧化硅二氧化硅介質層鍵合實現無凸點永久鍵合的芯片三維堆疊高密度互連技術 資料來源:芯片
67、三維互連技術及異質集成研究進展鐘毅,艾森股份招股說明書,3DIncities,國海證券研究所 晶圓級封裝(晶圓級封裝(WLP):晶圓級封裝技術采用批量生產工藝制造技術,可以將封裝尺寸減小至 IC 芯片的尺寸,生產成本大幅下降,并且把封裝與芯片制造融為一體。一般來說,IC 芯片與外部電氣連接是金屬引線以鍵合的方式把芯片上的 I/O(輸入/輸出端口)連接至封裝載體并經封裝引腳來實現的。隨著芯片的縮小及繼承規模的擴大,I/O 間距不斷減小,當 I/O 間距減少到 70m 以下時,引線鍵合就不再適用,晶圓級封裝技術應運而生,利用薄膜在分布工藝,使 I/O 可以分布在IC芯片的整個表面而不僅僅局限于IC
68、芯片的周邊區域,成功解決了高密度、細間距 I/O 芯片的電氣互聯問題。扇出型封裝(扇出型封裝(Fan-out):晶圓級封裝主要分為扇入(Fan-in)型和扇出型兩種。扇入型主要用于 I/O 較少的芯片,隨著技術進步,芯片集成度提高,I/O 數急劇增加,扇入型封裝已不能滿足芯片面積內多層互聯和密集凸點布局的需求,扇出型封裝應運而生。扇出型封裝采用圓片重構增加芯片面積,然后應用晶圓級工藝完成多層互聯和凸點形成,最終切割得到可與外部互聯的封裝體。圖圖 21:晶圓級封裝示意圖晶圓級封裝示意圖 圖圖 22:扇入:扇入/扇出型封裝對比示意圖扇出型封裝對比示意圖 資料來源:semiconductor eng
69、ineering 資料來源:ASE,semiconductor engineering 倒裝(倒裝(Flip-Chip,FC)結構)結構:常規芯片封裝流程中包括貼裝、引線鍵合兩個關鍵的供需,而 FC 則合二為一,直接通過芯片上呈陣列排布的凸點來實現芯片與封裝襯底的互聯,由于芯片是倒扣在封裝襯底上的,與常規芯片放置相反,故稱為倒裝片。目前,FC 技術較為廣泛的應用 Bump 互聯技術。2.5D/3D 封裝封裝:2.5D 封裝和 3D 封裝是高密度封裝技術的兩種不同形式,前者證券研究報告 請務必閱讀正文后免責條款部分 20 利用中介層實現芯片的平面展開和互聯,后者實現芯片的真正垂直堆疊與互聯,皆為
70、提高系統集成度的重要技術手段。圖圖 23:倒裝(:倒裝(Flip-Chip,FC)結構示意圖)結構示意圖 圖圖 24:2.5D/3D 封裝對比示意圖封裝對比示意圖 資料來源:SK Hynix 資料來源:SemiWiki 系統級封裝(系統級封裝(SiP):是指將多個半導體芯片或無源元件集成于一個封裝內,形成一個功能性器件。它其實是在系統級芯片(SoC)的基礎上發展起來的一種新技術。SiP 封裝提供最優化的功能、價格、尺寸,縮短了上市周期,系統封裝可以實現較高的性能密度、集成較大值的無源元件,是最有效的使用芯片組合。它可以大大減少開發時間和節約成本,具有明顯的靈活性和適應性。圖圖 25:SiP 演
71、進示意圖演進示意圖 資料來源:SemiWiki 封裝技術在不同應用領域的優化方向主要是在集成度、成本、性能、可靠性等封裝技術在不同應用領域的優化方向主要是在集成度、成本、性能、可靠性等方面進行平衡與選擇方面進行平衡與選擇,如:1)移動終端(手機、平板等):要求小尺寸、低功耗和低成本??梢允褂幂^為成熟的扇出封裝技術,采用低溫共燒陶瓷基板實現高密度布線,并使用更低成本的有機基板,這可以實現較高的集成度與性能,同時控制尺寸與成本。也可選擇 3D 封裝等更高級技術提高集成度。2)高性能計算(服務器、數據中心等):要求高速、高帶寬和高可靠??梢允褂酶咚偕瘸龇庋b技術,采用高頻有機基板和先進互聯技術(微波互
72、聯),并選擇3D 封裝等技術實現極高集成度。也可采用光互聯技術進一步提速。高性能證券研究報告 請務必閱讀正文后免責條款部分 21 計算設備對封裝技術的要求最高,但成本也更高。3)汽車電子:要求高可靠、抗振動與抗高低溫。需要使用結構更加穩定可靠的扇出封裝和 3D 封裝技術,選擇更加耐熱和防振動的基板材料,并采用更高可靠度的焊料與互聯技術,這些要求會導致成本上升,但可靠性得到保證。需要選擇不同的封裝技術與工藝來滿足不同的應用需求,實現性能、成本與可靠性的最佳平衡。表表 5:封裝技術的在不同硬件設備的應用方向:封裝技術的在不同硬件設備的應用方向 CPU/GPUCPU/GPU APUAPU MCUsM
73、CUs ASICsASICs FPGAsFPGAs MemoryMemory Sensors/Sensors/Actuators/CActuators/CISIS Analog/Analog/DiscretesDiscretes OptoOpto-electronicselectronics 人工智能人工智能/機器學習機器學習 FCFC,2.5D/3D2.5D/3D,FOFO,SiPSiP FCFC,FOFO,EDED FCFC,FOFO FCFC,2.5D/3D2.5D/3D,FOFO FCFC,3D3D,WBWB,QFNQFN,WLCSPWLCSP,SiPSiP 智能駕駛智能駕駛 FCFC
74、,WBWB,QFNQFN,WLCSPWLCSP FCFC,FOFO,WBWB,QFNQFN,WLCSPWLCSP,SiPSiP FCFC,WBWB,FOFO,QF NQF N,EDED,SiPSiP AR/VRAR/VR 高性能計算高性能計算 FCFC,2.5D/3D2.5D/3D,FOFO SiPSiP,2.5D/3D2.5D/3D,FCFC,WBWB 物聯網物聯網 FCFC,WBWB,QFNQFN,WLCSPWLCSP FCFC,FOFO,WBWB,QFNQFN,WLCSPWLCSP,SiPSiP FCFC,WBWB,FOFO,QF NQF N,EDED,SiPSiP 5G5G FCFC,
75、2.5D/3D2.5D/3D,FOFO,SiPSiP FCFC,FOFO,EDED SiPSiP,2.5D/3D2.5D/3D,FCFC,WBWB 手機等移動設備手機等移動設備 FCFC,FOFO,WBWB,QFNQFN,WLCSPWLCSP,SiPSiP 區塊鏈區塊鏈/加密貨幣加密貨幣 FCFC,2.5D/3D2.5D/3D,FOFO FCFC,2.5D/3D2.5D/3D,FOFO FCFC,WBWB,FOFO,QF NQF N,EDED,SiPSiP 資料來源:Status of the Advanced Packaging Industry 2021YOLE,國海證券研究所 2.1.3
76、、Chiplet 與先進封裝相生與共,創新發展與先進封裝相生與共,創新發展 高端性能封裝技術與高端性能封裝技術與 Chiplet 之間存在密切的相互促進與共生關系之間存在密切的相互促進與共生關系。Chiplet 異質集成作為一種設計概念,指將單顆集成復雜功能的片上系統級芯片(SoC)離證券研究報告 請務必閱讀正文后免責條款部分 22 散成多顆特定功能的小芯片(Chiplet,又稱“芯?!保?,再采用封裝技術將其整合在一起,構成多功能的異構系統級封裝(SiP),以持續提高器件算力,縮短產品開發周期,提升產品良率,降低整體成本。Chiplet 理念依賴高端封裝以實現,又不斷推動封裝技術向更高端發展,
77、兩者的互動與共進在推動半導體技術發展的同時,也大大拓展了系統設計的自由度與創新空間。圖圖 26:異構集成的技術構建異構集成的技術構建 資料來源:ASE HPC、AI、云計算等應用推動高端性能封裝需求、云計算等應用推動高端性能封裝需求。高性能計算、人工智能、云計算、數據中心和 5G 通信的快速發展促使芯片技術不斷提升,SoC 技術節點不斷前進,集成功能更復雜,制造成本上升。廠商將 SoC 的存儲與處理單元分離,利用 UHD FO、2.5D 和 3D 等高端性能封裝方式重新組合,以實現最優計算性能。YOLE 將將 I/O 密度密度16 個個/mm,節距,節距130m 的封裝技術定義為高端性能的封裝
78、技術定義為高端性能封裝封裝,包括 UHD FO、嵌入式硅橋、2.5D 硅轉接板、3D 存儲堆疊和混合鍵合技術,這些關鍵技術主要掌握在頭部封測企業、先進晶圓代工廠和 IMD 手中,如長電科技、日月光、安靠、臺積電、三星和英特爾等。圖圖 27:封裝技術的:封裝技術的 IO 密度及密度及 IO 間距間距 圖圖 28:高端性能封裝主要:高端性能封裝主要企業企業 資料來源:High-End Performance Packaging 3D/2.5D Integration 2020YOLE 資料來源:High-End Performance Packaging 3D/2.5D Integration 2
79、020YOLE 證券研究報告 請務必閱讀正文后免責條款部分 23 近年來,受限于高端設備和材料的能力等,以 Chiplet 方式將處理芯片與存儲芯片封裝集成的技術越來越重要,目前,TSMC、Intel、Samsung 等國際領先的廠商均已發布相關產品,各類高性能封測具有代表性的平臺分別如下:1)UHD FO:超高密扇出型晶圓級封裝,主要采用 SoC、RDL 技術,相較于高密扇出型具有更高的 I/O 密度(16/mm2),更小的 I/O 間距(小于 128m),以臺積電的CoWoS-R、InFO_SoW為代表性技術,其中CoWoS-R使用光刻技術,可實現 5 層細線寬/線間距(2m/2m)的制作
80、;2)硅橋硅橋:又分為臺積電的 LSI 和 Intel 的 EMIB 兩條技術路徑。EMIB 為 Intel最具代表性的先進封裝技術之一,是在有機基板中埋入若干超薄的、高密度的硅橋,實現兩兩芯片的互聯,可提供芯片間局部高密度互聯,靈活放置在基板任意需要互聯的地方,不影響基板上其他線路的布局布線;3)2.5D 硅轉接板硅轉接板:Si 轉接板能夠實現異質芯片互連,滿足高計算能力和高帶寬的要求,在 Si 轉接板上,可制作尺寸小于 1um 的線寬和過孔,在滿足超高 I/O密度方面具有明顯優勢。2.5D 通常結合 TSV,FC,微凸點等技術,可分為有源轉接板和無源轉接板兩個路線:臺積電的 CoWoS-S
81、 為無源轉接板,采用光刻和大馬士革工藝,在 Si 轉接板制作亞微米級 L/S 的金屬層,高效實現 HPC 與 HBM連接;有源轉接板是無源轉接板的延伸,在無源轉接板內部集成一些功能單元,例如英特爾的 Foveros 即采用的有源轉接板;4)存儲類)存儲類 3D:主要結合 TSV、微凸點及混合鍵合等互聯技術,以 SK Hynix HBM、長存 3D NAND、三星 3D Stacked Dram 為代表,以 HBM 技術為例,第一代HBM 由邏輯芯片和 4 層 DRAM 堆疊而成,利用 TSV 連接起來,存儲器和處理器通過無源轉接板上的 RDL 將 HBM 邏輯芯片的端口物理層與處理器的端口物理
82、層連接在一起;5)3D SoC:代表性平臺為臺積電的 SoiC 以及 INTEL 的 Foveros Direct。臺積電在 2019 年提出 SoIC 技術,其基于 TSV、微凸點和混合鍵合等技術,可實現I/O 密度大于 10000/mm2 的封裝。SoIC 將處理器、內存和傳感器等幾種不同類型的芯片堆疊在一起,使封裝模組體積更小、功能更強大,同時實現了低延時,低能耗的目的。證券研究報告 請務必閱讀正文后免責條款部分 24 圖圖 29:國際高端性能封裝技術平臺:國際高端性能封裝技術平臺 資料來源:High-End Performance Packaging 3D/2.5D Integrati
83、on 2020YOLE,TSMC 官網,INTEL,先進封裝技術的發展與機遇,Foveros 3D Integration and the use of Face-to-Face Chip Stacking for Logic Devices,晶圓級多層堆疊技術,國海證券研究所 具體形式子分類關鍵技術工藝平臺Molded DieRDLUHD FO(超高密度扇出型)LSIEmbedded Si Bridge(嵌入式硅橋)EMIBActiveInterposer DieTSVsMicrobumpsFlip-Chip bumpsSi Interposer(硅轉接板)Non-ActiveHBMTSVs
84、Microbumps3D Stack Memory(3D堆疊存儲)3DS3D NAND StackHybridBondingHybridBonding3D SoC(3D系統級芯片封裝)TSMC:CoWoS-RTSMC:CoWoS-LTSMC SoICTSMC CoWoS-STSMC:InFO_LSIYMTCs Xtacking獨立的晶圓處理晶圓倒裝晶圓間連接最后結構INTEL Foveros DirectSamsung SK Hynix:3D Stacked DRAMSamsung SK Hynix&Micron:HBM圖:EMIB互聯技術Intel:Sapphire Rapids圖:Inte
85、l Foveros技術Samsung X-CubeTSMC:InFO_SoWIntel:Co-EMIB證券研究報告 請務必閱讀正文后免責條款部分 25 2.2、供需:供需:產能轉移與產能轉移與先進封裝先進封裝協同推動封測協同推動封測行業發行業發展展 2.2.1、供給側:產業聚集供給側:產業聚集中國,成本效益中國,成本效益及及規模經濟規模經濟凸顯凸顯 從全球視角來看從全球視角來看,封測產能集中在中國封測產能集中在中國大陸大陸和和中國臺灣中國臺灣地區。地區。中國大陸和中國臺灣地區的勞動力成本和生產成本相對較低,在成本競爭上占據較大優勢,全球封測產業向成本更低的地區轉移。此外,中國市場規模較大,需求
86、量很大,這使得中國封測企業可以實現規模效應,不斷擴大產能和規模,從而進一步壓減成本,同時也吸引全球客戶將更多訂單轉移至中國。圖圖 30:2020 全球封測產能分布圖全球封測產能分布圖 資料來源:Voice&Data 根據 Gartner 發布的 2022 年全球封測廠營收占比數據,中國大陸和中國臺灣共占據全球 75%以上的市場份額,表明已形成相對穩定的全球產業格局。在各公司中,根據芯思想研究院(Chip Insights)發布的 2022 全球委外封測(OSAT)榜單,2022 年長電科技全球市場占有率達到 10.71%,位居全球第三,排名前兩位的分別是中國臺灣的日月光和美國的安靠。全球前十中
87、的中國大陸企業還包含通富微電、華天科技等,這些廠商的領先地位彰顯了中國大陸和中國臺灣在半導體封測領域的競爭力,為全球半導體產業鏈的發展提供了重要支撐。證券研究報告 請務必閱讀正文后免責條款部分 26 圖圖 31:按區域按區域全球封測行業全球封測行業收入分布收入分布 圖圖 32:2022 年年全球全球封測行業市占率封測行業市占率 資料來源:Gartner,國海證券研究所 資料來源:公司公告,芯思想研究院(Chip Insights),國海證券研究所 行業領軍企業通過兼并收購策略,不斷壯大市場份額與影響力,使得封測行業行業領軍企業通過兼并收購策略,不斷壯大市場份額與影響力,使得封測行業的集中度保持
88、在較高水平的集中度保持在較高水平。日月光自 2019 年收購矽品精密以來,據芯思想研究院(Chip Insights)數據表明,其市場份額大幅增長至 2022 年的 27.11%,多年來牢固占據行業首位。智路資本在 2020 年收購聯合科技后,成功晉身行業十強之列。封測行業的龍頭企業近年來積極推進兼并收購,實現產業整合,進一步擴大市場份額與影響力。長電科技在收購星科金朋之后,與日月光和安靠共同成為行業前三強。行業 CR3(全球市場占有率前三名的企業總和)多年來維持在 50%以上,表明競爭格局相對穩定。有利于行業領軍企業鞏固市場地位,推動產業發展和技術創新。圖圖 33:全球全球封測行業集中度變化
89、情況封測行業集中度變化情況 資料來源:公司公告,芯思想研究院(Chip Insights),國海證券研究所 中國臺灣,47%中國大陸,29%美洲,14%韓國,6%馬來西亞,2%日本,1%新加坡,1%日月光,27.11%安靠,14.08%長電科技,10.71%通富微電,6.51%力成科技,6.11%華天科技,3.85%智路封測,3.48%京元電子,2.68%欣邦,1.75%南茂,1.71%其他,22.01%0%10%20%30%40%50%60%70%80%90%100%202020212022日月光安靠長電科技通富微電力成科技華天科技智路封測京元電子欣邦南茂聯合科技矽品精密其他證券研究報告 請
90、務必閱讀正文后免責條款部分 27 2.2.2、需求側:需求側:先進封裝引領未來發展新機遇先進封裝引領未來發展新機遇 全球封測市場呈現溫和增長趨勢全球封測市場呈現溫和增長趨勢。根據 Frost&Sullivan 的數據,2022 年全球封測市場規模有望達到 642.5 億美元,同比增長 4.00%,增速有所放緩,且預計2022 年-2025 年復合年增長率(CAGR)將維持在 4%,呈現溫和增長。與全球市場相比,中國市場得益于產業轉移而迅速擴張,增速明顯高于全球水與全球市場相比,中國市場得益于產業轉移而迅速擴張,增速明顯高于全球水平平。根據 Frost&Sullivan 的數據,2022 年中國
91、封測市場規模有望達到 2819.6億元人民幣,同比增長 6%;預計 2022 年-2025 年的 CAGR 為 8%,中國封測市場增速高于全球增速。這主要因為 2020 年疫情導致的“缺芯潮”使得半導體產業向中國轉移提速,且中國國內市場對于消費電子、汽車電子、通信等領域的半導體需求持續增長,也推動了封測市場的發展。此外,隨著 5G、物聯網、人工智能等新興技術的快速發展,對高性能封測技術的需求也在不斷增加。在全球貿易摩擦、供應鏈安全等問題日益凸顯的背景下,中國政府和相關企業都在推動國產替代戰略,以降低對外部供應鏈的依賴,國內封測廠商有更多市場機會,推動市場增速。同時,中國市場相對分散,龍頭企業通
92、過并購等方式實現快速擴張,進一步推動整體市場的高速增長。圖圖 34:全球封測市場規模及預測全球封測市場規模及預測 圖圖 35:中國封測市場規模及預測中國封測市場規模及預測 資料來源:Frost&Sullivan,匯成股份招股說明書,國海證券研究所 資料來源:Frost&Sullivan,匯成股份招股說明書,國海證券研究所 中國先進封裝市場增長迅速,未來中國在全球先進封裝產業中的地位和作用或中國先進封裝市場增長迅速,未來中國在全球先進封裝產業中的地位和作用或將進一步提高。將進一步提高。根據 YOLE 數據預測,2019 年-2025 年期間,全球傳統封裝年復合增速約 2%,先進封裝年復合增速約
93、7%,先進封裝占總封裝市場的比例或從 42.5%提升至 49.4%,。盡管中國先進封裝市場占總數的比例較低,僅為 14%(2020 年),但預計該比例將快速提高,到 2025 年將達到 32%,2021 年-2025年中國先進封裝市場的年復合增速將達 30.83%。下游需求的提高與變化在推動先進封裝產業升級發展中發揮著至關重要的作用,催生新技術,帶來新產品,促進了產業結構調整,使產業迭代更新保持在較快的速度。中國封測企業已具備相當的競爭力,并且產業生態日益完善。在仍具有成本優勢的前提下,中國先進封裝增速同樣高于全球。-4%-3%-2%-1%0%1%2%3%4%5%6%7%01002003004
94、005006007008002012201320142015201620172018201920202021E2022E2023E2024E2025E全球集成電路封測收入(億美元)同比增速(%)0%5%10%15%20%25%050010001500200025003000350040002012201320142015201620172018201920202021E2022E2023E2024E2025E中國集成電路封測收入(億元)同比增速(%)證券研究報告 請務必閱讀正文后免責條款部分 28 圖圖 36:全球先進封裝占比及預測:全球先進封裝占比及預測 圖圖 37:中國先進封裝占比及預測中
95、國先進封裝占比及預測 資料來源:Advanced Packaging Current Trends and ChallengesYOLE 資料來源:Frost&Sullivan,匯成股份招股說明書,國海證券研究所 高端性能封裝市場處于高速增長期高端性能封裝市場處于高速增長期,未來幾年將迎來快速擴張。未來幾年將迎來快速擴張。高端性能封裝市場規模迅速擴張,驅動力主要來自存儲類產品與超高密扇出型封裝。根據根據YOLE 數據數據,高端性能封裝市場或將從 2021 年的 27.38 億美元增長至 2027 年的 78.67 億美元,年復合增速達 19%。其中,3D NAND Stack、HBM、3DS
96、等產品于27年合計規模將達37.28億美元,2021年-2027年復合增速分別為29%、25%、19%,是體量最大且增速最快的細分市場。超高密扇出型封裝預計于 27年規模達 18.82 億美元,是市場份額最高產品。硅橋、硅轉接板市場基數較小,但也將保持較高增速。3D SoC 技術難度大,預計 2021 年-2027 年或以 28%的速度增長,但總體市場規模仍較小。圖圖 38:高端性能封裝市場規模及預測(百萬美元)高端性能封裝市場規模及預測(百萬美元)資料來源:High End Performance Packaging 2022-Focus 2.5D/3D IntegrationYOLE,國海
97、證券研究所 14.00%32.00%0%20%40%60%80%100%中國先進封裝收入占比(%)中國傳統封裝收入占比(%)20202021202220232024202520262027CAGR(2021-2027)3D NAND Stack5017832442456065775981229%HBM264435620893106812101404162725%3DS2784455926707569711122128919%Si interposer1151431631711811801821975%Active Si Interposer163319426557818874912100821
98、%Embedded Si Bridge+Active Si interposer3919630733337747957369123%3D SoC21622323748607329%Embedded Si Bridge3242567311416920328738%UHD FO59596411741217142715501760188212%0100020003000400050006000700080009000證券研究報告 請務必閱讀正文后免責條款部分 29 2.3、封測特征:景氣導向,技術領先為競爭高地封測特征:景氣導向,技術領先為競爭高地 2.3.1、封測的封測的管道屬性管道屬性觀察景氣度
99、的重要窗口之一觀察景氣度的重要窗口之一 封測企業收入變化領先行業封測企業收入變化領先行業 1-2 個季度。個季度。通過擬合 A 股封測企業季度收入同比與半導體銷售額,以及中國臺股封測企業月度收入同比與半導體銷售額,可以發現封測企業收入變化與半導體銷售額變化之間存在較強的一致性。但封測企業收入變化領先于半導體銷售額變化約 1-2 個季度。例如,A 股封裝企業季度收入同比于 2019 年第一季度見底,而半導體銷售額直至第二季度才見底。在當前這一輪下行周期中,封測企業收入增速于 2021 年下半年達到高點,而半導體銷售額在 2022 年上半年見頂。圖圖 39:A 股封測、半導體銷售額季度同比股封測、
100、半導體銷售額季度同比 圖圖 40:中國中國臺股封測、半導體銷售額當月同比臺股封測、半導體銷售額當月同比 資料來源:Wind,國海證券研究所 注:納入統計標的為長電科技、通富微電、華天科技 資料來源:wind,國海證券研究所 封測向上匯聚供給,向下直面需求,為觀察半導體景氣度的重要指標之一封測向上匯聚供給,向下直面需求,為觀察半導體景氣度的重要指標之一。封測作為半導體產業鏈的下游,直接面向終端需求端,其對半導體芯片進行測試與檢驗,保證其質量與可靠性,滿足下游客戶的使用要求。封測行業作為晶圓制造的下游,緊跟上游庫存及出貨變化而變化,封測行業面向下游需求端,直接與其進行產品輸出與交互,其稼動率、技術
101、路線等會根據下游主要客戶的需求變化而調整,二者之間存在強大的互動作用與配合機制。由于處在產業鏈的中間位置,封測行業的產出變化會同時受上游供給變化和下游需求變化的雙重影響,其業績表現可以快速反映半導體市場的整體供需變化,所以是觀察半導體周期的重要指標。-40-200204060801002015-01-012015-08-012016-03-012016-10-012017-05-012017-12-012018-07-012019-02-012019-09-012020-04-012020-11-012021-06-012022-01-012022-08-012023-03-01A股封測企業收
102、入季度同比(%)全球半導體銷售額季度同比(%)-40-30-20-100102030402015-012015-082016-032016-102017-052017-122018-072019-022019-092020-042020-112021-062022-012022-082023-03臺股封測收入當月同比(%)全球半導體銷售額當月同比(%)證券研究報告 請務必閱讀正文后免責條款部分 30 圖圖 41:半導體產業鏈:半導體產業鏈 資料來源:Advanced Packaging Current Trends and ChallengesYOLE,國海證券研究所 2.3.2、封測的業績變
103、化封測的業績變化CAPEX 帶來折舊壓力帶來折舊壓力,產能利用率產能利用率決定盈決定盈利水平利水平 大陸封測廠增加資本開支應對產業升級和技術迭代。大陸封測廠增加資本開支應對產業升級和技術迭代。以長電科技為代表的 A 股封測企業自 2015 年起開始加大資本支出,2015 年至 2022 年,長電科技、通富微電、華天科技合計資本支出從 45.12 億元上升至為 164.28 億元,2015 年至2021 年,日月光投控及力成合計資本開支從 65.26 億元上升至 183.27 億元。封測行業作為重資產行業需要不斷的產業升級與技術迭代,因此需加大研發投入與設備更新,這導致其資本支出維持在較高水平。
104、圖圖 42:部分:部分 A 股及臺股封測企業資本性支出(億元)股及臺股封測企業資本性支出(億元)資料來源:wind,國海證券研究所 0204060801001201401602010201120122013201420152016201720182019202020212022長電科技通富微電華天科技日月光投控(去除環旭電子)力成證券研究報告 請務必閱讀正文后免責條款部分 31 封測企業較高的資本支出導致較大的固定資產折舊與攤銷封測企業較高的資本支出導致較大的固定資產折舊與攤銷。較高的資本支出意味著企業需要取得更多的固定資產與設備,導致后續較高的折舊費用,從而加大成本支出,縮小毛利空間,影響最
105、終的盈利能力,且如下游需求未能匹配擴張速度,產能利用率下降會進一步加大平均成本,從而對盈利產生影響。圖圖 43:部分:部分 A 股及臺股封測企業折舊與攤銷(億元)股及臺股封測企業折舊與攤銷(億元)資料來源:wind,國海證券研究所 2.3.3、封測的行業趨勢封測的行業趨勢傳統封裝穩中向上,傳統封裝穩中向上,先進封裝先進封裝成長迅猛成長迅猛 封裝技術封裝技術與晶圓制造與晶圓制造的雙重突破的雙重突破,才能,才能實現更高密度芯片集成與性能實現更高密度芯片集成與性能。摩爾定律指出,晶體管的數量每兩年翻一倍,這是晶圓制造技術快速進步的結果,通過不斷縮小工藝節點,晶體管的高密度集成成為可能。相比之下,先進
106、封裝技術的進步速度相對較慢,每個大的技術進步節點間隔約四年,速度不及晶圓制造工藝。要實現更高密度的芯片集成與性能,需要先進制程工藝和先進封裝技術的雙重突破,單純地依靠晶圓制造工藝的提高難以發揮應有的效果,也難以實現芯片的持續微縮與成本下降。圖圖 44:先進制程與先進封裝的技術迭代時間圖:先進制程與先進封裝的技術迭代時間圖 資料來源:YOLE 0204060801001201402010201120122013201420152016201720182019202020212022長電科技通富微電華天科技日月光投控(去除環旭電子)力成證券研究報告 請務必閱讀正文后免責條款部分 32 芯片成本隨面
107、積芯片成本隨面積增大、工位節點微縮成本大增增大、工位節點微縮成本大增。增大芯片面積可以集成更多晶體管和更復雜的微體系結構,從而提高性能,但是,芯片面積受光罩極限的限制,且芯片良率會隨面積增加而下降。例如,據先進封裝技術的發展與機遇(曹立強),當芯片面積從 213mm2增加到 777mm2時,良率下降了 33%。因此,芯片成本會隨面積增加而上升。從成品芯片的歸一化成本看,與 45nm 工藝節點制造的 250mm2芯片相比,16nm 工藝節點可以使每平方毫米成本增加 1 倍以上,5nm 工藝節點可以使成本增加 4-5 倍。因此,芯片的成本隨尺寸的增大而增加,芯粒異質集成技術已成為維持摩爾定律和超越
108、光罩極限的一種有效方法。圖圖 45:芯片良率及面積的關系:芯片良率及面積的關系 圖圖 46:芯片成本隨工藝節點微縮遞增:芯片成本隨工藝節點微縮遞增 資料來源:先進封裝技術的發展與機遇曹立強 資料來源:先進封裝技術的發展與機遇曹立強 先進制程發展拉動先進封裝需求先進制程發展拉動先進封裝需求。據 IC insights 對未來晶圓產能的預測,10nm以下制程節點增長最為迅速,占比提升的趨勢最為明顯。根據臺積電在 2015 年至 2022 年所披露的分制程節點收入數據,2019 年至 2022 年,其貢獻主要收入增長的制程節點為 5nm 及 7nm。隨著先進制程工藝的逐步成熟與普及,更加復雜和高性能
109、的芯片需求也在增長,由于先進制程的推進,晶圓制造的成本不斷增加,封裝技術也面臨著新的挑戰和機遇。因此,隨著制程工藝的不斷進步也將推動先進封裝技術發展加速,以適應更高密度、更高性能的芯片需求。圖圖 47:分制程全球晶圓產能分制程全球晶圓產能(萬片(萬片/月)月)圖圖 48:臺積電分制程收入情況(十億美元)臺積電分制程收入情況(十億美元)資料來源:IC insights,國海證券研究所 資料來源:TSMC,More Than Moore 05001,0001,5002,0002,5003,00020192020202120222023E2024E10nm10nm-20nm20nm-40nm40nm
110、-0.18m0.18m證券研究報告 請務必閱讀正文后免責條款部分 33 技術封鎖下,技術封鎖下,先進封裝在中國大陸半導體產業先進封裝在中國大陸半導體產業起著起著重要作用重要作用。IC Insights 的數據顯示,2020 年底,中國大陸 10nm-20nm、20nm-40nm、40nm-0.18m、大于0.18m 制程占比分別為 39%、11%、20%、30%。與中國臺灣、韓國、日本等地區相比,中國大陸的先進制程占比較少。此外,只有中國臺灣和韓國擁有10nm 以下制程。由于地緣政治因素的影響,中國大陸的先進制程受到了封鎖,因此要實現對先進制程的追趕仍需時間。在這種情況下,先進封裝可能會在未來
111、一段時間內發揮重要的作用。隨著芯片集成度和性能的不斷提高,封裝技術將成為滿足市場需求的重要手段。圖圖 49:2020 年底各地區分制程產能占比年底各地區分制程產能占比 資料來源:IC Insights,anysilicon,國海證券研究所 3、周期拐點浮現,內外循環共同發力,先進封裝與周期拐點浮現,內外循環共同發力,先進封裝與傳統封裝雙輪驅動傳統封裝雙輪驅動 3.1、低谷已過,高峰再攀,封測龍頭或將低谷已過,高峰再攀,封測龍頭或將受益于內外受益于內外雙循環驅動雙循環驅動 3.1.1、行業景氣度拐點已現,行業景氣度拐點已現,封測環節封測環節盈利能力有望提升盈利能力有望提升 需求仍較疲弱,但環比有
112、所改善,行業調整影響減弱。需求仍較疲弱,但環比有所改善,行業調整影響減弱。2022 年 2 月起,半導體銷售額同比增速進入下行區間,2023 年 3 月,全球半導體銷售額為 39.83 億美元,較去年同期下降 21.30%,市場環境較為疲軟,需求較去年同期下滑較快。但與上月相比,銷售額環比上升 0.33%,市場需求有所回穩,環比增速也由負轉為正,行業調整的影響開始減弱,未來市場有望繼續回暖。China-Taiwan證券研究報告 請務必閱讀正文后免責條款部分 34 圖圖 50:全球半導體月度銷售額及同比、環比增速(億美元)全球半導體月度銷售額及同比、環比增速(億美元)資料來源:wind,國海證券
113、研究所 通過高級智能手機等終端設備的普及,5G、人工智能和虛擬現實等新技術得到了廣泛應用,這為半導體行業打開了重要的市場機會。與此同時,服務器和數據中心也成為支撐這些新技術發展的關鍵基礎設施。在半導體行業的下游應用領域中在半導體行業的下游應用領域中,消費電子需求增長放緩消費電子需求增長放緩,而新興的需求更具而新興的需求更具潛力潛力。根據 ASML 數據,2022 年,全球消費電子領域(含智能手機、PC 及其他)的銷售額為 3300 億美元,占總銷售額的 53.31%,占比相較于 2020 年下滑 3.26 個百分點,而以汽車電子、數據中心、工業電子等代表著新興需求的行業,預計于 2022 年-
114、2030 年將以超 10%的年復合增速增長,其銷售額占比或將進一步提升。傳統消費電子銷售額份額或下滑,新興的下游需求,如汽車電子、數據中心與工業電子等增長勢頭強勁,呈現出較大的潛力。圖圖 51:2020-2030 年,年,按下游需求分半導體銷售額(十億美元)按下游需求分半導體銷售額(十億美元)資料來源:ASML,Statista,國海證券研究所 根據 IDC 數據,2023 年 Q1 全球手機出貨量為 2.69 億臺,同比下滑 14.49%,-4000%-3000%-2000%-1000%0%1000%2000%3000%4000%5000%6000%7000%0102030405060200
115、5-012005-122006-112007-102008-092009-082010-072011-062012-052013-042014-032015-022016-012016-122017-112018-102019-092020-082021-072022-06半導體:銷售額:合計:當月值同比(%)環比(%)117144150213100115124131507179114406393149517393160385362827610013624902004006008001,0001,200202020222025*2030*智能手機PC其余消費電子汽車工業有線和無線基礎設施數據中
116、心、服務器和存儲證券研究報告 請務必閱讀正文后免責條款部分 35 其中蘋果、三星、小米、vivo 出貨量分別下滑 2.35%、17.80%、23.56%、18.97%,oppo 銷量同比持平。全球智能手機市場整體滲透率已經較高,繼續不斷釋放的需求空間相對較小,新機型的升級換代沒有帶來較強的購買激勵,一定程度上削弱了消費者的購買熱情,導致市場增長乏力,因此全球智能手機市場增速放緩,市場較為疲軟。圖圖 52:按廠商季度手機出貨量(百萬臺):按廠商季度手機出貨量(百萬臺)資料來源:IDC,Statista,國海證券研究所 注:*代表數據來源并沒有披露每季度的相關數據 自動駕駛與云計算自動駕駛與云計算
117、的高速增長,為半導體行業帶來廣闊機遇的高速增長,為半導體行業帶來廣闊機遇。根據 IDC 預測,2022年全球自動駕駛汽車數量為4357萬輛,預計2024年將增長至5420萬輛;2022 年云計算基礎設施投資約 877 億美元,預計 2026 年將增長至 1337 億美元,年均復合增速皆超 10%。自動駕駛汽車與云計算基礎設施的發展,都對處理器、存儲器、傳感器以及功率管理芯片等方面的需求數量產生了較大提高,這兩個行業的高速增長,為半導體帶來了重要的市場機會。圖圖 53:自動駕駛汽車數量預測(百萬輛)自動駕駛汽車數量預測(百萬輛)圖圖 54:全球云全球云 IT 基礎設施支出預測(十億美元)基礎設施
118、支出預測(十億美元)資料來源:IDC,Statista,國海證券研究所 注:2019年-2024年均為預估值 資料來源:IDC,Statista,國海證券研究所 注:2013年-2020年為統計值,2021年-2026年為預測值-0.4-0.200.20.40.60.81050100150200250300350400450500AppleSamsungXiaomiOPPOvivoZTE*Nokia*Huawei*LG*Sony*RIM*HTCLenovo*OthersYoY(%)31.435.0239.0643.5748.5954.201020304050602019202020212022
119、2023202422.326.432.235.747.466.1 66.871.887.793.7110.5133.7020406080100120140160201320142015201620172018201920212022202320242026證券研究報告 請務必閱讀正文后免責條款部分 36 產業持續去庫存,產能利用產業持續去庫存,產能利用率率調整放緩。調整放緩。根據 SEMI 數據,IC 總庫存于 2022年 Q3 見頂,后續開始緩慢減庫存,但目前仍處于較高水平,Fab 廠的產能利用率也從 2022 年 Q3 的超 90%調整至 2023 年 Q1 的 75%-80%之間,預計
120、2 季度產能利用率或將下調至 70%-75%之間,但斜率明顯放緩。當前的市場低迷主要因下游需求疲軟和庫存水平升高而加劇,然而,隨著庫存修正在 2023 年年中結束,在庫存需求回升的推動下,Fab 廠產能利用率可能會有所提高,預計下半年或將出現溫和復蘇。根據中芯國際業績說明會公告,2023 年二季度其產能利用率有望回升,收入有望環比增加 5%至 7%,拐點已現。圖圖 55:集成電路庫存水平及晶圓廠產能利用率(十集成電路庫存水平及晶圓廠產能利用率(十億美元億美元)圖圖 56:中芯國際二季度業績指引中芯國際二季度業績指引 資料來源:SEMI、Tech Insights 資料來源:中芯國際官網 下游客
121、戶結構趨于平衡下游客戶結構趨于平衡,運算電子和汽車電子市場份額增加更快運算電子和汽車電子市場份額增加更快,有望驅動,有望驅動公公司未司未來業績來業績增長。增長。2022 年,長電科技下游主要客戶領域的收入占比為:通訊電子 39.3%、消費電子 29.3%、運算電子 17.4%、工業及醫療電子 9.6%、汽車電子 4.4%。與去年同期相比,消費電子占比下降 4.5 個百分點,運算電子占比上升 4.2 個百分點,汽車電子占比上升 1.8 個百分點。長電科技在汽車電子領域市場份額在提高,可能成為公司未來的新增長點之一;消費電子收入占比有所下降,但由于其基數較大,絕對金額可能仍在增加;運算電子占比上升
122、幅度較大,公司在該領域市場機會和業務也在擴大。綜上,公司將受益于消費電子的回暖以及新需求帶來的市場增長空間。圖圖 57:2021 年、年、2022 年長電下游領域收入占比年長電下游領域收入占比 資料來源:公司公告,國海證券研究所 40%33.80%13.20%10.30%2.60%39.30%29.30%17.40%9.60%4.40%0%5%10%15%20%25%30%35%40%45%通訊消費運算工業及醫療汽車20212022證券研究報告 請務必閱讀正文后免責條款部分 37 周期復蘇、行業景氣度回暖或將帶動全產業鏈盈利能力提升。周期復蘇、行業景氣度回暖或將帶動全產業鏈盈利能力提升。封測企
123、業是觀察半導體產業景氣度變化的重要窗口。由于屬于重資產與高折舊的制造業,其產能利用率的變化將直接導致業績出現較大波動。通過觀察長電科技與其他封測企業,可以發現公司在產業上行周期階段,凈利率處于行業較高水平,而即使在 2023年 Q1 相對底部的位置,長電科技的凈利率仍保持正值,體現出其在產業中的核心競爭力,公司基本面仍較為穩健,成本控制能力較強,具有抗周期能力。圖圖 58:A 股封測廠毛利率對比股封測廠毛利率對比 圖圖 59:A 股封測廠凈利率對比股封測廠凈利率對比 資料來源:Wind,國海證券研究所 資料來源:Wind,國海證券研究所 3.1.2、客戶基礎多元化,內外雙循環驅動增長客戶基礎多
124、元化,內外雙循環驅動增長 客戶基礎多元化,合作關系穩定客戶基礎多元化,合作關系穩定。從收入地區分布來看,公司的主要收入來源是海外市場。自 2015 年并購了星科晶朋公司以來,公司的海外收入顯著提升;截止至 2022 年,海外收入已占總收入的 74%。公司的客戶遍布全球,包括德州儀器、蘋果、加特蘭微電子等國際知名企業,分布在通訊電子、消費電子、運算電子、工業和醫療電子、汽車電子等眾多行業。自 2018 年起至 2020 年,公司前五大客戶的收入占比逐年上升,三年內占比已超過 50%,公司與國內外大型客戶之間的合作關系穩定,訂單規模的穩定性表現良好,有力地支撐了公司的業務增長和市場份額的擴張。圖圖
125、 60:海外、國內客戶收入占比:海外、國內客戶收入占比 圖圖 61:前五大客戶收入占比:前五大客戶收入占比 資料來源:Wind,國海證券研究所 資料來源:公司公告,國海證券研究所 0%5%10%15%20%25%30%2011-01-012011-10-012012-07-012013-04-012014-01-012014-10-012015-07-012016-04-012017-01-012017-10-012018-07-012019-04-012020-01-012020-10-012021-07-012022-04-012023-01-01長電科技A公司B公司-20%-15%-10
126、%-5%0%5%10%15%2011-01-012011-11-012012-09-012013-07-012014-05-012015-03-012016-01-012016-11-012017-09-012018-07-012019-05-012020-03-012021-01-012021-11-012022-09-01長電科技A公司B公司0%20%40%60%80%100%中國大陸占比(%)其余地區占比(%)0%10%20%30%40%50%60%70%2013201420152016201720182019202020212022前五大客戶收入占比(%)證券研究報告 請務必閱讀正文后
127、免責條款部分 38 疫情后海內外經濟節奏或疫情后海內外經濟節奏或恢復恢復趨同,公司有望受益于內外雙循環驅動。趨同,公司有望受益于內外雙循環驅動。星科晶朋在新加坡、韓國和中國擁有生產基地,和韓國長電廠主要受海外行業周期性波動的影響,而江蘇的長電先進、滁州廠和宿遷廠則更深受國內因素的影響。由于疫情的影響,2019 年至 2022 年海內外經濟活動的恢復速度并不一致。在 2019年至 2020 年期間,位于國內的子公司率先受益于國內經濟的驅動,成為公司的主要凈利潤來源;而在 2021 年至 2022 年期間,主要受益于海外經濟的驅動,星科晶朋和韓國長電的貢獻對凈利潤有很大的推動作用??傮w來看,公司的
128、增長在 2019 年至 2022 年期間是由單一周期驅動的。隨著疫情的影響逐漸消退,國內和海外經濟將更具有協同性。星科晶朋的整合已經完成,公司在國內和海外都擁有良好的客戶基礎。在下一個周期中,公司有望受益于內外循環的雙重驅動。圖圖 62:凈利潤按地區分類(億元)凈利潤按地區分類(億元)圖圖 63:凈利率按地區分類(凈利率按地區分類(%)資料來源:Wind,公司公告,國海證券研究所 資料來源:Wind,公司公告,國海證券研究所 3.2、先進封裝先進封裝有望有望突破突破技術技術封鎖封鎖,國內龍頭企業加速國內龍頭企業加速布局布局 先進制程芯片的制造面臨較高技術壁壘先進制程芯片的制造面臨較高技術壁壘,
129、先進封裝是我國技術突破的必然選項先進封裝是我國技術突破的必然選項。目前,國際上 7nm 及以下制程技術主要由 INTEL、三星和臺積電掌握,即先進制程制造能力集中在少數企業手中,而中國企業受美國技術封鎖,需要時間進行自主研發與替代,難以迅速跟上。因此,中國集成電路產業面臨瓶頸,而先進封裝與測試技術成為突破這一局面的關鍵。中國企業可以利用較為成熟但低成本的工藝節點,采用先進封裝技術實現高密度集成與功能強大的產品,以符合應用需求并降低成本。-3.27 5.31 13.30 23.30 4.50 6.33 8.30 4.57-505101520252019202020212022凈利潤(星科晶朋+韓
130、國長電)凈利潤(長電先進+滁州廠+宿遷廠)-5%0%5%10%15%20%2019202020212022凈利率(星科晶朋+韓國長電)凈利率(長電先進+滁州廠+宿遷廠)證券研究報告 請務必閱讀正文后免責條款部分 39 圖圖 64:先進制程各節點:先進制程各節點晶圓晶圓制造制造企業企業情況情況 資料來源:YOLE 長電科技已加入長電科技已加入 UCIe 聯盟聯盟。2022 年 3 月,英特爾、臺積電、三星和日月光等公司宣布成立 UCIe(Universal Chiplet Interconnect Express),UCIe 是一個開放的芯?;ミB協議,旨在芯片封裝層面確立互聯互通的統一標準,滿足
131、客戶對可定制封裝要求。在 UCIE 白皮書中,UCIe 規范囊括硅橋、扇出型中階層、硅轉接板等類型的封裝。長電科技作為中國大陸芯片成品制造領域的領軍企業已加入UCIe 產業聯盟。圖圖 65:UCIe 協議及規范的封裝技術協議及規范的封裝技術 資料來源:UCIe 白皮書 中國封測企業正在加速布局與中國封測企業正在加速布局與 Chiplet 封裝平臺與技術。封裝平臺與技術。長電科技推出 XDFOI平臺,其中的 2.5D 平臺為超高密扇出型封裝,能實現 3-4 層高密度走線,應用集中在 FPGA、CPI、GPU、AI 和 5G 等網絡芯片等應用;通富微電推出 VISionS平臺,致力于在 HPC、S
132、iP 及存儲領域三大板塊的布局,滿足不同場景的使用需求;華天科技的 3D Matrix 由 TSV、ESiFO、3D SiP 三大封裝技術構成,提供全面靈活的封裝解決方案。中國封測企業順應 Chiplet 趨勢,紛紛推出對應平臺。證券研究報告 請務必閱讀正文后免責條款部分 40 表表 6:中國龍頭封測企業技術布局:中國龍頭封測企業技術布局 資料來源:未來半導體公眾號 3.3、經營行穩致遠經營行穩致遠,傳統封裝或迎來周期拐點,傳統封裝或迎來周期拐點,先進先進封裝封裝受益受益 Chiplet 迎來成長良機迎來成長良機 3.3.1、經營戰略經營戰略不斷不斷成熟,盈利能力持續釋放成熟,盈利能力持續釋放
133、 有息負債持續下降,有息負債持續下降,資本結構資本結構不斷不斷改善改善。2019 年之前,公司的有息負債率處于相對較高水平,主要因公司通過負債融資支持業務增長,后經公司改善經營管理模式,有息負債率得到有效控制并逐年降低,目前已處于相對可比公司較低水平。公司的有息負債獲得良好覆蓋,使得公司整體的資本結構更趨穩健與合理,這種穩定性也使公司在行業周期性變化下具有較強的抵御能力。2022 年,公司的財務費用率為 0.37%,處于行業較低的水平,公司能夠以較低的成本獲得資金支持,體現了公司在資本結構管理與成本控制方面具有較強的管理和控制能力。簡介圖例代表性先進平臺公司2.5d:超高密扇出型封裝,能實現3
134、-4層高密度走線,44mm*44mm封裝尺寸,應用主要集中在為對集成度和算力有較高要求的FPGA、CPU、GPU、AI和5G網絡芯片等應用XDFOI長電科技融合了2.5D、3D、MCM-Chiplet等先進封裝技術,基于HPC應用,將同構與異構的Chiplet、FOPos、MCM從不同角度進行先進封裝得到最佳的計算性能;另一方面,發揮SiP產品特色,在雙面上進行高密度集成?;赟iP技術,融合wafer leve、2.5D為客戶提供最佳性能產品。另加上在DramFlash、UFEHBM等存儲方向布局,以適應新一代高頻、高速、大容量存儲芯片的需求。VISionS通富微電該平臺由TSV、eSiFo
135、(Fan-out)、3D SIP三大封裝技術構成。TSV技術,主要應用于影像傳感器的封裝;eSiFo是華天獨有的硅基扇封裝;3D SiP是基于eSiFO結合TSV技術,開發了eSinC技術。3D Matrix華天科技證券研究報告 請務必閱讀正文后免責條款部分 41 圖圖 66:有息負債率:有息負債率同業對比同業對比(%)圖圖 67:財務費用率同業對比(財務費用率同業對比(%)資料來源:Wind,國海證券研究所 資料來源:Wind,國海證券研究所 2019 年后盈利能力快步增長,周期下行階段也體現出盈利韌性年后盈利能力快步增長,周期下行階段也體現出盈利韌性。2019 年后,隨著行業景氣度提升以及
136、公司產品結構的優化升級,公司步入了利潤增長的快車道,2022 年全年,公司實現扣非后歸母凈利潤 28.30 億元,創歷史新高。進入 2023年,盡管下游需求疲軟,稼動率下降,可比公司已處于扣非后凈虧損狀態,但公司仍保持穩定盈利。從 ROE 來看,公司于 2019 年后實現了 ROE 的快速提升,達到同行業較高水平,主要得益于公司盈利能力的顯著提高,體現出較強的資本回報能力。圖圖 68:扣非后歸母凈利潤:扣非后歸母凈利潤同業對比(億元)同業對比(億元)圖圖 69:ROE 同業對比(同業對比(%)資料來源:Wind,國海證券研究所 資料來源:Wind,國海證券研究所 初期投入帶來收獲期,資本支出效
137、率持續提升初期投入帶來收獲期,資本支出效率持續提升。在 2011 年至 2022 年期間,公司的資本支出率(Capex/營業收入)總體下降,目前相較于可比公司處于較低水平。這主要是因為公司在較早時期進行了較大規模的資本投入,為后續收入規??焖僭鲩L奠定了基礎。盡管資本支出的絕對值仍高于可比公司,但隨著公司營業收入的穩步擴張,資本支出率已經有所下降。同時,每單位資本支出帶來的營業收入0%10%20%30%40%50%60%70%2012-03-012012-12-012013-09-012014-06-012015-03-012015-12-012016-09-012017-06-012018-0
138、3-012018-12-012019-09-012020-06-012021-03-012021-12-012022-09-01長電科技A公司B公司-101234562012-12-012013-09-012014-06-012015-03-012015-12-012016-09-012017-06-012018-03-012018-12-012019-09-012020-06-012021-03-012021-12-012022-09-01長電科技A公司B公司-20-15-10-505101520253035長電科技A公司B公司-10-5051015202015-12-012016-05-0
139、12016-10-012017-03-012017-08-012018-01-012018-06-012018-11-012019-04-012019-09-012020-02-012020-07-012020-12-012021-05-012021-10-012022-03-012022-08-01長電科技A公司B公司證券研究報告 請務必閱讀正文后免責條款部分 42 在增加,資本使用效率也在持續提高,公司的盈利能力有望進一步提高。資本支出率反映了初期投入與后續收獲的變化特征,這也使公司在經濟下行壓力下具有較強的抵御能力。得益于公司較大的規模體量,公司的經營性凈現金流領先可比公司,為公司發展提
140、供充足的先進支持,提高抗風險能力等。圖圖 70:Capex/營業收入比率同業對比(營業收入比率同業對比(%)圖圖 71:CFO 同業對比(億元)同業對比(億元)資料來源:Wind,國海證券研究所 資料來源:Wind,國海證券研究所 3.3.2、先進封裝核心先進封裝核心“玩家玩家”,或將受益,或將受益 Chiplet 需求需求的顯著增長的顯著增長 公司擁有較為全面的封裝技術布局,包含 2.5D/3D 集成、晶圓級封裝及扇出技術、SiP、倒裝、焊線及 MEMS 等,其中行業領先的半導體先進封裝技術有如SiP、WL-CSP、FC、eWLB、PiP、PoP 及 XDFOI系列等,同時公司具有混合信號/
141、射頻集成電路測試和資源優勢,可應用于 5G 通信、高性能計算、消費類、汽車和工業等重要領域。公司在封裝技術方面布局較為全面,可為各個領域的客戶提供高品質的解決方案。圖圖 72:長電科技封裝技術布局:長電科技封裝技術布局 技術平臺技術平臺 解決方案解決方案 下游應用下游應用 2.5/3D2.5/3D 集成集成 高帶寬存儲器、數據中心、移動設備處理器、HPC、人工智能等 晶圓級封裝晶圓級封裝(WLPWLP)與扇)與扇出封裝技術出封裝技術 5G 移動處理器、可穿戴設備 WiFi 路由器及功效、通信基礎設施、車載信息與娛樂系統、通用處理器等-101234562012-12-012013-08-0120
142、14-04-012014-12-012015-08-012016-04-012016-12-012017-08-012018-04-012018-12-012019-08-012020-04-012020-12-012021-08-012022-04-012022-12-01長電科技A公司B公司01020304050607080長電科技A公司B公司證券研究報告 請務必閱讀正文后免責條款部分 43 系統級封裝系統級封裝(SiPSiP)SSD、CPU、GPU、PMIC、互聯模組、APU、FEM、MEMS、射頻功放模組、指紋傳感器德國 倒裝封裝倒裝封裝(FCFC)5G 移動處理器、可穿戴設備、WiF
143、i 路由器及功效、通信基礎設施、車載傳感器、信息與娛樂系統、通用處理器、ADAS、CPU、GPU 等 焊線封裝焊線封裝 5G 移動處理器、WiFi路由器及功效、車載處理器、車載功率器件、存儲器、可穿戴設備、通信基礎設施、音頻處理器等 MEMSMEMS 與傳與傳感器感器 車載壓力傳感器、光學傳感器、激光雷達、MEMS、溫濕度傳感器、物聯網設備、光學傳感器、指紋傳感器等 資料來源:長電科技官網,國海證券研究所 星科金朋星科金朋具有具有 eWLB 量產實力。量產實力。在高性能封裝方面,OSAT 廠的代表封裝技術為 Fan out 和 2.5D 封裝。其中,Fan out 的典型技術為嵌入式晶圓級球柵
144、陣列(embedded wafer level BGA,eWLB),由英飛凌在 2014 年提出,通過專利授權,在日月光、星科金朋(被長電科技收購)、Nanium(被 Amkor 收購)等公司實現規?;慨a。eWLB 助力打破“存儲墻”助力打破“存儲墻”在結構上,eWLB 通過不斷縮減 RDL 線寬(Line,L)/間距(Space,S),由 L/S 5m/5m 遞減至 OSAT 普遍使用的 L/S 2 m/2m;同時,RDL 的層數將繼續增加,最后由微凸點(凸點節距小于 55 m)實現 HBM 和 ASIC 芯片間的高密度互連,打破內存對處理能力的限制。證券研究報告 請務必閱讀正文后免責條款
145、部分 44 圖圖 73:eWLB 封裝技術封裝技術 資料來源:淺析高性能封裝技術的發展項敏 XDFOI 技術為使用高密度技術為使用高密度 RDL、微凸點的、微凸點的高端性能封裝高端性能封裝,兼顧成本,兼顧成本。長電科技的 XDFOI(X Dimention Fan-Out Intergration)技術通過小芯片異構集成技術,在有機重布線堆疊中介層(RDL Stack Interposer,RSI)上,放置一顆或多顆邏輯芯片(CPU/GPU 等),以及 I/O Chiplet 和/或高帶寬內存芯片(HBM)等,形成一顆高集成度的異構封裝體。從而得以實現以 Chiplet 為基礎的架構創新,而最
146、終達到性能和成本的雙重優勢。此項技術可將有機重布線堆疊中介層厚度控制在 50m 以內,微凸點(Bump)中心距為 40m,實現在更薄和更小單位面積內進行高密度的各種工藝集成,達到更高集成度、更強模塊功能和更小封裝尺寸。長電科技長電科技 chiplet 平臺平臺 XDFOI 已實現量產已實現量產。經過持續研發與客戶產品驗證,長電科技 XDFOI不斷取得突破,已在高性能計算、人工智能、5G、汽車電子等領域應用,為客戶提供了外形更輕薄、數據傳輸速率更快、功率損耗更小的芯片成品制造解決方案,目前 XDFOI Chiplet 高密度多維異構集成系列工藝已按計劃進入穩定量產階段,同步實現國際客戶 4nm
147、節點多芯片系統集成封裝產品出貨,最大封裝體面積約為 1500mm 的系統級封裝。證券研究報告 請務必閱讀正文后免責條款部分 45 圖圖 74:長電科技:長電科技 Chiplet 平臺及解決方案平臺及解決方案XDFOITM 資料來源:艾邦半導體網公眾號 3.3.3、穩而有變,傳統封裝擁抱周期,先進封裝凸顯成長穩而有變,傳統封裝擁抱周期,先進封裝凸顯成長 先進封裝占比持續提升先進封裝占比持續提升,助力公司增強抗周期能力,助力公司增強抗周期能力。根據公司更新的先進封裝產能統計標準計算,2022 年公司先進封裝產品銷量占比達到 35.14%,較 2021年上漲 5.83 個百分點。這主要得益于公司積極
148、靈活調整產品結構與產能布局,優化產品組合,并加速向需求增長迅速的汽車電子、5G 通信、高性能計算和存儲等高附加值市場滲透。根據 2018 至 2022 年的銷量數據來看,先進封裝市場在周期底部表現出較強的韌性。先進封裝產品銷量占比的進一步提高,有利于增強公司的抗周期能力。圖圖 75:先進封裝及傳統封裝銷量占比先進封裝及傳統封裝銷量占比 圖圖 76:先進封裝及其他銷量同比先進封裝及其他銷量同比變化變化 資料來源:公司公告,國海證券研究所 注:2022年公司提升了先進封裝統計標準 資料來源:公司公告,國海證券研究所 注:2022年公司提升了先進封裝統計標準 據美國半導體產業協會,2022 年,全球
149、半導體銷售額增速為 7.42%,而星科金先進封裝產品銷量占比,35.14%傳統封裝產品銷量占比,64.86%-30-20-1001020304020182019202020212022先進封裝銷量增速(%)傳統封裝銷量增速(%)證券研究報告 請務必閱讀正文后免責條款部分 46 朋的收入增速高達 26.84%,表現優于市場平均水平,主要得益于星科金朋在先進封裝技術方面具有較強的競爭優勢,且海外客戶收入占比較高,使其在市場下行時也呈現出較強的彈性。長電韓國收入增速呈現出較大波動的特征,這主要是因為其主要面向單一大客戶,收入情況與該客戶的業績表現密切相關。在中國的滁州工廠和宿遷工廠的業績與半導體行業
150、周期變化呈現出較高的相關性,當市場需求疲軟時,兩工廠的業績表現也受到較大影響。但當市場出現復蘇時,兩工廠的產能利用率也將顯著提高,業績表現有望優于市場。穩而有變穩而有變先進封裝的韌性先進封裝的韌性,傳統封裝的周期性傳統封裝的周期性。展望未來,在外部市場環境方面,星科金朋的競爭優勢與海外市場占比仍將為其帶來抵御周期性下滑的能力,長電韓國隨客戶新產品的推出,其收入也有望實現增長。而滁州工廠和宿遷工廠在市場需求出現復蘇時,也將有可能表現優于市場平均水平。圖圖 77:各子公司收入增速及半導體銷售額增速對比:各子公司收入增速及半導體銷售額增速對比 資料來源:Wind,國海證券研究所 在當前復雜的國際環境
151、下,先進封裝技術成為中國半導體產業在當前復雜的國際環境下,先進封裝技術成為中國半導體產業的的破局關鍵,長破局關鍵,長電科技也將深度參與其中。電科技也將深度參與其中。技術創新與研發是產業升級的內生動力,長電科技在先進封裝領域的技術突破可以引領產業鏈向更高端環節延伸,實現產業結構的升級優化。這也將提高產業的整體核心競爭力。同時,技術實力強勁的長電科技可以滿足下游客戶日益復雜的需求,有益于提高客戶的依賴度與粘性,穩定公司的產能利用率與訂單,有助于公司在外部環境惡化情況下仍有望保持較好的業績表現。4、盈利預測與評級盈利預測與評級 長電科技經過數十年的發展,通過收購星科金朋、通過定增引入產業股東產業基金
152、和芯電半導體、新管理層等等舉措,實現經營質地的大幅改善,已成長為全球排名前三的 OSAT 廠商。2022 年,雖然全球消費電子市場出現疲軟,但公司業績仍創歷史新高,顯示出較強的抗周期能力。封裝測試行業的景氣度與產能利用率高度相關,也是觀測下游消費電子市場的重要窗口,隨著行業庫存消化接近尾聲,預計公司的產能利用率也會有所回升。展望未來,公司將受益于消費電子市-150%-100%-50%0%50%100%2016201720182019202020212022半導體銷售額同比增速星科金朋長電韓國滁州廠宿遷廠長電先進證券研究報告 請務必閱讀正文后免責條款部分 47 場的復蘇與 HPC、AI 等新興需
153、求的發展,釋放先進封裝測試的增長潛力,公司在技術和客戶資源方面具有較強的競爭優勢,有利于公司抓住相關機遇,實現業績的進一步增長?;炯僭O基本假設:2023 年一季度,下游消費電子需求復蘇不及預期,導致公司業績承壓,對全年收入及盈利水平影響,但隨著周期拐點的顯現和下游需求的復蘇,公司的產能利用率也將有所回升。我們預計 2023 年至 2025 年,收入端,星科金朋同比增速為-10%、27%、10%;長電韓國同比增速為-5%、27%、10%;長電先進同比增速為-15%、15%、5%;滁州廠同比增速為-10%、15%、5%;宿遷廠收入增速為-10%、15%、5%;其他廠區同比增速為-20%、10%、
154、5%。我們預計 2023 年至 2025 年公司綜合毛利率為 14.53%、16.48%、17.20%。表表 7:盈利預測:盈利預測(億元)(億元)子公司子公司 20212021 20222022 2023E2023E 2024E2024E 2025E2025E 星科金朋 106.83 135.50 121.95 154.88 170.36 YoY(%)22%27%-10%27%10%長電韓國 95.33 128.76 122.32 155.35 170.88 YoY(%)18%35%-5%27%10%長電先進 21.04 16.82 14.30 16.44 17.26 YoY(%)5%-20
155、%-15%15%5%滁州廠 13.54 10.74 9.67 11.12 11.67 YoY(%)14%-21%-10%15%5%宿遷廠 12.27 11.13 10.02 11.52 12.10 YoY(%)28%-9%-10%15%5%其他 56.01 34.67 27.74 30.51 32.04 YoY(%)1%-38%-20%10%5%合計收入 305.02 337.62 305.99 379.81 414.31 毛利率(%)18.41%17.04%14.53%16.48%17.20%凈利率(%)9.70%9.57%7.03%8.73%9.98%資料來源:Wind,國海證券研究所 我
156、們預計公司 2023 年、2024 年、2025 年營業收入分別為 305.99 億元、379.81億元、414.31 億元,歸母凈利潤分別為 21.52 億元、33.16 億元、41.34 億元,2023 年 6 月 12 日市值為 580.49 億元,對應 PE 為 26.97x、17.51x、14.04x,看好公司作為龍頭企業在周期復蘇的盈利彈性以及先進封裝的成長性,首次覆蓋,給予“買入”評級。5、風險提示風險提示 1)消費電子恢復不及預期的風險:消費電子仍占據半導體下游終端需求較大比例,下游消費電子終端需求主要涉及手機、筆記本電腦、平板電腦等產品,同時如 5G、AI、物聯網等應用將會改
157、變消費電子市場的格局,如消費電子新產品不證券研究報告 請務必閱讀正文后免責條款部分 48 能滿足消費者日益變化的需求,導致景氣度恢復不及預期,或將影響公司訂單水平;2)新興市場發展及下游需求不及預期的風險:公司下游同樣涉及 HPC、汽車電子等新興市場,如果新興市場的發展不及預期,或者下游客戶的需求不如預期,或將影響公司的產品銷售和業務收入;3)先進封裝進程不及預期的風險:盡管長電科技在大力發展先進封裝技術,但在全球封裝產業的競爭中,先進封裝技術更新換代速度非???,且公司面臨日月光、安靠等 OSAT 企業競爭,如果長電科技在研發投入不足,或者研發效果不佳,或將導致其先進制程市占率提升不及預期;4
158、)貿易摩擦及海外市場波動的風險:公司境外收入占主營業務收入比例較大。如果相關國家與中國的貿易摩擦升級,限制進出口或提高關稅,地緣政治緊張等事件可能使面臨供應鏈運輸延遲、客戶流失等風險,如公司產品銷售地區市場下行,可能使公司面臨在此地區銷售額下滑的風險;5)市場競爭加劇風險:近年來,隨著中國半導體產業的發展,眾多企業進入封測行業,公司未來業務發展或將面臨市場競爭加劇的風險,可能導致行業價格水平下降,從而對公司銷售額及利潤率造成一定影響;6)匯率風險:長電海外子公司主要在境外開展經營活動,采用美元作為記賬本位幣,境內母公司以人民幣作為記賬本位幣完成集團財務合并報表,可能會導致集團合并財務報表時存在
159、記賬匯率對報表的折算風險;7)測算僅供參考,以實際為準。證券研究報告 請務必閱讀正文后免責條款部分 49 附表:長電科技盈利預測表 證券代碼:證券代碼:600584 股價:股價:32.62 投資評級:投資評級:買入買入 日期:日期:2023/06/12 財務指標財務指標 2022A 2023E 2024E 2025E 每股指標與估值每股指標與估值 2022A 2023E 2024E 2025E 盈利能力盈利能力 每股指標每股指標 ROE 13%8%11%12%EPS 1.82 1.21 1.86 2.32 毛利率 17%15%16%17%BVPS 13.85 15.06 16.92 19.24
160、 期間費率 4%4%4%3%估值估值 銷售凈利率 10%7%9%10%P/E 12.66 26.97 17.51 14.04 成長能力成長能力 P/B 1.66 2.17 1.93 1.70 收入增長率 11%-9%24%9%P/S 1.21 1.90 1.53 1.40 利潤增長率 9%-33%54%25%營運能力營運能力 利潤表(百萬元)利潤表(百萬元)2022A 2023E 2024E 2025E 總資產周轉率 0.86 0.73 0.84 0.86 營業收入營業收入 33762 30599 37981 41431 應收賬款周轉率 9.15 7.28 7.01 8.46 營業成本 280
161、10 26153 31723 34306 存貨周轉率 10.71 9.61 8.67 11.18 營業稅金及附加 90 79 101 109 償債能力償債能力 銷售費用 184 167 237 237 資產負債率 37%36%33%29%管理費用 900 892 1214 1212 流動比 1.28 1.27 1.40 1.68 財務費用 126 86-28-48 速動比 0.96 0.96 1.02 1.36 其他費用/(-收入)1313 1190 1493 1617 營業利潤營業利潤 3246 2338 3598 4392 資產負債表(百萬元)資產負債表(百萬元)2022A 2023E 2
162、024E 2025E 營業外凈收支 46 0 0 0 現金及現金等價物 6775 7181 7387 12567 利潤總額利潤總額 3291 2338 3598 4392 應收款項 3689 4204 5416 4900 所得稅費用 60 186 282 258 存貨凈額 3152 3185 4380 3707 凈利潤凈利潤 3231 2152 3316 4134 其他流動資產 527 524 644 573 少數股東損益 0 0 0 0 流動資產合計流動資產合計 14143 15094 17827 21748 歸屬于母公司凈利潤歸屬于母公司凈利潤 3231 2152 3316 4134 固定
163、資產 19517 20874 21596 21236 在建工程 807 743 692 653 現金流量表(百萬元)現金流量表(百萬元)2022A 2023E 2024E 2025E 無形資產及其他 4175 4225 4233 4253 經營活動現金流經營活動現金流 6012 8147 7597 11918 長期股權投資 765 696 612 559 凈利潤 3231 2152 3316 4134 資產總計資產總計 39408 41632 44961 48450 少數股東權益 0 0 0 0 短期借款 1174 622 346 208 折舊攤銷 3793 5050 5724 6303 應付
164、款項 4973 6344 6955 7217 公允價值變動 37 0 0 0 預收帳款 0 0 0 0 營運資金變動-1142 892-1426 1566 其他流動負債 4887 4952 5444 5488 投資活動現金流投資活動現金流 -5358-5067-7249-6246 流動負債合計流動負債合計 11033 11918 12745 12913 資本支出-3817-6315-6336-5840 長期借款及應付債券 2721 1947 1173 399 長期投資-1630 1201-980-481 其他長期負債 1010 972 933 894 其他 89 48 68 75 長期負債合計
165、長期負債合計 3732 2919 2106 1293 籌資活動現金流籌資活動現金流 -1048-1537-1201-1013 負債合計負債合計 14765 14837 14850 14206 債務融資 163-1365-1089-951 股本 1780 1780 1780 1780 權益融資 0 0 0 0 股東權益 24643 26795 30110 34244 其它-1212-172-112-62 負債和股東權益總計負債和股東權益總計 39408 41632 44961 48450 現金凈增加額現金凈增加額 -310 1542-853 4658 資料來源:Wind 資訊、國海證券研究所 國
166、海證券股份有限公司 國海證券研究所 請務必閱讀正文后免責條款部分【電子小組介紹】【電子小組介紹】葛星甫,男,上海財經大學碩士,國海證券電子行業首席分析師,研究方向為電子,證書編號:S0350522100001。2 年買方 TMT 研究經驗,曾任職于華創證券研究所,歷任電子研究員、半導體行業研究主管。2021 年新財富電子行業第五名團隊核心成員,2022 年新財富電子行業第三名團隊核心成員,2022 年加入國海證券研究所,擔任電子組首席分析師?!痉治鰩煶兄Z】【分析師承諾】葛星甫,本報告中的分析師均具有中國證券業協會授予的證券投資咨詢執業資格并注冊為證券分析師,以勤勉的職業態度,獨立,客觀的出具本
167、報告。本報告清晰準確的反映了分析師本人的研究觀點。分析師本人不曾因,不因,也將不會因本報告中的具體推薦意見或觀點而直接或間接收取到任何形式的補償?!緡WC券投資評級標準】【國海證券投資評級標準】行業投資評級 推薦:行業基本面向好,行業指數領先滬深 300 指數;中性:行業基本面穩定,行業指數跟隨滬深 300 指數;回避:行業基本面向淡,行業指數落后滬深 300 指數。股票投資評級 買入:相對滬深 300 指數漲幅 20%以上;增持:相對滬深 300 指數漲幅介于 10%20%之間;中性:相對滬深 300 指數漲幅介于-10%10%之間;賣出:相對滬深 300 指數跌幅 10%以上?!久庳熉暶鳌?/p>
168、【免責聲明】本報告的風險等級定級為R3,僅供符合國海證券股份有限公司(簡稱“本公司”)投資者適當性管理要求的的客戶(簡稱“客戶”)使用。本公司不會因接收人收到本報告而視其為客戶??蛻艏?或投資者應當認識到有關本報告的短信提示、電話推薦等只是研究觀點的簡要溝通,需以本公司的完整報告為準,本公司接受客戶的后續問詢。本公司具有中國證監會許可的證券投資咨詢業務資格。本報告中的信息均來源于公開資料及合法獲得的相關內部外部報告資料,本公司對這些信息的準確性及完整性不作任何保證,不保證其中的信息已做最新變更,也不保證相關的建議不會發生任何變更。本報告所載的資料、意見及推測僅反映本公司于發布本報告當日的判斷,
169、本報告所指的證券或投資標的的價格、價值及投資收入可能會波動。在不同時期,本公司可發出與本報告所載資料、意見及推測不一致的報告。報告中的內容和意見僅供參考,在任何情況下,本報告中所表達的意見并不構成對所述證券買賣的出價和征價。本公司及其本公司員工對使用本報告及其內容所引發的任何直接或間接損失概不負責。本公司或關聯機構可能會持有報告中所提到的公司所發行的證券頭寸并進行交易,還可能為這些公司提供或爭取提供投資銀行、財務顧問或者金融產品等服務。本公司在知曉范圍內依法合規地履行披露義務?!撅L險提示】【風險提示】市場有風險,投資需謹慎。投資者不應將本報告為作出投資決策的唯一參考因素,亦不應認為本報告可以取
170、代自己的判斷。在決定投資前,如有需要,投資者務必向本公司或其他專業人士咨詢并謹慎決策。在任何情況下,本報告中的信息或所表述的意見均不構成對任何人的投資建議。投資者務必注意,其據此做出的任何投資決策與本國海證券股份有限公司 國海證券研究所 請務必閱讀正文后免責條款部分 公司、本公司員工或者關聯機構無關。若本公司以外的其他機構(以下簡稱“該機構”)發送本報告,則由該機構獨自為此發送行為負責。通過此途徑獲得本報告的投資者應自行聯系該機構以要求獲悉更詳細信息。本報告不構成本公司向該機構之客戶提供的投資建議。任何形式的分享證券投資收益或者分擔證券投資損失的書面或口頭承諾均為無效。本公司、本公司員工或者關聯機構亦不為該機構之客戶因使用本報告或報告所載內容引起的任何損失承擔任何責任?!距嵵芈暶鳌俊距嵵芈暶鳌勘緢蟾姘鏅鄽w國海證券所有。未經本公司的明確書面特別授權或協議約定,除法律規定的情況外,任何人不得對本報告的任何內容進行發布、復制、編輯、改編、轉載、播放、展示或以其他任何方式非法使用本報告的部分或者全部內容,否則均構成對本公司版權的侵害,本公司有權依法追究其法律責任。