《集成電路封裝行業走進“芯”時代系列深度之六十七“2.5D、3D封裝”:技術發展引領產業變革向高密度封裝時代邁進-230921(125頁).pdf》由會員分享,可在線閱讀,更多相關《集成電路封裝行業走進“芯”時代系列深度之六十七“2.5D、3D封裝”:技術發展引領產業變革向高密度封裝時代邁進-230921(125頁).pdf(125頁珍藏版)》請在三個皮匠報告上搜索。
1、證券研究報告證券研究報告本報告僅供華金證券本報告僅供華金證券客戶客戶中的專業投資者參考請仔細閱讀在本報告尾部的重要法律聲明中的專業投資者參考請仔細閱讀在本報告尾部的重要法律聲明技術發展引領產業變革,向高密度封裝時代邁進技術發展引領產業變革,向高密度封裝時代邁進集成電路封裝/行業深度報告領先大市-A(首次)集成電路封裝/行業深度報告領先大市-A(首次)分析師:孫遠峰 S0910522120001分析師:王海維 S0910523020005分析師:王臣復 S09105230200062023年09月21日華金證券電子團隊一走進“芯”時代系列深度之六十七“2.5D/3D封裝”華金證券電子團隊一走進“
2、芯”時代系列深度之六十七“2.5D/3D封裝”2請仔細閱讀在本報告尾部的重要法律聲明核心觀點核心觀點u 打破打破ICIC發展限制,向高密度封裝時代邁進。發展限制,向高密度封裝時代邁進。集成電路封裝是指將制備合格芯片、元件等裝配到載體上,采用適當連接技術形成電氣連接,安裝外殼,構成有效組件的整個過程,封裝主要起著安放、固定、密封、保護芯片,以及確保電路性能和熱性能等作用。先進封裝技術通過采用更緊湊、更高級設計和制程技術,可提供更高集成度,更小尺寸,更高性能及更低能耗芯片。通過將多個芯片堆疊,在顯著提高集成度及性能時,降低空間需求。在性能與能耗上,先進封裝通過優化設計與制程,可大幅提高信號傳輸速度
3、,降低功耗。在制程技術上,先進封裝采用如微細化焊球、超低k材料等創新技術,使得封裝電氣性能及散熱性能有顯著提升。未來封裝各類間距將會進一步下降,Bump I/0間距將會縮小至50-40m之間,重布層線寬間距將至2/2m,高密度封裝時代漸行漸近。u 橫向連接橫向連接/縱向堆疊奠定先進封裝技術基石。(縱向堆疊奠定先進封裝技術基石。(1 1)倒裝:)倒裝:在I/O底板上沉積錫鉛球,將芯片翻轉加熱,利用熔融錫鉛球與陶瓷機板相結合來替換傳統打線鍵合;(2 2)重新布線)重新布線(RDL)(RDL):將原來設計的IC線路接點位置(I/O pad),通過晶圓級金屬布線制程和凸塊制程改變其接點位置,使IC能適
4、用于不同的封裝形式;(3 3)晶圓級封裝:)晶圓級封裝:先在整片晶圓上同時對眾多芯片進行封裝、測試,最后切割成單個器件,并直接貼裝到基板或PCB上,生產成本大幅降低,其中FI-WLP具有真正裸片尺寸的顯著特點,通常用于低輸入/輸出(I/O)數量(一般小于400)和較小裸片尺寸工藝當中;FO-WLP初始用于將獨立的裸片重新組裝或重新配置到晶圓工藝中,并以此為基礎,通過批量處理、構建和金屬化結構,Fan-Out的Bump可以長到Die外部,封裝后IC也較Die面積更大(1.2倍最大)。(4 4)TSVTSV:TSV貫穿2.5D/3D封裝應用,TSV生產流程涉及到深孔刻蝕、PVD、CVD、銅填充、微
5、凸點及電鍍、清洗、減薄、鍵合等二十余種設備,其中深孔刻蝕、氣相沉積、銅填充、CMP去除多余金屬、晶圓減薄、晶圓鍵合等工序涉及的設備最為關鍵。在2.5D封裝中TSV充當多顆裸片和電路板之間橋梁,其中CoWoS為2.5D封裝中最突出代表,在3D中TSV用于堆疊,HBM為3D封裝最典型應用。(5 5)混合鍵合:)混合鍵合:HB技術簡化3D堆疊布線層,可實現更高互聯密度HB技術,且可直接省略再布線,使設計難度降低,避免再布線及倒裝回流焊可提高可靠性。(6 6)板級埋入式封裝:)板級埋入式封裝:將帶有多層導電金屬互連的超薄硅片埋入有機封裝基板的最上層,通過焊球與倒裝芯片的連接,以實現兩個或多個芯片之間的
6、局部高密度互連,與臺積電的CoWoS-S封裝相比,EMIB封裝既不需要TSV工也不需要Si中介層,因此其具有封裝良率高、設計簡單、成本更低等優點。3請仔細閱讀在本報告尾部的重要法律聲明核心觀點核心觀點u 材料與設備任重道遠,制造與材料與設備任重道遠,制造與IDMIDM廠商入駐先進封裝,開辟中道工藝。廠商入駐先進封裝,開辟中道工藝。從競爭格局來看,各類半導體封裝材料市場集中度較高。日本廠商在各類封裝材料領域占據主導地位,部分中國大陸廠商已躋身前列(引線框架、包封材料),成功占據一定市場份額。在國產替代方面,根據頭豹研究院數據,中國半導體封裝材料整體國產化率約30%,其中引線框架、鍵合金屬絲的國產
7、替化率最高,分別達到40%和30%,而陶瓷封裝材料、芯片粘結材料與封裝基板等材料國產化率僅5%-10%。先進封裝處于晶圓制造與封測中的交叉區域。先進封裝要求在晶圓劃片前融入封裝工藝步驟,具體包括應用晶圓研磨薄化、線路重排(RDL)、凸塊制作(Bumping)及三維硅通孔(TSV)等工藝技術。先進封裝更多在晶圓層面上進行,采用前道制造方式來制作后道連接電路,工藝流程的相似性使得兩者使用設備也大致相同,其中倒裝就要采用植球、電鍍、光刻、蝕刻等前道制造的工藝,2.5D/3D封裝TSV技術就需要光刻機、涂膠顯影設備、濕法刻蝕設備等,從而使得晶圓制造與封測前后道制程中出現中道交叉區域。u 芯粒芯粒IPI
8、P復用延續摩爾定律,新建晶圓廠與產線擴產共促封測需求。復用延續摩爾定律,新建晶圓廠與產線擴產共促封測需求。Chiplet技術背景下,可將大型單片芯片劃分為多個相同或者不同小芯片,這些小芯片可以使用相同或者不同工藝節點制造,再通過跨芯片互聯及封裝技術進行封裝級別集成,降低成本的同時獲得更高的集成度。Chiplet優勢:(1)接力摩爾定律,持續推進經濟效應;(2)Chiplet助力良率及晶圓使用面積顯著性提升;(3)較SoC綜合成本下降;(4)芯粒IP化,設計周期及成本顯著降低。全球8寸、12寸晶圓產能有望持續提升,直接帶動封裝需求;Fabless縱向拓展封測領域,有望帶動先進封裝多元發展;各大封
9、測廠積極擴產,為新一輪應用需求增長做好準備。u 投資建議:投資建議:ChatGPT依賴大模型、大數據、大算力支撐,其出現標志著通用人工智能的起點及強人工智能的拐點,未來算力將引領下一場數字革命,xPU等高端芯片需求持續增長。先進封裝為延續摩爾定理提升芯片性能及集成度提供技術支持,隨著Chiplet封裝概念持續推進,先進封裝各產業鏈(封裝/設備/材料/IP等)將持續受益。u 建議關注:建議關注:通富微電、長電科技、華天科技、芯原股份、北方華創、華峰測控、華海誠科、鼎龍股份、華封科技(未上市)u 風險提示:風險提示:行業與市場波動風險;國際貿易摩擦風險;新技術、新工藝、新產品無法如期產業化風險;主
10、要原材料供應及價格變動風險等。4請仔細閱讀在本報告尾部的重要法律聲明目錄目錄010204030506先進封裝:打破先進封裝:打破ICIC發展限制,向高密度封裝時代邁進發展限制,向高密度封裝時代邁進技術分析:橫向連接技術分析:橫向連接/縱向堆疊奠定先進封裝技術基石縱向堆疊奠定先進封裝技術基石產業鏈:材料與設備任重道遠,先進封裝粲然可觀產業鏈:材料與設備任重道遠,先進封裝粲然可觀行業現狀:制造與行業現狀:制造與IDMIDM廠商入駐先進封裝,開辟中道工藝廠商入駐先進封裝,開辟中道工藝應用與需求:芯粒應用與需求:芯粒IPIP復用延續摩爾定律,新建晶圓廠與復用延續摩爾定律,新建晶圓廠與產線擴產共促封測需
11、求產線擴產共促封測需求相關標的相關標的07風險提示風險提示 5請仔細閱讀在本報告尾部的重要法律聲明分目錄分目錄01先進封裝:打破先進封裝:打破ICIC發展限制,向高密度封裝時代邁進發展限制,向高密度封裝時代邁進1.1 1.1 封裝:保護芯片及確保電路性能封裝:保護芯片及確保電路性能1.2 1.2 發展歷程:迎來以發展歷程:迎來以3D3D封裝為代表高密度封裝時代封裝為代表高密度封裝時代1.3 1.3 區別:連接芯片方式劃分傳統與先進區別:連接芯片方式劃分傳統與先進1.4 1.4 工藝流程拆解:以成型為時間點劃分封裝前后段操作工藝流程拆解:以成型為時間點劃分封裝前后段操作1.5 1.5 傳統封裝傳
12、統封裝 Vs.Vs.先進封裝先進封裝1.6 1.6 意義:打破存儲意義:打破存儲/面積面積/功能墻等集成電路發展限制功能墻等集成電路發展限制1.7 1.7 趨勢:各間距持續縮小趨勢:各間距持續縮小1.8 1.8 市場市場1.8.1 1.8.1 營收逐季改善,營收逐季改善,20242024年有望迎來全面反彈年有望迎來全面反彈1.8.2 1.8.2 全球集成電路月度銷售額拐點出現,有望帶動封裝市場全球集成電路月度銷售額拐點出現,有望帶動封裝市場 6請仔細閱讀在本報告尾部的重要法律聲明分目錄分目錄02技術分析:橫向連接技術分析:橫向連接/縱向堆疊奠定先進封裝技術基石縱向堆疊奠定先進封裝技術基石2.1
13、 2.1 倒裝倒裝2.1.1 2.1.1 倒裝(倒裝(FCFC)=貼裝貼裝 +引線鍵合引線鍵合2.1.2 Bumping2.1.2 Bumping為晶圓制造環節延伸,為為晶圓制造環節延伸,為FCFC前提前提2.2 2.2 重新布線層(重新布線層(RDLRDL):改變):改變ICIC線路接點位置線路接點位置2.3 2.3 晶圓級封裝(晶圓級封裝(WLPWLP)2.3.1 2.3.1 在晶圓上對芯片進行操作在晶圓上對芯片進行操作2.3.2 WLP2.3.2 WLP依據芯片依據芯片/封裝大小劃分扇入封裝大小劃分扇入/出出2.3.3 WLP2.3.3 WLP依據依據Chip/RDLChip/RDL工藝
14、先后類別進一步劃分工藝先后類別進一步劃分2.4 2.4 硅通孔(硅通孔(TSVTSV)2.4.1 TSV2.4.1 TSV貫穿貫穿2.5D/3D2.5D/3D封裝封裝2.4.2 2.5D2.4.2 2.5D封裝封裝TSVTSV充當多顆裸片和電路板之間橋梁充當多顆裸片和電路板之間橋梁2.4.3 TSV2.4.3 TSV在在2.5D2.5D封裝中應用實例封裝中應用實例CoWoSCoWoS2.4.4 3D2.4.4 3D封裝中封裝中TSVTSV用于堆疊用于堆疊2.4.5 TSV2.4.5 TSV在在3D3D封裝中應用實例封裝中應用實例HBMHBM2.4.6 2.5D2.4.6 2.5D封裝封裝 Vs
15、.3DVs.3D封裝封裝2.5 2.5 混合鍵合(混合鍵合(HBHB)2.5.1 2.5.1 混合鍵合利用范德華力實現混合鍵合利用范德華力實現2.5.2 2.5.2 混合鍵合應用于混合鍵合應用于D2WD2W2.6 2.6 四大連接技術對比四大連接技術對比2.7 2.7 板級埋入式封裝:無需板級埋入式封裝:無需SiSi中介層及中介層及TSVTSV工藝工藝 7請仔細閱讀在本報告尾部的重要法律聲明分目錄分目錄03產業鏈:材料與設備任重道遠,先進封裝粲然可觀產業鏈:材料與設備任重道遠,先進封裝粲然可觀3.1 3.1 封裝材料:各類半導體封裝材料集中度較高,國產替代呈現兩極分化封裝材料:各類半導體封裝材
16、料集中度較高,國產替代呈現兩極分化3.1.1 3.1.1 高端基板高端基板:先進封裝帶動高端基板需求,國產化亟待突破先進封裝帶動高端基板需求,國產化亟待突破3.1.2 3.1.2 環氧塑封料環氧塑封料:傳統封裝中國產化較高,先進封裝中外資廠商仍處壟斷地位傳統封裝中國產化較高,先進封裝中外資廠商仍處壟斷地位3.2 3.2 封裝設備封裝設備3.2.1 3.2.1 封裝設備:封測設備占比有望提升至封裝設備:封測設備占比有望提升至19%19%,貼片機為核心設備,貼片機為核心設備3.2.2 3.2.2 先進封裝設備:晶圓劃片前融入封裝工藝步驟,前道設備需求加劇先進封裝設備:晶圓劃片前融入封裝工藝步驟,前
17、道設備需求加劇3.33.3先進封裝先進封裝3.3.1 3.3.1 封裝市場有望超封裝市場有望超1,3001,300億美元,先進封裝占比超億美元,先進封裝占比超50%50%3.3.2 20273.3.2 2027年先進封裝市場規模有望達年先進封裝市場規模有望達650650億美元億美元3.3.3 3.3.3 代工廠搶占先進封裝市場份額,代工廠搶占先進封裝市場份額,6 6大廠商加工先進封裝晶圓超大廠商加工先進封裝晶圓超80%80%3.3.4 OSAT3.3.4 OSAT競爭格局穩定,日月光集團、安靠科技、長電穩居前三甲競爭格局穩定,日月光集團、安靠科技、長電穩居前三甲 8請仔細閱讀在本報告尾部的重要
18、法律聲明分目錄分目錄04行業現狀:制造與行業現狀:制造與IDMIDM廠商入駐先進封裝,開辟中道工廠商入駐先進封裝,開辟中道工藝藝4.1 4.1 臺積電臺積電4.1.1 4.1.1 前段(前段(CoWCoW/WoW)+/WoW)+后段(后段(oSoS/InFOInFO)=3D Fabric)=3D Fabric4.1.2 4.1.2 SoICSoIC為先進封裝前段工序,由為先進封裝前段工序,由WoWWoW及及CoWCoW技術構成技術構成4.1.3 4.1.3 InFOInFO=集成集成+扇出封裝扇出封裝4.2 4.2 三星三星4.2.1 4.2.1 I-Cube2.5D=I-Cube S+I-C
19、ube E+H-CubeI-Cube2.5D=I-Cube S+I-Cube E+H-Cube4.2.2 4.2.2 通過垂直堆疊方式大幅節省芯片上空間通過垂直堆疊方式大幅節省芯片上空間4.3 Intel4.3 Intel4.3.1 4.3.1 嵌入式多芯片互連橋為嵌入式多芯片互連橋為Intel2.5DIntel2.5D封裝亮點封裝亮點4.3.2 4.3.2 FoverosFoveros將不同工藝、結構、用途芯片整合將不同工藝、結構、用途芯片整合4.4 4.4 日月光集團:扇出型基板上晶片封裝(日月光集團:扇出型基板上晶片封裝(FOCoSFOCoS)4.5 4.5 安靠科技:深度布局安靠科技:
20、深度布局TSV-lessTSV-less工藝(工藝(FOWLP,ChipFOWLP,Chip last,Die face downlast,Die face down)4.6 4.6 長電科技:長電科技:TSV-lessTSV-less路線實現高性價比先進封裝路線實現高性價比先進封裝4.7 4.7 對比:先進封裝領域內國內技術與頭部廠商差距較小對比:先進封裝領域內國內技術與頭部廠商差距較小 9請仔細閱讀在本報告尾部的重要法律聲明分目錄分目錄05應用與需求:芯粒應用與需求:芯粒IPIP復用延續摩爾定律,新建晶圓廠與產線擴產共促封測需求復用延續摩爾定律,新建晶圓廠與產線擴產共促封測需求5.1 Ch
21、iplet5.1 Chiplet概念概念5.1.1 5.1.1 大道至簡,芯粒大道至簡,芯粒IPIP復用構建高集成度芯片復用構建高集成度芯片5.1.2 5.1.2 為異構為異構/異質集成實現夯實技術基礎異質集成實現夯實技術基礎5.2 5.2 發展:發展:ChipletChiplet進入成長期,標準逐漸統一進入成長期,標準逐漸統一5.3 Chiplet5.3 Chiplet優勢優勢5.3.1 5.3.1 接力摩爾定律,持續推進經濟效應接力摩爾定律,持續推進經濟效應5.3.2 Chiplet5.3.2 Chiplet助力良率及晶圓使用面積顯著性提升助力良率及晶圓使用面積顯著性提升5.3.3 5.3
22、.3 較較SoCSoC綜合成本下降綜合成本下降5.3.4 5.3.4 芯粒芯粒IPIP化,設計周期及成本顯著降低化,設計周期及成本顯著降低5.4 5.4 應用應用5.4.1 5G/5.4.1 5G/物聯網物聯網/高性能運算高性能運算/智能駕駛智能駕駛/XR/XR等帶動先進封等帶動先進封裝需求裝需求5.4.2 5.4.2 手機與消費領域為先進封裝最大應用領域手機與消費領域為先進封裝最大應用領域5.4.3 5.4.3 先進封裝在智能手機多芯片先進封裝在智能手機多芯片/傳感器得到應用傳感器得到應用5.4.4 5.4.4 智能駕駛級別上升將帶動汽車領域先進封裝需求智能駕駛級別上升將帶動汽車領域先進封裝
23、需求5.4.5 5.4.5 高效節能芯片需求帶動高性能計算領域先進封裝加速滲高效節能芯片需求帶動高性能計算領域先進封裝加速滲透透5.4.6 5.4.6 算力為實現算力為實現AIAI產業化核心,高端芯片需求帶動先進封裝產業化核心,高端芯片需求帶動先進封裝增長增長5.4.7 AIGC5.4.7 AIGC多行業滲透,間接提高先進封裝市場增量多行業滲透,間接提高先進封裝市場增量5.5 5.5 需求需求5.5.1 5.5.1 中國晶圓廠獨占鰲頭,預計至中國晶圓廠獨占鰲頭,預計至20242024年底建立年底建立5050座大型晶座大型晶圓廠圓廠5.5.2 5.5.2 全球全球8 8寸、寸、1212寸晶圓產能
24、有望持續提升,直接帶動封裝需寸晶圓產能有望持續提升,直接帶動封裝需求求5.5.3 Fabless5.5.3 Fabless縱向拓展封測領域,有望帶動先進封裝多元發展縱向拓展封測領域,有望帶動先進封裝多元發展5.5.4 5.5.4 各大封測廠積極擴產,為新一輪應用需求增長做好準備各大封測廠積極擴產,為新一輪應用需求增長做好準備 10請仔細閱讀在本報告尾部的重要法律聲明分目錄分目錄06相關標的相關標的6.1 6.1 通富微電:通富微電:AMDAMD深度綁定,先進封裝前景可期深度綁定,先進封裝前景可期6.2 6.2 長電科技:全球領先的集成電路制造和技術服務提供商長電科技:全球領先的集成電路制造和技
25、術服務提供商6.3 6.3 華天科技:以華天科技:以3D Matrix3D Matrix平臺為基礎,構建先進封裝技術地基平臺為基礎,構建先進封裝技術地基6.4 6.4 芯原股份:全球領先的芯原股份:全球領先的IPIP授權服務商授權服務商6.5 6.5 北方華創:多設備應用于先進封裝領域北方華創:多設備應用于先進封裝領域6.6 6.6 華峰測控:產品華峰測控:產品+技術技術+客戶三大優勢,鞏固國內測試機龍頭地位客戶三大優勢,鞏固國內測試機龍頭地位6.7 6.7 鼎龍股份:鼎龍股份:CMP+CMP+先進封裝材料雙布局先進封裝材料雙布局6.8 6.8 華海誠科:聚焦于封裝材料,部分先進封裝材料已通過
26、客戶驗證華海誠科:聚焦于封裝材料,部分先進封裝材料已通過客戶驗證6.9 6.9 華封科技(未上市):聚焦先進封裝設備領域高端裝備制造商華封科技(未上市):聚焦先進封裝設備領域高端裝備制造商07風險提示風險提示 11請仔細閱讀在本報告尾部的重要法律聲明分目錄分目錄01先進封裝:打破先進封裝:打破ICIC發展限制,向高密度封裝時代邁進發展限制,向高密度封裝時代邁進1.1 1.1 封裝:保護芯片及確保電路性能封裝:保護芯片及確保電路性能1.2 1.2 發展歷程:迎來以發展歷程:迎來以3D3D封裝為代表高密度封裝時代封裝為代表高密度封裝時代1.3 1.3 區別:連接芯片方式劃分傳統與先進區別:連接芯片
27、方式劃分傳統與先進1.4 1.4 工藝流程拆解:以成型為時間點劃分封裝前后段操作工藝流程拆解:以成型為時間點劃分封裝前后段操作1.5 1.5 傳統封裝傳統封裝 Vs.Vs.先進封裝先進封裝1.6 1.6 意義:打破存儲意義:打破存儲/面積面積/功能墻等集成電路發展限制功能墻等集成電路發展限制1.7 1.7 趨勢:各間距持續縮小趨勢:各間距持續縮小1.8 1.8 市場市場1.8.1 1.8.1 營收逐季改善,營收逐季改善,20242024年有望迎來全面反彈年有望迎來全面反彈1.8.2 1.8.2 全球集成電路月度銷售額拐點出現,有望帶動封裝市場全球集成電路月度銷售額拐點出現,有望帶動封裝市場02
28、040305技術分析:橫向連接技術分析:橫向連接/縱向堆疊奠定先進封裝技術基石縱向堆疊奠定先進封裝技術基石產業鏈:材料與設備任重道遠,先進封裝粲然可觀產業鏈:材料與設備任重道遠,先進封裝粲然可觀行業現狀:制造與行業現狀:制造與IDMIDM廠商入駐先進封裝,開辟中道工藝廠商入駐先進封裝,開辟中道工藝應用與需求:芯粒應用與需求:芯粒IPIP復用延續摩爾定律,新建晶圓廠與復用延續摩爾定律,新建晶圓廠與產線擴產共促封測需求產線擴產共促封測需求 12請仔細閱讀在本報告尾部的重要法律聲明1.11.1封裝:保護芯片及確保電路性能封裝:保護芯片及確保電路性能資料來源:集成電路產業全書(王陽元)、華金證券研究所
29、u 集成電路封裝是指將制備合格芯片、元件等裝配到載體上,采用適當連接技術形成電氣連接,安裝外殼,構成有效組件的整個過程,封裝主要起著安放、固定、密封、保護芯片,以及確保電路性能和熱性能等作用。u 集成電路封裝一般可以分為芯片級封裝(0級封裝)、元器件級封裝(1級封裝)、板卡級封裝(2級封裝)和整機級封裝(3級封裝)。環境保護機械支撐電源分配信號分配散熱通道封裝封裝功能功能封裝需考慮電源接通,使集成電路芯片與外部電路進行“溝通”,且滿足封裝體內部不同部位電源分配,以優化封裝體內部能源消耗。為電信號減小延遲,布線時應盡量使信號線與芯片互連路徑及通過封裝輸入/輸出(IO)引出路徑優化到最短。封裝結構
30、及材料,對器件的散熱效果起著關鍵作用。對于功率特別大的集成電路,還需考慮附加的降溫措施。集成電路在使用過程中,可能會遇到不同環境,為此,封裝對芯片的環境保護作用是顯而易見。封裝可為集成電路芯片及其他部件提供可靠機械支撐使其適應不同工作環境和條件變化。封裝五大功能解析0 0級封裝級封裝(芯片級)(芯片級)通常芯片級封裝連接方式有引線鍵合(WB)、載帶自動鍵合(TAB)和倒裝焊(FCB)三種。是將一個或多個IC芯片用適當材料封裝起來,這些材料可以是塑料、金屬和陶瓷等,或者是它們的組合。是將以上各類PCB(板或卡)總裝成整機的過程 將IC、電阻、電容、接插件及其他元器件安裝在PCB上的過程。集成電路
31、封裝分級1 1級封裝級封裝(元器件級)(元器件級)2 2級封裝級封裝(板卡級)(板卡級)3 3級封裝級封裝(整機級)(整機級)13請仔細閱讀在本報告尾部的重要法律聲明1.21.2發展歷程:迎來以發展歷程:迎來以3D3D封裝為代表高密度封裝時代封裝為代表高密度封裝時代資料來源:中關村天合寬禁帶半導體技術創新聯盟、華金證券研究所DIPQFPLCCPGAWB BGASOT/STOPQFNWL CSPFC BGA/CSPEmbedded SiPFO WLPFO SiPFO PoP2.5D interposerSiP3D WLP3D ICPOP/PiP引腳線時間1980S1990S2000S2010S2
32、020S技術特點:焊球代替引線,按面積陣列形式分布的表面貼裝。安裝密度:40-60引腳/2優點:解決了多功能、高集成度、高速低功耗、多引線的集成電路芯片的封裝問題。技術特點:在不改變封閉體安裝面積的前提下,在同一個封裝體內于垂直方向疊放兩個以上芯片。超越傳統意義的安裝密度。優點:降低能耗,提高集成度。技術特點:引線代替針腳,引線為翼形或J形,封裝體的尺寸固定而周邊引腳節距根據需要變化。安裝密度:10-50引腳/2技術特點:插孔安裝在PCB上,引腳節距固定,引腳數增加伴隨封裝尺寸的增大。安裝密度:10引腳/2通孔插裝時代表面安裝器件時代面積陣列表面封裝時代高密度封裝時代向高性能、高密度、低成本邁
33、進1970STOTODIPDIPSOPSOPQFPQFPBGABGACSPCSP3D3D堆疊堆疊3D TSV3D TSV 14請仔細閱讀在本報告尾部的重要法律聲明1.31.3區別:連接芯片方式劃分傳統與先進區別:連接芯片方式劃分傳統與先進資料來源:中為咨詢、3M、彬復資本、華金證券研究所IC設計成品晶圓晶圓代工晶圓級封裝(WLP)裸片切割傳統封裝成品芯片切割成品芯片下游客戶可用成品u根據切割與封裝順序劃分:傳統封裝(先從晶圓上分離出單個芯片后再進行封裝);晶圓級封裝(WLP,在晶圓級上進行部分或全部封裝工藝,再切割成單件)。u先進封裝與傳統封裝最大區別在于連接芯片方式先進封裝與傳統封裝最大區別
34、在于連接芯片方式。先進封裝與傳統封裝的最大區別在于連接芯片的方式,先進封裝可在更小空間內實現更高設備密度,并使功能得到擴展。通過硅通孔、橋接器、硅中介層或導線層完成更大規模串聯,從而提高信號輸送速度,減少能耗。晶圓切割晶圓切割背面減薄背面減薄貼片貼片引線鍵合引線鍵合模塑模塑切筋切筋/成型成型終測終測 15請仔細閱讀在本報告尾部的重要法律聲明1.41.4工藝流程拆解:以成型為時間點劃分封裝前后段操作工藝流程拆解:以成型為時間點劃分封裝前后段操作資料來源:集成電路芯片封裝技術(李可為)、華金證券研究所硅片減薄前前段段操操作作后后段段操操作作硅片切割芯片貼裝芯片互連去飛邊毛刺上焊錫切筋成型打碼背面減
35、薄技術主要有磨削、研磨、干式拋光、化學機械平坦工藝、電化學腐蝕、濕法腐蝕、等離子增強化學腐蝕、常壓等離子腐蝕等。切割改進工藝:先切割后減薄(DBG)、減薄切割法(DBT)。兩種方法皆避免或減少減薄引起硅片翹曲及劃片引起芯片邊緣損害。將IC芯片固定于封裝基板或引腳架芯片承載座上的工藝過程,工藝如:1、共晶粘貼法2、焊接粘貼法3、導電膠粘貼法4、玻璃膠粘貼法將芯片焊區與電子封裝外殼的 I/O引線或基板上金屬布線焊區相連接,只有實現芯片與封裝結構電路連接才能發揮已有功能1、打線鍵合技術(WB):超聲波鍵合、熱壓鍵合、熱超聲波鍵合2、載帶自動鍵合技術(TAB)3 3、倒裝芯片鍵合技術(、倒裝芯片鍵合技
36、術(FCBFCB):芯片):芯片焊區于基板焊區直連焊區于基板焊區直連倒裝芯片封裝示意圖使用預型片的共晶芯片粘貼法示意圖先切割后減薄分離工藝示意圖研磨減播工藝的磨輪及其工作示意圖成型技術將芯片與引線框架“包裝”起來。這種成型技術有金屬封裝、塑料封裝、陶瓷封裝等主要工藝:介質去飛邊毛刺、溶劑去飛邊毛刺、水去飛邊毛刺。隨著模具設計改進及嚴格控制注模條件在一些較先進封裝工藝中,已不再進行去飛邊毛刺工序。去飛邊去油去氧化物浸助焊劑熱焊錫清洗烘干切筋工藝:切除框架外引腳之間的堤壩及在框架帶上連在一起的地方成型工藝:將引腳彎成一定的形狀,以適合裝配的需要。打碼就是在封裝模塊的頂面印上去不掉的、字跡清楚的字母
37、和標識,包括制造商的信息國家、器件代碼等,主要是為了識別和跟蹤。16請仔細閱讀在本報告尾部的重要法律聲明1.51.5傳統封裝傳統封裝 Vs.Vs.先進封裝先進封裝資料來源:真空回流焊中科同志、彬復資本、華金證券研究所u 先進封裝技術通過采用更緊湊、更高級設計和制程技術,可提供更高集成度,更小尺寸,更高性能先進封裝技術通過采用更緊湊、更高級設計和制程技術,可提供更高集成度,更小尺寸,更高性能及及更低能耗更低能耗芯片芯片。通過將多個芯片堆疊,在顯著提高集成度及性能時,降低空間需求。在性能與能耗上,先進封裝通過優化設計與制程,可大幅提高信號傳輸速度,降低功耗。在制程技術上,先進封裝采用如微細化焊球、
38、超低k材料等創新技術,使得封裝電氣性能及散熱性能有顯著提升。傳統封裝傳統封裝(以市場規模最大倒裝為例以市場規模最大倒裝為例)先進封裝先進封裝2.5D/3D2.5D/3D封裝封裝WLPWLP扇出型扇出型系統內存帶寬低高中芯片能耗比低高高芯片厚度高中低芯片發熱中高低封裝成本低高中性能低高中形態平面、芯片之間缺乏高速互聯多芯片、異質集成、芯片之間高速互聯簡評受制于摩爾定律,芯片性能提升需要付出巨大的成本,無法滿足新的需求面向高性能領域,代表封裝行業發展方向面向成本敏感市場推出折衷方案 17請仔細閱讀在本報告尾部的重要法律聲明1.61.6意義:打破存儲意義:打破存儲/面積面積/功能墻等集成電路發展限制
39、功能墻等集成電路發展限制資料來源:艾邦半導體網、華金證券研究所u存儲墻:處理器峰值算力每兩年增長3.1倍,而動態存儲器帶寬每兩年增長1.4倍,存儲器發展速度遠落后于處理器,相差1.7倍。近存計算方案為突破“存儲墻”有效解決方案,基于先進封裝,通過超短互連技術,可實現存儲器和處理器之間數據的近距離搬運。u面積墻:當芯片制程相同時,通過增大芯片面積可集成更多晶體管數量,從而提升芯片性能,芯片尺寸受限于光刻機光罩極限。通過先進封裝技術集成多顆芯片是突破芯片“面積墻”一種低成本主流方案。u功能墻:可通過多芯片異質集成技術,將傳感、存儲、計算、通信等不同功能的元器件集成在一起,實現電、磁、熱、力等多物理
40、場的有效融合。集成電路發展限制:功能墻集成電路發展限制:存儲墻集成電路發展限制:面積墻 18請仔細閱讀在本報告尾部的重要法律聲明1.71.7趨勢:各間距持續縮小趨勢:各間距持續縮小u 與傳統封裝相比,先進封裝需要不同設備、材料和工藝,例如新基板材料、光刻工藝、激光鉆孔、CMP和KGD測試。先進封裝參與者投入大量資金開發及引入新技術與材料。先進封裝異構集成將推動半導體創新,提高整體系統性能,同時降低成本,未來3D堆疊間距將會進一步下降,Bump I/0間距將會縮小至40-50微米之間,重布層線寬間距將至2/2微米。資料來源:Yole、華金證券研究所2019-2029先進封裝技術路線圖 19請仔細
41、閱讀在本報告尾部的重要法律聲明1.8 1.8 市場:營收逐季改善,市場:營收逐季改善,20242024年有望迎來全面反彈年有望迎來全面反彈u 受益于先進封裝比例提升及海外客戶復蘇等,環比改善相對明顯,2023Q2預計為業績低點。根據封裝頭部企業指引,下游客戶依舊處于去庫存中,封裝廠商營收逐季改善,2024年有望迎來反彈等成為行業共識,AI相關及通信終端(智能手機及平板)領域將為后續封裝市場提供增長動能。其中,人工智能將成為半導體行業下一個超級周期催化劑,相關高端處理器和AI芯片先進封測需求(對2.5D/3D封裝)有望持續增長。公司公司指引時間指引時間指引詳情指引詳情日月光集團2023Q3封測營
42、收:環比增長4%-9%封測毛利率:環比增長75-100個基點2023全年封測業務預計同比增長13%-15%左右。景氣度客戶晶圓庫存處于初步下降階段,庫存消化可能持續到未來兩個季度或更多,進入24年情況將大幅改善,預計24年將有更好增長。安靠科技2023Q3營收:17.25-18.25億美元毛利率:13.5%-15.5%景氣度Android廠商供應鏈庫存消耗時間不如預期,通信終端有望為公司三季度提供增長動能。力成科技2023Q3營收:第三季度收將優于第二季,下半年營收將優于上半年。景氣度 庫存去化時間不如預期,電動車、面板、電信通訊及AI等創新將引領未來產業轉變。資料來源:各公司官網、華金證券研
43、究所 20請仔細閱讀在本報告尾部的重要法律聲明1.8 1.8 市場:全球集成電路月度銷售額拐點出現,有望帶動封裝市場市場:全球集成電路月度銷售額拐點出現,有望帶動封裝市場u 市場回暖跡象顯現,有望帶動封裝市場增長。市場回暖跡象顯現,有望帶動封裝市場增長。未來,在新興市場和半導體技術發展帶動下,集成電路繼續向著小型化、集成化、低功耗方向發展,附加值更高的先進封裝將得到更多應用。資料來源:SIA、WSTS、隆達智匯、華金證券研究所整理智能手機時代3G4G5G/IoT/汽車電子/AI時代接力拐點出現全球集成電路月度銷售額(億美元)21請仔細閱讀在本報告尾部的重要法律聲明目錄目錄0102040305先
44、進封裝:打破先進封裝:打破ICIC發展限制,向高密度封裝時代邁進發展限制,向高密度封裝時代邁進技術分析:橫向連接技術分析:橫向連接/縱向堆疊奠定先進封裝技術基石縱向堆疊奠定先進封裝技術基石產業鏈:材料與設備任重道遠,先進封裝粲然可觀產業鏈:材料與設備任重道遠,先進封裝粲然可觀行業現狀:制造與行業現狀:制造與IDMIDM廠商入駐先進封裝,開辟中道工藝廠商入駐先進封裝,開辟中道工藝應用與需求:芯粒應用與需求:芯粒IPIP復用延續摩爾定律,新建晶圓廠與復用延續摩爾定律,新建晶圓廠與產線擴產共促封測需求產線擴產共促封測需求2.1 2.1 倒裝倒裝2.1.1 2.1.1 倒裝(倒裝(FCFC)=貼裝貼裝
45、 +引線鍵合引線鍵合2.1.2 Bumping2.1.2 Bumping為晶圓制造環節延伸,為為晶圓制造環節延伸,為FCFC前前提提2.2 2.2 重新布線層(重新布線層(RDLRDL):改變):改變ICIC線路接點位置線路接點位置2.3 2.3 晶圓級封裝(晶圓級封裝(WLPWLP)2.3.1 2.3.1 在晶圓上對芯片進行操作在晶圓上對芯片進行操作2.3.2 WLP2.3.2 WLP依據芯片依據芯片/封裝大小劃分扇入封裝大小劃分扇入/出出2.3.3 WLP2.3.3 WLP依據依據Chip/RDLChip/RDL工藝先后類別進一步劃分工藝先后類別進一步劃分2.4 2.4 硅通孔(硅通孔(T
46、SVTSV)2.4.1 TSV2.4.1 TSV貫穿貫穿2.5D/3D2.5D/3D封裝封裝2.4.2 2.5D2.4.2 2.5D封裝封裝TSVTSV充當多顆裸片和電路板之間橋梁充當多顆裸片和電路板之間橋梁2.4.3 TSV2.4.3 TSV在在2.5D2.5D封裝中應用實例封裝中應用實例CoWoSCoWoS2.4.4 3D2.4.4 3D封裝中封裝中TSVTSV用于堆疊用于堆疊2.4.5 TSV2.4.5 TSV在在3D3D封裝中應用實例封裝中應用實例HBMHBM2.4.6 2.5D2.4.6 2.5D封裝封裝 Vs.3DVs.3D封裝封裝2.5 2.5 混合鍵合(混合鍵合(HBHB)2.
47、5.1 2.5.1 混合鍵合利用范德華力實現混合鍵合利用范德華力實現2.5.2 2.5.2 混合鍵合應用于混合鍵合應用于D2WD2W2.6 2.6 四大連接技術對比四大連接技術對比2.7 2.7 板級埋入式封裝:無需板級埋入式封裝:無需SiSi中介層及中介層及TSVTSV工藝工藝 22請仔細閱讀在本報告尾部的重要法律聲明2.12.1倒裝:倒裝(倒裝:倒裝(FCFC)=貼裝貼裝 +引線鍵合引線鍵合資料來源:SK hynix、華金證券研究所u 倒裝是在I/O底板上沉積錫鉛球,將芯片翻轉加熱,利用熔融錫鉛球與陶瓷機板相結合來替換傳統打線鍵合。倒裝將裸片面朝下,將整個芯片面積與基板直接連接,省掉互聯引
48、線,具備更好的電氣性能。芯片載體(PCB或引線框架)錫球(BGA Type)引線芯片載體(PCB)錫球(BGA Type)正面朝上凸塊(Bump)正面朝下正面引線鍵合引線鍵合VSVS倒裝芯片倒裝芯片引線鍵合與倒裝鍵合的信號傳輸路徑對比引線鍵合與倒裝鍵合示意圖 23請仔細閱讀在本報告尾部的重要法律聲明2.12.1倒裝:倒裝:BumpingBumping為晶圓制造環節延伸,為為晶圓制造環節延伸,為FCFC前提前提資料來源:集成電路芯片封裝技術(李可為)、華進半導體官網、半導體材料與工藝設備、合明科技、華金證券研究所u UBM是在芯片焊盤與凸點之間的金屬過渡層,主要起黏附和擴散阻擋作用,通常由黏附層
49、、擴散阻擋層和浸潤層等多層金屬膜組成。Bump是FC與PCB電連接唯一通道,是FC技術中關鍵環節。凸塊制造技術凸塊制造技術芯片凸點結構示意圖凸塊種類凸塊種類主要特點主要特點應用領域應用領域金凸塊由于金具有良好的導電性、機械加工性(較為柔軟)及抗腐蝕性,因此金凸塊具有密度大、低感應、散熱能力佳、材質穩定性高等特點,但金凸塊原材料成本相對較高。主要應用于顯示驅動芯片、傳感器、電子標簽等產品封裝。銅鎳金凸塊銅鎳金凸塊可適用于不同的封裝形式,可提高鍵合的導電性能、散熱性能、減少阻抗,大大提高了引線鍵合的靈活性:雖原材料成本較金凸塊低,但工藝復雜制造成本相對較高。目前主要用于電源管理等大電流、需低阻抗的
50、芯片封裝。銅柱凸塊銅柱凸塊具有良好的電性能和熱性能,具備窄節距的優點。同時可通過增加介電層或 RDL 提升芯片可靠性。應用領域較廣,主要應用于通用處理器、圖像處理器、存儲器芯片、ASIC、FPGA、電源管理芯片、射頻前端芯片、基帶芯片、功率放大器、汽車電子等產品或領域。錫凸塊凸塊結構主要由銅焊盤和錫帽 構成,一般是銅柱凸塊尺寸的 3-5 倍球體較大,可焊性更強。應用領域較廣,主要應用于圖像傳感器、電源管理芯片、高速器件、光電器件等領域。24請仔細閱讀在本報告尾部的重要法律聲明2.22.2重新布線層(重新布線層(RDLRDL):改變):改變ICIC線路接點位置線路接點位置資料來源:Ansforc
51、e、艾邦半導體網、集微網、艾諾儀器、華金證券研究所u 重新布線(RDL)是將原來設計的IC線路接點位置(I/O pad),通過晶圓級金屬布線工藝和凸塊工藝改變其接點位置,使IC能適用于不同封裝形式。u 重新布線優點:重新布線優點:可改變線路I/O原有設計,增加原有設計附加價值;可加大I/O間距,提供較大凸塊面積,降低基板與元件間應力,增加元件可靠性;取代部分IC線路設計,加速IC開發時間。重新分布層(RDL)結構焊球凸塊焊球凸塊UBMUBM晶圓晶圓金屬觸點金屬觸點重布線重布線重布線重布線重布線后芯片連接面視圖重布線層與凸塊側面視圖 25請仔細閱讀在本報告尾部的重要法律聲明2.22.2重新布線層
52、(重新布線層(RDLRDL):改變):改變ICIC線路接點位置線路接點位置資料來源:LB Semicon、華金證券研究所1鈍化層涂布2光刻(曝光)3光刻(顯影)4沉積凸點下金屬層(UBM)5光刻膠涂布6光刻(曝光)7光刻(顯影)8電鍍(RDL金屬線)9光刻膠去除刻蝕(去除RDL外的UBM)1010完成RDL 26請仔細閱讀在本報告尾部的重要法律聲明2.3 2.3 晶圓級封裝(晶圓級封裝(WLPWLP):在晶圓上對芯片進行操作):在晶圓上對芯片進行操作資料來源:SIKorMicro官網、澤旭科技、華金證券研究所u 晶圓級封裝是指先在整片晶圓上同時對眾多芯片進行封裝、測試,最后切割成單個器件,并直
53、接貼裝到基板或PCB上,生產成本大幅降低。u 由于沒有引線、鍵合和塑膠工藝,封裝無需向芯片外擴展,使得WLP的封裝尺寸幾乎等于芯片尺寸。晶圓準備金屬載板準備晶圓切割層壓粘合重組晶圓制模移走載板排列及重新布線晶圓凸塊切割成各個單元工藝成本低生產周期短封裝尺寸小高傳輸速度高密度連接晶圓級封晶圓級封裝優勢裝優勢與傳統金屬引線產品相比,WLP一般有較短的連接線路,傳輸速度更高。WLP可運用數組式連接,芯片和電路板之間連接不限制于芯片四周,提高單位面積的連接密度。WLP在硅片層面上完成封裝測試,以批量批量化生產方式達到成本化生產方式達到成本最小化目標。最小化目標。WLP從芯片制造到、封裝到成品整個過程中
54、,中間中間環節大大減少,環節大大減少,生產效率高,周期縮短很多。晶圓級封裝五大優勢QFPBGAWLP封裝面積比較100%35%13%晶圓級封裝流程圖 27請仔細閱讀在本報告尾部的重要法律聲明2.3 2.3 晶圓級封裝(晶圓級封裝(WLPWLP):):WLPWLP依據芯片依據芯片/封裝大小劃分扇入封裝大小劃分扇入/出出資料來源:SK hynix、今日半導體、智芯仿真、華金證券研究所u“扇(扇(FanFan)”指芯片大小。指芯片大小。扇入型晶圓級封裝(FI-WLP):芯片大小與封裝大小相同,且封裝用錫球在芯片大小內;扇出型晶圓級封裝(FO-WLP):封裝尺寸大于芯片尺寸且部分錫球在芯片之外。u F
55、I-WLP具有真正裸片尺寸的顯著特點,通常用于低輸入/輸出(I/O)數量(一般小于400)和較小裸片尺寸工藝當中;FO-WLP初始用于將獨立的裸片重新組裝或重新配置到晶圓工藝中,并以此為基礎,通過批量處理、構建和金屬化結構,Fan-Out的Bump可以長到Die外部,封裝后IC也較Die面積大(1.2倍最大)。扇入型與扇出型扇入型與扇出型Bump分布扇入型晶圓級封裝(Fan-In Wafer-level Package)扇出型晶圓級封裝(Fan-out Wafer-level Package)芯片芯片封裝大小封裝大小 28請仔細閱讀在本報告尾部的重要法律聲明2.3 2.3 晶圓級封裝(晶圓級封
56、裝(WLPWLP):):WLPWLP依據依據Chip/RDLChip/RDL工藝先后類別進一步劃分工藝先后類別進一步劃分資料來源:電子發燒友、華金證券研究所u FOWLP封裝技術主要分為Chip first以及Chip last(RDL first),而Chip first可再分為Die face 及Die face down。Chip-first是在生成RDL之前,先將Die附著在一個臨時或者永久材料架構上的工藝、而Chip-last則是先生成RDL,再導入Die。封裝廠商若要做出精良扇出型封裝,只能采用Chip last技術路線。Chip first,Die Chip first,Die
57、face downface downChip first,Die Chip first,Die face upface upChip last,Die Chip last,Die face upface up示意圖翹曲控制GoodBetterBest晶片偏移控制GoodBetterBest 銅凸塊NoYesYes回流焊NoNoYes底部填充NoNoYes晶片表面保護NoYesYes成本HighHigherHighestFOWLP三大子類對比FOWLP三大子類封裝流程Chip first,Die face down(eWLB、日月光集團FOCoS)Chip last,Die face down(
58、安靠科技 SWIFT)Chip first,Die face up(InFO)(1)芯片只會在合格的RDL上倒裝芯片,可避免芯片損失,適用于高價格的高端芯片。(2)芯片通過倒裝方式直接與RDL連接,消除了芯片偏移問題。(3)超細RDL線寬線距實現HDFO,RDL線寬線距能力2m 2m)和超?。?0m)的面板玻璃以及超薄柔性玻璃材料。優良高頻電學特性優良高頻電學特性玻璃,介電常數只有硅材料的1/3左右,損耗因子比硅材料低2-3個數量級,使得襯底損耗和寄生效應大大減小,保證了傳輸信號的完整性。成本低成本低受益于大尺寸超薄面板玻璃易于獲取,以及不需要沉積絕緣層,玻璃轉接板的制作成本大約只有硅基轉接板
59、的1/8。工藝流程簡單工藝流程簡單不需要在襯底表面及TGV內壁沉積絕緣層,且超薄轉接板中不需要減薄。機械穩定性強機械穩定性強即便當轉接板厚度小于100m時,翹曲依然較小。應用領域廣泛應用領域廣泛具有優良電學、熱學、力學性能,在射頻芯片、高端MEMS傳感器、高密度系統集成等領域具有獨特優勢,是下一代5G、6G高頻芯片3D封裝首選之一。32請仔細閱讀在本報告尾部的重要法律聲明2.4 2.4 硅通孔(硅通孔(TSVTSV):):2.5D2.5D封裝封裝TSVTSV充當多顆裸片和電路板之間橋梁充當多顆裸片和電路板之間橋梁資料來源:北方華創、半導體產業縱橫、華金證券研究所TSVTSV孔制造孔制造Via
60、middleVia middle正面制程正面制程(大馬士革工藝)(大馬士革工藝)濕法深孔清洗濕法深孔清洗沉積沉積(隔離保護層)(隔離保護層)電鍍電鍍(銅填充銅填充)電鍍后退火電鍍后退火TSVTSV孔刻蝕孔刻蝕TSV TSV 阻擋阻擋/種子種子層沉積層沉積電鍍電鍍CuCu阻擋阻擋/種子層種子層沉積沉積Via/TrenchVia/Trench刻蝕刻蝕CMPCMP刻蝕后清洗刻蝕后清洗晶圓減薄晶圓減薄 露銅露銅(干法刻蝕)(干法刻蝕)PECVDPECVD鈍化處理鈍化處理臨時鍵合臨時鍵合濕法清洗濕法清洗CMPCMP去除去除RDLRDL制作制作背面制程背面制程露銅露銅&RDL&RDLu TSV生產流程涉及
61、到深孔刻蝕、PVD、CVD、銅填充、微凸點及電鍍、清洗、減薄、鍵合等二十余種設備,其中深孔刻蝕、氣相沉積、銅填充、CMP去除多余金屬、晶圓減薄、晶圓鍵合等工序涉及的設備最為關鍵。u TSV工藝流程:深反應離子刻蝕(DRIE)法行成通孔;使用化學沉積方法沉積制作絕緣層、使用物理氣相沉積方法沉積制作阻擋層及種子層;選擇一種電鍍方法在盲孔中進行銅填充;使用化學和機械拋光(CMP)法去除多余銅,完成銅填充后,則需要對晶圓進行減薄,最后是進行晶圓鍵合。2.5D封裝中的TSV流程 33請仔細閱讀在本報告尾部的重要法律聲明2.4 2.4 硅通孔(硅通孔(TSVTSV):):TSVTSV在在2.5D2.5D封
62、裝中應用實例封裝中應用實例CoWoSCoWoS資料來源:遠川研究所、半導體行業觀察、華金證券研究所u CoWoSCoWoS單顆芯片收入預計約單顆芯片收入預計約723723美元美元/顆。顆。臺積電制造H100、A100、Epic Genoa及MI300四顆,且使用CoWoS封裝,四顆芯片尺寸平均值為9802?;?00mm晶圓70,6952及臺積電每月8,500片晶圓CoWoS產能,可得,臺積電每月消耗613,171個CoWoS封裝。按臺積電CoWoS收入占總收入7%計算,每顆芯片產生CoWoS收入為722.85美元。u CoWoS由CoW和oS組合而來:CoW表示Chip on Wafer,指
63、裸片在晶圓上被拼裝的過程,oS表示on Substrate。ChipChipChip Size(Chip Size()Chips/waferChips/waferH100(Nvidia)81487A100(Nvidia)82686Epic Genoa(AMD)1,26356MI300(AMD)1,01770Average Chip98072TSMC capacity(wpm)8,500CoWoS Packages per month613,171TSMC Total Revenues($B)2022$75.98TSMC Total Revenues($B)per month$6.33CoWoS
64、 Revenues($B)2022$5.32CoWoS Revenues($B)per month$443.23CoWoS Revenues per chip($)$722.85 34請仔細閱讀在本報告尾部的重要法律聲明2.4 2.4 硅通孔(硅通孔(TSVTSV):):TSVTSV在在2.5D2.5D封裝中應用實例封裝中應用實例CoWoSCoWoS資料來源:臺積電官網、華金證券研究所u CoWoSCoWoS實質為實質為2.5D2.5D封裝,依據中介層采用不同技術劃分為封裝,依據中介層采用不同技術劃分為CoWoS-SCoWoS-S、CoWoS-LCoWoS-L及及CoWoS-RCoWoS-R三
65、大技術。三大技術。CoWoS-S采用硅中介層,為高性能計算應用提供最佳性能及最高晶體管密度;CoWoS-R類似InFO技術,利用RDL中介層進行互連,更強調小芯片間互連;CoWoS-L結合CoWoS-S及InFO技術優點,使用夾層與LSI(局部硅互連)芯片進行互連,使用RDL層進行電源與信號傳輸,提供最靈活集成。RDL1.RDL內插器最多由6L銅層組成,用于最小布線。間距為4微米(2微米線寬/間距)。2、RDL互連提供良好信號及電源完整性性能,以實現高傳輸數據速率。3、RDL層和C4/UF層提供良好緩沖效果,減少SoC與襯底間熱膨脹系數失配問題。使用局部硅中介連接使用局部硅中介連接HBMHBM
66、及及SoCSoC可在可在SoCSoC正下方集成額外元件,正下方集成額外元件,以更好支持其信號通信以更好支持其信號通信CoWoS-L及CoWoS-R特點示意圖CoWoS-RCoWoS-L 35請仔細閱讀在本報告尾部的重要法律聲明2.4 2.4 硅通孔(硅通孔(TSVTSV):):TSVTSV在在2.5D2.5D封裝中應用實例封裝中應用實例CoWoSCoWoS資料來源:臺積電官網、半導體行業觀察、EDN China、華金證券研究所2011Gen-1Cu C4 bumps4 HBM2:16GB中介層面積1.5x2016Gen-2Gen-46 HBM2:48GB中介層面積2.0 x2019Gen-3新
67、熱界面材料嵌入式深溝電容Gen-1厚銅互連技術新硅通孔技術8 HBM2e:128GB中介層面積3.0 x20212023TDBTDB標準化晶體管數量:8X標準化晶體管數量:1X標準化晶體管數量:20Xu 1010年內迭代年內迭代5 5代,廣泛部署于消費與服務器領域。代,廣泛部署于消費與服務器領域。u CoWoSCoWoS技術不斷擴大中介層面積、晶體管數量及內存容量,技術不斷擴大中介層面積、晶體管數量及內存容量,第五代CoWoS-晶體管數量增加20倍,中介層面積擴大3倍,封裝8個128G的HBM2e內存。u 下一代(第6代)“CoWoS”計劃于2023年開發,Si中介層尺寸更大,預計有四個掩模版
68、,通過計算,面積達到約34002(第一代CoWoS 中介層僅為775 2)。邏輯部分預計配備兩個或更多帶有小芯片的迷你芯片,內存部分預計配備12個HBM(HBM規范預計為HBM3)。Gen-5 36請仔細閱讀在本報告尾部的重要法律聲明2.4 2.4 硅通孔(硅通孔(TSVTSV):):3D3D封裝中封裝中TSVTSV用于堆疊用于堆疊資料來源:SK hynix、智芯仿真、華金證券研究所u 硅通孔是一種通過在硅片上鉆孔來容納電極芯片堆疊技術。硅通孔是一種通過在硅片上鉆孔來容納電極芯片堆疊技術。相比采用傳統引線方法實現芯片與芯片(Chip-to-Chip)互連或芯片與基板(Chip-to-Subst
69、rate)互連,硅通孔通過在芯片上鉆孔并填充金屬等導電材料來實現芯片垂直互連。u 硅通孔封裝主要優勢在于性能優越且封裝尺寸較小。硅通孔封裝主要優勢在于性能優越且封裝尺寸較小。使用引線鍵合芯片堆疊封裝利用引線連接至各個堆疊芯片側面,隨著堆疊芯片以及連接引腳(Pin)數量增加,引線變得更加復雜,且需更多空間來容納引線。相比之下,硅通孔芯片堆疊則不需要復雜布線,因而封裝尺寸更小。通過引線鍵通過引線鍵合連接導通合連接導通通過硅通孔通過硅通孔連接導通連接導通硅通孔硅通孔1.4mm Max.1.4mm Max.1.0mm Max.1.0mm Max.引線鍵合技術與硅通孔技術對比 37請仔細閱讀在本報告尾部
70、的重要法律聲明2.4 2.4 硅通孔(硅通孔(TSVTSV):):TSVTSV在在3D3D封裝中應用實例封裝中應用實例HBMHBM資料來源:硬件十萬個為什么、泡泡網、SK hynix、CSDN、華金證券研究所u HBM是一種封裝存儲器,可通過同一封裝內的硅中介層與SoC集成在一起。通過這種方法,便可以克服傳統片外封裝存在的數據I/O封裝引腳限制的最大數量。DRAM通過堆疊的方式,疊在一起,Die之間用TVS方式連接;DRAM下面是DRAM邏輯控制單元,對DRAM進行控制;GPU和DRAM通過uBump和Interposer(起互聯功能的硅片)連通Interposer再通過Bump和 Subst
71、rate(封裝基板)連通到BALL;最后BGA BALL 連接到PCB上。過金屬銅TSV實現硅芯片之間垂直互 38請仔細閱讀在本報告尾部的重要法律聲明2.4 2.4 硅通孔(硅通孔(TSVTSV):):2.5D2.5D封裝封裝 Vs.3DVs.3D封裝封裝資料來源:OFweek、中時新聞網、Semiconductor Engineering、華金證券研究所u 2.5D封裝:將處理器、記憶體或是其他芯片,并列排在硅中介板上,經由微凸塊連結,讓硅中介板之內金屬線可連接不同芯片電子訊號;再透過硅穿孔(TSV)來連結下方金屬凸塊,再經由導線載板連結外部金屬球,實現芯片、芯片與封裝基板之間互連。u 3D
72、集成和2.5D集成的主要區別在于:2.5D封裝是在中介層Interposer上進行布線和打孔,而3D封裝是直接在芯片上打孔和布線,連接上下層芯片。2.5D與3D封裝示意圖2.5D與3D封裝內部結構圖 39請仔細閱讀在本報告尾部的重要法律聲明2.5 2.5 混合鍵合(混合鍵合(HBHB):混合鍵合利用范德華力實現):混合鍵合利用范德華力實現資料來源:半導體在線、芯智訊、華金證券研究所u 混合鍵合是通過分子間作用力(范德華力)實現,使用化學機械拋光對大馬士革布線層進行表面處理,CMP過程還可以減少Cu線路腐蝕和Cu凹陷。當Cu和Si2的光滑界面相互接觸時形成范德華力。為增強表面結合力,通常需要增加
73、等離子體活化工序,然后再通過高精度倒裝熱壓工序,實現多界面之間混合鍵合。u HB技術簡化3D堆疊布線層,與含有TSV的3D堆疊技術相比,HB工藝中銅觸點pitch size少于10微米,可實現更高互聯密度HB技術,且可直接省略再布線,使設計難度降低,避免再布線及倒裝回流焊可提高可靠性。TSV通孔與混合鍵合工藝流程圖Intel 混合鍵合接點與微凸塊焊錫接點橫截面比較圖 40請仔細閱讀在本報告尾部的重要法律聲明資料來源:EVG、半導體綜研、華金證券研究所2.5 2.5 混合鍵合(混合鍵合(HBHB):混合鍵合應用于):混合鍵合應用于D2WD2W晶圓混合鍵合技術兩種技術路徑Co-D2W:Collec
74、tive Die-to-Wafer BondingDP-D2W:Direct Placement Die-to-Wafer BondinguHybrid Bonding技術最早實際應用于SONY公司高端CMOS Image Sensor產品。通過把圖像傳感器晶圓、數據存儲及處理芯片的晶圓直接鍵合,實現大規模圖像數據高效并行傳輸,后來該技術被廣泛應用于邏輯芯片及存儲芯3D互連。由于需要解決不同裸片尺寸芯片間直接鍵合,混合鍵合應用從Wafer to Wafer(W2W)基礎上發展出Die to Wafer(D2W),即將切割好裸片一個個貼到另一個完整晶圓上,和晶圓上的裸片實現鍵合。uCo-D2W:
75、將切割好Die用臨時鍵合方式粘到Carrier晶圓上,隨后整片和另一片產品晶圓整片鍵合再解鍵。該技術類似于W2W,相對成熟,但一次D2W疊加一次W2W方式容易累計誤差,Carrier晶圓處理成本高,且對Die厚度變化范圍有較高要求。uDP-D2W:將切好Die一顆顆放置于另一片產品晶圓對應位置。該路線位置精度將會提高且對Die厚度變化容忍度高,但有顆??刂频葐栴}。41請仔細閱讀在本報告尾部的重要法律聲明2.6 2.6 四大連接技術對比四大連接技術對比資料來源:半導體封裝工程師之家、華金證券研究所連接方式連接方式打線鍵合打線鍵合倒裝倒裝TSVTSV混合鍵合混合鍵合圖例存儲應用DRAM(Mobil
76、e)NANDDRAM(Computing,Graphics)DRAM(HPC/Server,Graphics)DRAM(HPC/Server,Graphics)I/O數目x4,x8,x16x4,x8,x16x1,024x1,024物理互連數量50-150 ea.150-200 ea.Bottom Die:5-8 K ea.Upper Die:3.5-10 K ea.(Up to 200 K ea.With dummy bumps)Bottom Die:5-8 K ea.Upper Die:3.5-10 K ea.(Up to 200 K ea.With dummy bumps)互連長度200
77、m 2,000 m50 m 20 m5 m堆疊層數2/4/6/8(DRAM)1/2(Planar)4/8/124/8/12/16最大容量16 GB4 GB24 GB32 GB 42請仔細閱讀在本報告尾部的重要法律聲明2.7 2.7 板級埋入式封裝:無需板級埋入式封裝:無需SiSi中介層及中介層及TSVTSV工藝工藝uEMIB是將帶有多層導電金屬(back end of line,BEOL)互連的超薄硅片埋入有機封裝基板的最上層,通過焊球與倒裝芯片的連接,以實現兩個或多個芯片之間的局部高密度互連。與傳統封裝中在基板表面貼裝芯片或元件不同,板級埋入式封裝直接將芯片或元件嵌入基板中間,因此它具有更短
78、的互連路徑、更小的體積、更優的電熱性能及更高的集成度。u與臺積電的CoWoS-S封裝相比,EMIB封裝既不需要TSV工也不需要Si 中介層,因此其具有封裝良率高、設計簡單、成本更低等優點。資料來源:智芯仿真、電子發燒友、華金證券研究所EBIM封裝過程拆解Co-EBIM封裝過程 43請仔細閱讀在本報告尾部的重要法律聲明目錄目錄0102040305先進封裝:打破先進封裝:打破ICIC發展限制,向高密度封裝時代邁進發展限制,向高密度封裝時代邁進技術分析:橫向連接技術分析:橫向連接/縱向堆疊奠定先進封裝技術基石縱向堆疊奠定先進封裝技術基石產業鏈:材料與設備任重道遠,先進封裝粲然可觀產業鏈:材料與設備任
79、重道遠,先進封裝粲然可觀行業現狀:制造與行業現狀:制造與IDMIDM廠商入駐先進封裝,開辟中道工藝廠商入駐先進封裝,開辟中道工藝應用與需求:芯粒應用與需求:芯粒IPIP復用延續摩爾定律,新建晶圓廠與復用延續摩爾定律,新建晶圓廠與產線擴產共促封測需求產線擴產共促封測需求3.1 3.1 封裝材料:各類半導體封裝材料集中度較高,國產替代呈現兩極分化封裝材料:各類半導體封裝材料集中度較高,國產替代呈現兩極分化3.1.1 3.1.1 高端基板高端基板:先進封裝帶動高端基板需求,國產化亟待突破先進封裝帶動高端基板需求,國產化亟待突破3.1.2 3.1.2 環氧塑封料環氧塑封料:傳統封裝中國產化較高,先進封
80、裝中外資廠商仍處壟斷地位傳統封裝中國產化較高,先進封裝中外資廠商仍處壟斷地位3.2 3.2 封裝設備封裝設備3.2.1 3.2.1 封裝設備:封測設備占比有望提升至封裝設備:封測設備占比有望提升至19%19%,貼片機為核心設備,貼片機為核心設備3.2.2 3.2.2 先進封裝設備:晶圓劃片前融入封裝工藝步驟,前道設備需求加劇先進封裝設備:晶圓劃片前融入封裝工藝步驟,前道設備需求加劇3.33.3先進封裝先進封裝3.3.1 3.3.1 封裝市場有望超封裝市場有望超1,3001,300億美元,先進封裝占比超億美元,先進封裝占比超50%50%3.3.2 20273.3.2 2027年先進封裝市場規模有
81、望達年先進封裝市場規模有望達650650億美元億美元3.3.3 3.3.3 代工廠搶占先進封裝市場份額,代工廠搶占先進封裝市場份額,6 6大廠商加工先進封裝大廠商加工先進封裝晶圓超晶圓超80%80%3.3.4 OSAT3.3.4 OSAT競爭格局穩定,日月光集團、安靠科技、長電穩居競爭格局穩定,日月光集團、安靠科技、長電穩居前三甲前三甲 44請仔細閱讀在本報告尾部的重要法律聲明3.13.1封裝材料:各類半導體材料集中度較低,國產替代呈現兩極分化封裝材料:各類半導體材料集中度較低,國產替代呈現兩極分化資料來源:SEMI、艾瑞咨詢、華金證券研究所u 先進封裝發展拉動封裝材料需求,先進封裝發展拉動封
82、裝材料需求,20272027年市場規模有望達年市場規模有望達300300美元。美元。根據SEMI數據,2022年全球半導體材料市場收入增長8.9%達727億美元,其中封裝材料市場規模為280億美元,同比增長6.3%,其中有機基板領域增長積極推動封裝材料市場;介電材料和底部填充的發展推動對扇入和扇出晶圓級封裝(FOWLP)、倒裝芯片和 2.5D/3D 封裝的強勁需求。使用 RDL(重新分布層)硅中介層和有機中介層等新型基板技術也是封裝解決方案關鍵增長動力,2027年全球半導體封裝材料市場預計達到298億美元。2021-2022全球半導體封裝材料細分領域占比(%)封裝基板鍵合絲引線框架封裝材料陶瓷
83、基板芯片粘結材料20212022磨片切割晶圓片貼片引線框架陶瓷基板固化引線鍵合光檢塑封切割FT檢測芯片成品鍵合絲包封材料芯片粘結材料封裝基板半導體封裝材料應用與產業環節對應圖 45請仔細閱讀在本報告尾部的重要法律聲明3.13.1封裝材料:各類半導體封裝材料集中度較高,國產替代呈現兩極分化封裝材料:各類半導體封裝材料集中度較高,國產替代呈現兩極分化資料來源:艾瑞咨詢、華金證券研究所封裝材料封裝材料封裝基板封裝基板引線框架引線框架鍵合絲鍵合絲包封材料包封材料陶瓷基板陶瓷基板芯片粘結材料芯片粘結材料頭部廠商市占率欣興電子(15%)揖斐電(11%)三星電機(10%)日本三井高(12%)臺灣長華(11%
84、)日本新光(9%)賀利氏(21%)新日鐵(13%)田中貴金屬(10%)住友電木(21%)日立化成(11%)長春集團(4%)京瓷(68%)住友化學特殊陶瓷公司漢高CaplingqIndium集中度CR336%32%44%36%-中國大陸廠商自給能力中國大陸典型企業深南電路興森科技康強電子華天科技康強電子一諾電子華海誠科德高化成三環集團中瓷電子宏昌電子本諾電子u 各類半導體封裝材料集中度較高,日本企業占主導地位,部分領域中國廠商躋身前列。各類半導體封裝材料集中度較高,日本企業占主導地位,部分領域中國廠商躋身前列。從競爭格局來看,各類半導體封裝材料市場集中度較高。日本廠商在各類封裝材料領域占據主導地
85、位,部分中國大陸廠商已躋身前列(引線框架、包封材料),成功占據一定市場份額。在國產替代方面,根據頭豹研究院數據,中國半導體封裝材料整體國產化率約30%,其中引線框架、鍵合金屬絲的國產替化率最高,分別達到40%和30%,而陶瓷封裝材料、芯片粘結材料與封裝基板等材料國產化率僅5%-10%。全球半導體封裝材料競爭格局 46請仔細閱讀在本報告尾部的重要法律聲明3.1.13.1.1高端基板高端基板:先進封裝帶動高端基板需求,國產化亟待突破先進封裝帶動高端基板需求,國產化亟待突破資料來源:探討FCBGA基板技術的發展趨勢及應用前景(方志丹,于中堯,武曉萌,王啟東)、電子發燒友、半導體在線、立鼎產業研究院、
86、品格資本、華金證券研究所u 先進封裝技術帶動先進封裝技術帶動ABFABF載板需求。載板需求。先進封裝能協助芯片整合在面積不變下,促成更高效率,透過芯片間互聯封裝技術,完成來自不同制程、不同材料各個芯片置于中介層基板之上進行整合,要將這些芯片整合在一起,就是需要更大ABF載板來放置。FCBGA憑借內部采FC、外部采BGA的封裝方式,成為目前主流的封裝技術,作為ABF載板應用較多的封裝技術,FCBGA I/O數量達到32-48,因而擁有非常優異的性能與成本優勢。此外,2.5D封裝I/O數量是2D FC封裝數倍以上,在顯著提升高階芯片效能同時,所需的ABF載板也變得更為復雜。Intel嵌入式封裝技術
87、,I/O數高達250-1000,提高芯片互連密度,并且將硅中介層內嵌于ABF,增加ABF面積、層數與制作難度,將消耗更多ABF產能?;宸诸惢宸诸惢宀牧匣宀牧咸攸c特點主要應用領城主要應用領城硬質基板BT高耐熱、抗熱震性,較低的介電常數和損耗因數、高抗銅,離子遷移、耐化性,耐磨性手機MEMS、通信、內存和 LED 等ABF體積小,高附著力,高頻傳輸性能好CPU、GPU和晶片組等大型高端晶片MIS布線精密,傳輸能力強,體積小模擬、功率IC,數字貨幣柔性基板PI熱穩定性好,良好的耐化學性、優異的機械性能,密度高,體積小,可折疊消費電子、智能顯示、高端裝備等微電子領域PE陶瓷基板氧化鋁優良電絕練
88、性能,高導熱特性,優異的軟針焊性和較高的附著強度薄膜電路、厚膜電路、汽車電子、航空航天及軍用電子氮化鋁碳化硅按基材分類IC載板1超大尺寸:110 mm110 mm2高疊層:基板增層數量將從10層增加到18層及以上3精細線路:線寬/線間距將減小到5m/5m以下FC BGA基板結構 47請仔細閱讀在本報告尾部的重要法律聲明3.1.13.1.1高端基板高端基板:先進封裝帶動高端基板需求,國產化亟待突破先進封裝帶動高端基板需求,國產化亟待突破資料來源:Prismark、集微咨詢、品格資本、頭豹研究院、鼎暉百孚平臺、深南電路2022年年報、興森科技2022年年報、華金證券研究所u日本、韓國及中國臺灣省封
89、裝基板供應量占日本、韓國及中國臺灣省封裝基板供應量占80%80%以上。以上。根據Prismark數據,2020年IC載板市場前10大廠商合計占比83%(均來自中國臺灣省、日本及韓國),前三家廠商Unimicron(中國臺灣?。?、Ibiden(日本)、SEMCO(韓國)分別占據15%、11%和10%,合計市占率達36%;深南電路、安捷利美維、珠海越亞、興森科技等中國大陸基板廠商市場占比合計約為6%。u高端高端FC BGAFC BGA基板領域國內量產能力較弱,國產化亟待突破?;孱I域國內量產能力較弱,國產化亟待突破。其中,深南電路FCBGA封裝基板已具備中階產品樣品制造能力,高階產品技術研發按期順
90、利推進;興森科技珠海FCBGA封裝基板項目完成產線建設并試產成功,2023年將全力開拓市場、導入量產客戶;廣州FCBGA封裝基板項目預計2023年第四季度完成產線建設,開始試產。2020年全球IC封裝基板競爭格局0%2%4%6%8%10%12%14%16%18%Unimicron(臺)Ibiden(日)SEMCO(韓)Kinsus(臺)NY PCB(臺)shinko(日)simmtech(韓)daeduck(韓)kyocera(日)ASE materials(臺)其他建設廠商建設廠商項目名稱項目名稱投資總額投資總額(億元億元)規劃產能規劃產能項目主要產品項目主要產品禮鼎半導體高端集成電路載板及
91、先進封裝基地(一期)21.6/禮鼎科技高端集成電路封裝載板智能制造基地生產線項目(秦皇島經開區)18.0約十億個/年FC-CSP興森科技珠海興森半導體有限公司封裝基板項目12.07.2萬平方米/年FC-BGA越芯半導體珠海高端射頻及FC-BGA封裝載板生產制造項目17.8200萬顆/月(約6.000平米/月)FC-BGA興科半導體珠海興科半導體有限公司集成電路封裝基板項目16.054萬平方米/年/深南電路無錫深南電路高階倒裝芯片用IC載板產品制造項目27.540萬平方米/年存儲類封裝基板FC-CSP東山精密鹽城東山IC載板項目50.030萬平方米/年/芯愛科技南京芯愛集成電路封裝用高端基板項目
92、(一期)45.0145萬片/年FC-CSP、FC-BGA入選2022年廣東省重大/點項目清單的封裝基板項目 48請仔細閱讀在本報告尾部的重要法律聲明3.1.23.1.2環氧塑封料環氧塑封料:傳統封裝中國產化較高,先進封裝中外資廠商仍處傳統封裝中國產化較高,先進封裝中外資廠商仍處壟斷地位壟斷地位資料來源:熠星投研、粉體網、華海誠科招股說明書、華金證券研究所u 環氧塑封料(EMC),主要成分為環氧樹脂、酚醛固化劑、固化促進劑、填充劑及脫模劑等。根據我國集成電路材料專題系列報告,90%以上集成電路均采用環氧塑封料作為包封材料,故環氧塑封料已成為現代半導體封裝中主導材料。u 封裝市場蓬勃發展有望帶動環
93、氧塑封料需求,預計封裝市場蓬勃發展有望帶動環氧塑封料需求,預計20252025年國內環氧塑封料需求量至少年國內環氧塑封料需求量至少2020萬噸。萬噸。根據粉體網數據,目前在全世界范圍內需要塑料封裝半導體元器件占市場總量98%以上,預計到2025年我國電子封裝領域對環氧塑封料需求量將達到21-32萬噸。目前我國環氧塑封料產能約占全球產能35%,現已為世界上最大環氧塑封材料及封裝填料生產基地。環氧塑封料行業的國產化與競爭格局下游封裝類型下游封裝類型下游封裝技術下游封裝技術環氧塑封料國產化程度環氧塑封料國產化程度環氧塑封料競爭格局環氧塑封料競爭格局傳統封裝DO、SMX、TO、DIP等由內資廠商主導,
94、但在應用于TO領域內外資整體相當。市場主要由華海誠科、衡所華威、長春塑封料等塑封料廠商主導。SOD、SOT、SOP、QFP等仍由外資廠商主導,但內資廠商的市場份額逐步提升,大部分產品性能已達到外資同類產品的水平,仍存在一定的替代空間。市場份額主要被住友電木、藹司蒂、華海誠科、衡所華威四家廠商占據。先進封裝QFN、BGA等外資廠商基本處于壟斷地位,內資廠商產品仍主要處于導入考核階段,較少數內資廠商已實現小批量生產,存在較大的替代空間。市場份額基本由住友電木、藹司蒂等外資領先廠商占據,以華海誠科為代表的較少數內資廠商已陸續通過主流廠商的考核驗證,并實現小批量生產。SiP、MUF、FOWLP等外資廠
95、商處于壟斷地位,內資廠商尚處于產品開發或者客戶考核階段,產品類別相對單一。市場份額主要由住友電木、藹司蒂、京瓷等外資領先廠商占據,內資廠商布局相對有限,華海誠科在該領域的技術與產品布局處于內資廠商中領先地位,應用于FC、SiP、FOWLP/FOPLP等領域的封裝材料已陸續通過客戶考核驗證。49請仔細閱讀在本報告尾部的重要法律聲明資料來源:艾瑞咨詢、華金證券研究所制造環節涂膠涂膠光刻光刻刻蝕刻蝕離子注入離子注入涂膠顯影設備光刻機刻蝕機離子去膠機離子注入機晶圓檢測晶圓檢測拋光拋光化學氣相沉積化學氣相沉積物理氣相沉積物理氣相沉積探針臺測試機CMP設備刷片機CVD設備PVD設備封測環節磨片磨片/背面減
96、薄背面減薄切割切割貼片貼片銀漿固化銀漿固化減薄機貼膜機晶圓安裝機劃片機清洗設備貼片機固化設備FTFT測試測試切筋成型切筋成型塑封塑封引線焊接引線焊接分選機測試機切筋成型機塑封機焊接機內嵌集成電路尚未切割的晶圓片芯片成品氧化氧化多次清洗多次清洗氧化爐RTP設備清洗設備3.23.2封裝設備:封測設備占比有望提升至封裝設備:封測設備占比有望提升至19%19%,貼片機為先進封裝核心,貼片機為先進封裝核心 50請仔細閱讀在本報告尾部的重要法律聲明3.23.2封裝設備:封測設備占比有望提升至封裝設備:封測設備占比有望提升至19%19%,貼片機為先進封裝核心,貼片機為先進封裝核心資料來源:CIC灼識咨詢、華
97、金證券研究所u 隨著先進封裝不斷推進,SiP技術、3D封裝等技術逐漸顯露潛力,封測設備在半導體設備行業中占比逐漸提升,根據CIC灼識咨詢預測,全球封測設備在半導體設備中占比將從2020年的16.7%提升到2025年的18.6%,市場體量將達到約190億美金。在封裝流程中,可將其按步驟分為貼片、引線、劃片與測試、切筋與塑封。根據CIC灼識咨詢預測2025年各類封測設備市場占比情況為:貼片機市場占31.6%,引線機市場約占22.2%,劃片和檢測設備占總市場份額的27.6%,切筋與塑封設備占比17.5%,電鍍設備在封裝設備行業中占比最小,在1.1%左右。u 在先進封裝過程中貼片機為核心設備。在先進封
98、裝過程中貼片機為核心設備。無論封裝方式如何演變,封裝過程都離不開貼裝過程。隨著芯片小型化的需求,要求貼片機精度范圍在3-5微米之間。為達到精細化貼裝,封裝廠先進封裝產線對貼片機的準確度、速度、良品率、穩定性要求更高。190020040060080010001200201620172018201920202021E2022E2023E2024E2025E制程設備封測設備封裝測試12345貼片機劃片機引線焊接設備塑封/切筋設備電鍍設備31.6%27.6%22.2%17.5%1.1%1234測試機分選機探針臺其他63.1%17.4%15.2%4.3%51請仔細閱讀在本報告尾部的重要法律聲明3.23.
99、2先進封裝設備:晶圓劃片前融入封裝工藝步驟,前道設備需求加劇先進封裝設備:晶圓劃片前融入封裝工藝步驟,前道設備需求加劇資料來源:艾森股份招股說明書、芯源微招股說明書、華金證券研究所u 先進封裝處于晶圓制造與封測中的交叉區域。先進封裝處于晶圓制造與封測中的交叉區域。先進封裝要求在晶圓劃片前融入封裝工藝步驟,具體包括應用晶圓研磨薄化、線路重排(RDL)、凸塊制作(Bumping)及三維硅通孔(TSV)等工藝技術。先進封裝更多在晶圓層面上進行,采用前道制造方式來制作后道連接電路,工藝流程的相似性使得兩者使用設備也大致相同,其中倒裝就要采用植球、電鍍、光刻、蝕刻等前道制造的工藝,2.5D/3D封裝TS
100、V技術就需要光刻機、涂膠顯影設備、濕法刻蝕設備等,從而使得晶圓制造與封測前后道制程中出現中道交叉區域。先進封裝開辟“中道”工藝前道Front End晶圓制造BumpingTSVRDLSiPCoWWoWCoWoS后道Back End封測中道 Middle End先進封裝中前道設備應用 52請仔細閱讀在本報告尾部的重要法律聲明3.23.2先進封裝設備:晶圓劃片前融入封裝工藝步驟,前道設備需求加劇先進封裝設備:晶圓劃片前融入封裝工藝步驟,前道設備需求加劇資料來源:彬復資本、華金證券研究所u TSV正在逐漸取代目前工藝比較成熟引線健合互聯技術,可通過直互連減小互聯長度,減小信號延遲,降低電容/電感,實
101、現芯片間的低功耗,高速通訊,增加寬帶和器件集成的小型化。u TSV制作工藝流程復雜且良率較低,TSV填充難點在于避免在孔內形成空洞或者縫隙,并盡量讓孔壁保持光滑,目前業界主要采用電鍍法填孔。但隨著通孔直徑隨著半導體制程工藝不斷縮小,通孔深寬比不斷提高,傳統電鍍法已經越來越難以滿足TSV填孔需求。TSVTSV需要用到的工藝需要用到的工藝說明說明材料與設備材料與設備相關的材料與設備公司相關的材料與設備公司深硅刻蝕/鉆孔先使用光刻較對待刻蝕區域進行標記,然后使用深反應離子刻蝕法在晶圓的一面刻蝕出孔光刻膠/光刻機/刻蝕機AMSL/中微公司絕緣層/阻擋層/種子層的沉積依次使用CVD/ALD的方法沉積二氧
102、化硅(SiO2)絕緣層、使用物理氣相沉積方法沉積鈦(Ti)作為阻擋層、銅(Cu)作為種子層靶材/ALD前驅體/PVD設備/CVD設備江豐電子/應用材科/北方華創深孔填充用電鍍方法在盲孔中進行填充電鍍銅電鍍液及添加劑上海新陽化學機械拋光通過化學機械拋光法將硅品圖表面上多余的銅去除CMP拋光液/CMP機器安集科技/盛美上海晶圓減薄使用化學機械拋光和背面磨削法打磨晶圓背部,讓電鍍銅柱的另一端暴露出來,形成通孔。晶圓減薄機DISCO/OKAMOTORDL與微凸點制作在暴露出電鍍銅后的硅晶圓的背面開始制作電路層和微凸點,用來實現與其余芯片或者基板的互聯聚合物薄膜/錫球/電鍍銅日立化成/宏達納米/上海新陽
103、TSV工藝所需設備與材料 53請仔細閱讀在本報告尾部的重要法律聲明0%10%20%30%40%50%60%70%80%90%100%2014201520162017201820192020202120222023E先進封裝傳統封裝3.33.3先進封裝:封裝市場有望超先進封裝:封裝市場有望超1,3001,300億美元,先進封裝占比超億美元,先進封裝占比超50%50%資料來源:Yole、集微咨詢2022年中國集成電路封裝測試產業白皮書、中自網、華金證券研究所u 20282028年封裝市場規模有望達年封裝市場規模有望達13601360億美元,先進封裝占比約為億美元,先進封裝占比約為58%58%。根據
104、Yole數據,預計2022年至2028年封裝市場預計將以6.9%復合年增長率增長,2028年將達到1,360億美元,其中傳統封裝市場年均復合增長率將放緩至3.2%,達到575億美元,先進封裝為786億美元,占比為57.79%。0%10%20%30%40%50%60%70%80%90%100%20162017201820192020202120222027E2028E先進封裝先進封裝傳統封裝傳統封裝41%58%2016-2028全球先進封裝占比預測(%)2016-2023E中國先進封裝占比預測(%)中國先進封裝占比有上升趨勢,中國先進封裝占比有上升趨勢,20232023年有望年有望接近接近40%
105、40%54請仔細閱讀在本報告尾部的重要法律聲明3.33.3先進封裝:先進封裝:20272027年先進封裝市場規模有望達年先進封裝市場規模有望達650650億美元億美元$6.6B$26.2B$0.06B$2.4B$2.1B$4B$43B$15B$3B$0.2Bu 先進封裝市場有望達先進封裝市場有望達650650億美元,芯片倒裝占比最大,芯片嵌入式封裝增速最快。億美元,芯片倒裝占比最大,芯片嵌入式封裝增速最快。根據Yole數據,2021年全球先進封裝市場規模為374億美元,其中芯片倒裝占比最大為70%,2.5D/3D封裝次之;2027年全球先進封裝市場規模預計為650億美元,其中芯片倒裝占比為66
106、%(較2021年下滑4pcts),2.5D/3D占23%,約150億美元,芯片嵌入式增速最快,21-27年CAGR為24%。Flip-chipFan-outFan-in WLP2.5D/3DEmbedded Die2027$65B2021$37.4BCAGR2127:10%類別類別20212021年占比年占比20272027年占比年占比CAGRFlip-chip70%66%9%Fan-out6%6%11%Fan-in WLP6%5%5%2.5D/3D18%23%14%Embedded Die0.2%0.4%24%2021-2027先進封裝市場規模預測資料來源:Yole、華金證券研究所 55請仔
107、細閱讀在本報告尾部的重要法律聲明020406080100120140矽格微電子南茂科技頎邦科技Hana Micron京元電子聯合科技華天科技力成科技通富微電三星長電科技臺積電Intel安靠日月光3.33.3先進封裝:代工廠搶占先進封裝市場份額,先進封裝:代工廠搶占先進封裝市場份額,6 6大廠商加工先進封裝晶圓超大廠商加工先進封裝晶圓超80%80%資料來源:Yole、華金證券研究所u 全球前十五大封裝廠商中,中國占全球前十五大封裝廠商中,中國占1010家,臺積電進軍先進封裝。家,臺積電進軍先進封裝。根據Yole數據,外包半導體封裝和測試(OSAT)公司占2022年先進封裝市場65.1%;2021
108、年兩家IDM 企業(英特爾和三星)、一家代工廠(臺積電)及全球三大OSAT企業(日月光集團、安靠科技及長電科技)在內六家企業加工超過80%先進封裝晶圓。日月光集團安靠科技Intel長電科技臺積電三星FCBGAFCCSPWLCSPFOFC bumpingSiP3D stacked全球先進封裝營收前六玩家封裝類型占比(2021年)2022年全球涉及先進封裝廠商(前15名)全球前十五大封裝廠商中,中全球前十五大封裝廠商中,中國占國占1010家,其中中國大陸家,其中中國大陸3 3家,中國臺灣家,中國臺灣7 7家家 56請仔細閱讀在本報告尾部的重要法律聲明3.33.3先進封裝:先進封裝:OSATOSAT
109、競爭格局穩定,日月光集團、安靠科技、長電穩居競爭格局穩定,日月光集團、安靠科技、長電穩居前三甲前三甲資料來源:芯思想研究院、華金證券研究所u 全球委外封裝廠商競爭格局較為穩定且市場集中度較高,全球委外封裝廠商競爭格局較為穩定且市場集中度較高,20222022年中國廠商在市場占絕對優勢。年中國廠商在市場占絕對優勢。根據芯思想研究院數據,2017年-2022年全球委外封裝廠商中,市占率前三常年保持穩定且集中度進一步加劇,分別為日月光集團、安靠科技、長電科技,2022年CR3為51.90%(2018年為47.67%);2022年全球前十大委外封測廠商中,中國廠商占9家(中國大陸4家,中國臺灣5家),
110、中國大陸市占率合計24.55%,中國臺灣市占率合計39.36%,國外僅安靠(美國)一家公司進入全球前十,市占率為14.08%。排名排名2017201720182018201920192020202020212021202220221日月光集團 日月光集團 日月光集團 日月光集團 日月光集團 日月光集團2安靠科技安靠科技安靠科技安靠科技安靠科技安靠科技3長電科技長電科技長電科技長電科技長電科技長電科技CR3CR347.67%47.67%56.43%56.43%51.98%51.98%50.96%50.96%51.90%51.90%4矽品精密矽品精密力成科技力成科技力成科技通富微電5力成科技力成科
111、技通富微電通富微電通富微電力成科技6華天科技通富微電華天科技華天科技華天科技華天科技7通富微電華天科技京元電子京元電子智路科技智路科技8聯合科技聯合科技聯合科技智路科技京元電子京元電子9京元電子京元電子南茂科技南茂科技南茂科技頎邦科技10頎邦科技頎邦科技頎邦科技頎邦科技頎邦科技南茂科技2017-2022年全球封測公司競爭格局2022年全球封測公司市占率(%)0%5%10%15%20%25%30%日月光安靠長電科技通富微電力成科技華天科技智路封測京元電子頎邦科技南茂科技其他 57請仔細閱讀在本報告尾部的重要法律聲明目錄目錄0102040305先進封裝:打破先進封裝:打破ICIC發展限制,向高密度
112、封裝時代邁進發展限制,向高密度封裝時代邁進技術分析:橫向連接技術分析:橫向連接/縱向堆疊奠定先進封裝技術基石縱向堆疊奠定先進封裝技術基石產業鏈:材料與設備任重道遠,先進封裝粲然可觀產業鏈:材料與設備任重道遠,先進封裝粲然可觀行業現狀:制造與行業現狀:制造與IDMIDM廠商入駐先進封裝,開辟中道工藝廠商入駐先進封裝,開辟中道工藝應用與需求:芯粒應用與需求:芯粒IPIP復用延續摩爾定律,新建晶圓廠與產線擴產共促封測需求復用延續摩爾定律,新建晶圓廠與產線擴產共促封測需求4.1 4.1 臺積電臺積電4.1.1 4.1.1 前段(前段(CoWCoW/WoW)+/WoW)+后段(后段(oSoS/InFOI
113、nFO)=3D Fabric)=3D Fabric4.1.2 4.1.2 SoICSoIC為先進封裝前段工序,由為先進封裝前段工序,由WoWWoW及及CoWCoW技術構成技術構成4.1.3 4.1.3 InFOInFO=集成集成+扇出封裝扇出封裝4.2 4.2 三星三星4.2.1 4.2.1 I-Cube2.5D=I-Cube S+I-Cube E+H-CubeI-Cube2.5D=I-Cube S+I-Cube E+H-Cube4.2.2 4.2.2 通過垂直堆疊方式大幅節省芯片上空間通過垂直堆疊方式大幅節省芯片上空間4.3 Intel4.3 Intel4.3.1 4.3.1 嵌入式多芯片互
114、連橋為嵌入式多芯片互連橋為Intel2.5DIntel2.5D封裝亮點封裝亮點4.3.2 4.3.2 FoverosFoveros將不同工藝、結構、用途芯片整合將不同工藝、結構、用途芯片整合4.4 4.4 日月光集團:扇出型基板上晶片封裝(日月光集團:扇出型基板上晶片封裝(FOCoSFOCoS)4.5 4.5 安靠科技:深度布局安靠科技:深度布局TSV-lessTSV-less工藝(工藝(FOWLP,ChipFOWLP,Chip last,Die face down last,Die face down)4.6 4.6 長電科技:長電科技:TSV-lessTSV-less路線實現高性價比先進封
115、裝路線實現高性價比先進封裝4.7 4.7 對比:先進封裝領域內國內技術與頭部廠商差距較小對比:先進封裝領域內國內技術與頭部廠商差距較小 58請仔細閱讀在本報告尾部的重要法律聲明4.14.1臺積電:前段(臺積電:前段(CoW/WoW)+CoW/WoW)+后段(后段(oSoS/InFOInFO)=3D Fabric=3D Fabric資料來源:臺積電、金管投顧、老軒常談、芯智訊、華金證券研究所u 下游應用多點爆發挑戰計算極限,更快,更節能芯片需求增加。下游應用多點爆發挑戰計算極限,更快,更節能芯片需求增加。隨著云計算、大數據分析、人工智能、神經網絡訓練、人工智能推理、先進智能手機移動計算及自動駕駛
116、等應用領域不斷發展,需要更快、更節能的芯片來滿足計算需求。在 3D 芯片堆疊方面,臺積電在系統整合芯片(TSMC-SoIC)技術加入微凸塊,以支持更具成本敏感度應用;CoWoS平臺得以實現先進邏輯及高帶寬存儲器整合,適用于人工智能、機器學習及數據中心等HPC應用;整合型扇出層疊封裝技術(InFO_PoP)及InFO-3D支持移動應用,InFO-2.5D則支持HPC小芯片整合。臺積電先進封裝布局臺積電先進封裝布局先進封裝平臺3D Fabric平臺制程區分后段3D先進封裝前段3D芯片堆疊封裝技術名稱InFO(Chip First)CoWoS(Chip Last)TSMC-SoIC(系統整合芯片)W
117、oWCoW技術名稱整合扇出型封裝基板上晶圓上芯片封裝晶圓堆疊晶圓封裝芯片堆疊晶圓封裝封裝結構類型2.5D/3D IC2.5D/3D IC3D IC3D IC量產/認證時間已量產已量產完成認證完成認證TSMC-TSMC-SoICSoIC3D3D堆疊(前段工藝)堆疊(前段工藝)先進封裝先進封裝(后段工藝)(后段工藝)SoIC-CoWSoIC-CoW(Chip on Wafer)(Chip on Wafer)SoICSoIC-WoW-WoW(Wafer on Wafer)(Wafer on Wafer)CoWoSCoWoSInFOInFOCoWoSCoWoS-S-S(Si Interposer)(S
118、i Interposer)CoWoSCoWoS-R-R(RDL Interposer)(RDL Interposer)CoWoSCoWoS-L-L(LSI+RDL Interposer)(LSI+RDL Interposer)InFO-PoPInFO-PoPInFO-2.5DInFO-2.5DInFO-3DInFO-3D臺積電3D Fabric平臺 59請仔細閱讀在本報告尾部的重要法律聲明4.14.1臺積電:臺積電:SoICSoIC為先進封裝前段工序,由為先進封裝前段工序,由WoWWoW及及CoWCoW技術構成技術構成資料來源:臺積電官網、半導體產業縱橫、深圳奇普樂、華金證券研究所u SoIC
119、技術將同構和異構小芯片集成到單個類似SoC芯片中,使芯片面積更小更薄,可整體集成到后端先進封裝(CoWoS及InFO)中。臺積電SoIC為垂直裸片堆疊3D拓撲封裝,主要分為“Wafer-on-Wafer”(WOW)和“Chip-on-Wafer”(CoW)。其中,WOW拓撲在晶圓上集成了一個復雜的SoC裸片,并提供深溝槽電容器(DTC)結構以實現最佳去耦。更通用CoW拓撲堆疊多個 SoC裸片。CoW(a)及WoW(b)結構示意圖(a)(b)CoW及WoW工藝發展路線圖 60請仔細閱讀在本報告尾部的重要法律聲明(a)InFO_PoP(b)InFO_oS(chip first)4.14.1臺積電:
120、臺積電:InFOInFO=集成集成+扇出封裝扇出封裝資料來源:臺積電官網、EETOP、芯智訊、知識酷Pro、曲博科技教室、華金證券研究所u InFO_PoP是FOWLP與PoP封裝的結合體,將不同類型芯片在垂直方向上堆疊在一起,下層為FOWLP封裝芯片,上層為DRAM等被動芯片,封裝之間通過TIV進行電氣互聯。InFO_PoP主要用于移動平臺,自2016年以來,InFO_PoP出貨量超過12億臺。u InFO_oS(基板上)可封裝多個芯片,由再分布層及其微凸起連接到帶有TSV基板。InFO_oS投產已5年以上,專注于HPC客戶。RDL1.5m/1.5m略微更寬松L/S間TIVTIV(Throu
121、gh Through InFoInFo Via)Via)InFO_PoP(a)及InFO_oS(b)結構示意圖DDRDDRDDRDDRDDRDDRDDRDDR基板M1 Ultra使用InFO-LSI封裝 61請仔細閱讀在本報告尾部的重要法律聲明4.24.2三星:三星:I-Cube2.5D=I-Cube S+I-Cube E+H-CubeI-Cube2.5D=I-Cube S+I-Cube E+H-Cube資料來源:三星半導體、華金證券研究所u I-CUBE S是一種異構技術,將一塊邏輯芯片與一組高帶寬存儲器(HBM)裸片水平放置在一個硅中介層上,實現高算力、高帶寬數據傳輸及低延遲等特點;I-C
122、ube EI-Cube E技術采用硅嵌入結構技術采用硅嵌入結構,不僅具有硅橋精細成像優勢,也同時擁有PLP(面板級封裝技術)大尺寸、無硅通孔(TSV)結構的RDL中介層等特點;H-CubeH-Cube是一種混合基底結構,將精細成像的ABF基底和 HDI(高密度互連)基底技術相結合,可在可在I-Cube 2.5DI-Cube 2.5D封裝中實現較大封裝尺寸封裝中實現較大封裝尺寸。I-Cube(a)、I-Cube E(b)、H-Cube(c)封裝示意圖(a)(b)(c)62請仔細閱讀在本報告尾部的重要法律聲明4.24.2三星:通過垂直堆疊方式大幅節省芯片上空間三星:通過垂直堆疊方式大幅節省芯片上空
123、間資料來源:三星半導體、華金證券研究所u 三星X-Cube技術消除中間中介層或硅橋,直接將靜態隨機內存裸芯(SRAM Die)堆疊在邏輯裸芯(Logic Die)之上。三星已用7nm EUV工藝研制X-Cube樣片,其TSV柱以僅30m間距連接到微型凸點(u-bump),從而使SRAM無需中間介質即可直接連接到管芯。與傳統PoP(Package On Package)封裝相比,X-Cube提高了整體性能降低功耗。u X-Cube先進封裝技術采用在Z軸堆疊邏輯裸片方法,提高動態鍵合能力。利用Chip-on-Wafer及銅混合鍵合技術,通過增加單個堆棧芯片密度,進一步提升X-CUBE速度或性能。X
124、-Cube內部連接結構X-Cube(銅混合鍵合)Samsung Foundry 正在開發超精細的銅混合鍵合技術(例如低于4微米的規格)63請仔細閱讀在本報告尾部的重要法律聲明4.3Intel4.3Intel:嵌入式多芯片互連橋(:嵌入式多芯片互連橋(EMIBEMIB)為)為Intel2.5DIntel2.5D封裝亮點封裝亮點資料來源:Intel官網、華金證券研究所u 結構簡單及信號干擾低是英特爾主導開發EMIB路線主要優勢,應用這一技術,封裝過程中無需制造覆蓋整個芯片硅中介層,以及遍布在硅中介層上大量硅通孔(TSV),而只需使用較小硅橋在裸片間進行互聯即可。與普通封裝技術相比,由芯片 I/O
125、至封裝引腳連接并未發生變化,而無需再通過TSV或硅中介層進行走線。在降低不同裸片間傳輸延時同時也減少信號傳輸干擾。英特爾EMIB技術結構圖 64請仔細閱讀在本報告尾部的重要法律聲明4.3Intel4.3Intel:FoverosFoveros將不同工藝、結構、用途芯片整合將不同工藝、結構、用途芯片整合資料來源:AI芯天下、ANANDTECH、華金證券研究所uFoveros技術是英特爾首次引入3D堆疊優勢,可實現在邏輯芯片實現在邏輯芯片上堆疊邏輯芯片上堆疊邏輯芯片,進行橫向及縱向之間互連,凸點間距進一步降低為50-25m。Foveros為整合高性能、高密度和低功耗硅工藝技術的器件和系統鋪平道路。
126、u第三代Foveros技術:消除第一代頂部芯片需比底部芯片更小的限制,允許頂部芯片懸垂,構建銅柱以連接基板,可從頂部芯片邊緣引入電力。與第二代Foveros相比,凸塊密度增加50%,預計Foveros Omni將于2023年量產。u第四代Foveros技術:采用混合鍵合方式,其芯片間連接的凸點間距降低至10m,密度比第三代提高6倍。Foveros 3D堆疊側視圖Foveros封裝過程與CoWoS較為類似,不同之處在于CoWoS中介層是一片裸晶圓,是無源中 介 層,但Foveros中介層是具有功能芯片,屬于有源Si中介層。第三代第三代 FoverosFoveros:Foveros OmniFov
127、eros Omni第四代第四代 FoverosFoveros:Foveros DirectFoveros Direct 65請仔細閱讀在本報告尾部的重要法律聲明4.4 4.4 日月光集團:日月光集團:扇出型基板上晶片封裝扇出型基板上晶片封裝(FOCoSFOCoS)資料來源:日月光集團官網、華金證券研究所u FOCoSFOCoS是一種安裝在高引腳數球柵陣列是一種安裝在高引腳數球柵陣列 (BGA)(BGA)基板上扇出封裝倒裝芯技術?;迳仙瘸龇庋b倒裝芯技術。扇出封裝具有重新分布層(RDL),允許在多個芯片之間構建更短芯片到芯片(D2D)互連。扇出封裝被視為單個芯片,然后倒裝芯片安裝到BGA基板上。
128、FOCoS-CF由兩個面朝下ASIC小芯片組成,通過Cu通孔直接與RDL連接,并且Si裸片及扇出RDL(L/S 2/2m)之間沒有微凸塊。FOCoS-CL技術中ASIC芯片和2個HBM通過RDL(L/S 2/2m)和Cu微凸塊連接。FOCoS-Bridge技術中使用Si橋芯片(L/S 0.6/0.6m)嵌入扇出RDL層(L/S 10/10m)連通ASIC及HBM。FOCoS-CF(a)、FOCoS-CL(b)、FOCoS-Bridge(c)封裝示意圖(a)FOCoS-CF(Chip First)(b)FOCoS-CL(Chip Last)(c)FOCoS-Bridge 66請仔細閱讀在本報告尾
129、部的重要法律聲明多層RDL剖面多層再布線4.54.5安靠科技:深度布局安靠科技:深度布局TSV-lessTSV-less工藝(工藝(FOWLP,ChipFOWLP,Chip last,Die face down last,Die face down)資料來源:安靠科技官網、華金證券研究所u SLIMSLIM及及SWIFTSWIFT方案均采用方案均采用TSV-lessTSV-less工藝,簡化工藝,簡化2.5D TSV2.5D TSV硅中介層運用時硅中介層運用時PECVDPECVD及及CMPCMP工序。工序。SLIM利用前道代工,在硅片表面的無機介質層上制作1m,甚至亞微米金屬布線,再用有機介質
130、層制作金屬布線,通過倒裝互連、芯片塑封后,刻蝕去掉硅片,再制作BGA,完成三維集成。SWITT特點是在Carrier基板上制作多層布線,與芯片通過微凸點倒裝,然后塑封,通過穿透模塑料高銅柱實現三維垂直互連,進一步在背面再做一層布線,用于與上封裝體進行高密度互連。SWIFT封裝技術工藝流程及工藝特點鍍銅RDL L/S縮小至2微米適用于PoP應用高銅柱結構 67請仔細閱讀在本報告尾部的重要法律聲明4.64.6長電科技:長電科技:TSV-lessTSV-less路線實現高性價比先進封裝路線實現高性價比先進封裝資料來源:長電科技、半導體產業縱橫、華金證券研究所u XDFOIXDFOI全系列極高密度扇出
131、型封裝解決方案是新型無硅通孔晶圓級極高密度封裝技術。全系列極高密度扇出型封裝解決方案是新型無硅通孔晶圓級極高密度封裝技術。在有機重布線堆疊中介層(RDL Stack Interposer,RSI)上,放置一顆或多顆邏輯芯片(CPU/GPU等)或高帶寬內存芯片(HBM)等,形成一顆高集成度的異構封裝體,相較于2.5D硅通孔(TSV)封裝技術,具備更高性能、更高可靠性及更低成本等特性。該解決方案在線寬或線距可達到2m的同時,可實現多層布線層,另外,采用了極窄節距凸塊互聯技術,封裝尺寸大,可集成多顆芯片、高帶寬內存和無源器件。2.5D XDFOI工藝流程2.5D XDFOI RDL分解 68請仔細閱
132、讀在本報告尾部的重要法律聲明4.74.7對比:先進封裝領域內國內技術與頭部廠商差距較小對比:先進封裝領域內國內技術與頭部廠商差距較小企業企業FCFCWLPWLPFan-OutFan-Out2.5D2.5D3D3DchipletchipletRDLRDL日月光集團N.A.5層RDL、L/S 1.2微米安靠科技N.A.N.A.矽品N.A.N.A.臺積電2nm(2025量產)6層RDL、L/S 2微米長電科技4nm節點多芯片系統集成封裝產品出貨5層RDL、L/S 1.5微米通富微電自建2.5D/3D線全線通線7nm量產、5nm完成研發5層RDL超大尺寸封裝(6565mm)華天科技N.A.N.A.u
133、目前,全球半導體行業傳統集成電路封測技術與先進集成電路封測技術并行,并通過Flip-Chip、QFN、BGA等主要集成電路封測技術進行大規模生產。先進封裝領域,日月光集團、安靠科技、矽品均已掌握WLP、Fan-Out、Flip Chip、2.5D/3D封測技術并實現產品量產;中國大陸企業以傳統集成電路封測技術為基礎,紛紛加大對先進集成電路封測技術研發投資力度并加緊研發,先進集成電路封測市場滲透率逐步增加,并逐步進行全球布局。注:代表已量產,代表正在研發,N.A.表示未披露資料來源:賽迪智庫、華金證券研究所整理 69請仔細閱讀在本報告尾部的重要法律聲明公司公司類型類型封裝名稱封裝名稱Interc
134、onnectInterconnectRDLRDLInterposerInterposerStackStackBumpBump臺積電2DInFOInFO_BRDLInFO_PoPRDLInFO_SoISRDLCu/C4InFO_SoWRDLCu/C4InFO_R/oSRDLCu/C4InFO_L/LSILSI(TSV)+RDLCu/C42.5DCoWoSCoWoS-SSiCu/C4CoWoS-RRDLCu/C4CoWoS-LLSI(TSV)+RDLCu/C43DSoICSoIC-CoWCoWDCBSoIC-WoWWoWDCB三星2.5DI-CubeI-Cube SSiDCBI-Cube ESi
135、Bridge+RDLBumpH-CubeSi+ABFBump3DX-CubeX-Cube BumpCoWBumpX-Cube HCBCoWDCB英特爾2.5DEMIBSi Bridge+RDLBump3DFoverosFoverosCoCCuFoveros OmniCoCCuFoveros DirectCoCDCBCO-EMIB4.74.7對比:先進封裝領域內國內技術與頭部廠商差距較小對比:先進封裝領域內國內技術與頭部廠商差距較小資料來源:半導體綜研、華金證券研究所整理 70請仔細閱讀在本報告尾部的重要法律聲明4.74.7對比:先進封裝領域內國內技術與頭部廠商差距較小對比:先進封裝領域內國內技
136、術與頭部廠商差距較小公司公司類型類型封裝名稱封裝名稱InterconnectInterconnectRDLRDLInterposerInterposerStackStackBumpBump安靠科技2DSWIFT/HDFORDLN.A.3DSLIMW-SLIMRDL+BEOLCuS-SLIMRDL+BEOLCu日月光集團2DFOCoSFOCoS-CFRDLC4FOCoS-CLRDLC4FOCoS-BridgeSi Bridge+RDLC42.5DFOEBSi Bridge+RDLN.A.長電科技2DXDFOIRDL2.5DXDFOIRDL(TSV-less)3DXDFOIRDL華天科技2DeSi
137、FOS-eSiFORDLM-eSiFORDLXL-eSiFORDLUT-eSiFORDL3D 3D SiFO-oSRDL+TSVN.A.eSinCeSinC-CoWCoWN.A.eSinC-PoPPoP通富微電2.5D+ViSions資料來源:半導體綜研、華金證券研究所整理 71請仔細閱讀在本報告尾部的重要法律聲明目錄目錄0102040305先進封裝:打破先進封裝:打破ICIC發展限制,向高密度封裝時代邁進發展限制,向高密度封裝時代邁進技術分析:橫向連接技術分析:橫向連接/縱向堆疊奠定先進封裝技術基石縱向堆疊奠定先進封裝技術基石產業鏈:材料與設備任重道遠,先進封裝粲然可觀產業鏈:材料與設備任重
138、道遠,先進封裝粲然可觀行業現狀:制造與行業現狀:制造與IDMIDM廠商入駐先進封裝,開辟中道工藝廠商入駐先進封裝,開辟中道工藝應用與需求應用與需求:芯粒:芯粒IPIP復用延續摩爾定律,新建晶圓廠與產線擴產共促封測需求復用延續摩爾定律,新建晶圓廠與產線擴產共促封測需求5.1 Chiplet5.1 Chiplet概念概念5.1.1 5.1.1 大道至簡,芯粒大道至簡,芯粒IPIP復用構建高集成度芯片復用構建高集成度芯片5.1.2 5.1.2 為異構為異構/異質集成實現夯實技術基礎異質集成實現夯實技術基礎5.2 5.2 發展:發展:ChipletChiplet進入成長期,標準逐漸統一進入成長期,標準
139、逐漸統一5.3 Chiplet5.3 Chiplet優勢優勢5.3.1 5.3.1 接力摩爾定律,持續推進經濟效應接力摩爾定律,持續推進經濟效應5.3.2 Chiplet5.3.2 Chiplet助力良率及晶圓使用面積顯著性提升助力良率及晶圓使用面積顯著性提升5.3.3 5.3.3 較較SoCSoC綜合成本下降綜合成本下降5.3.4 5.3.4 芯粒芯粒IPIP化,設計周期及成本顯著降低化,設計周期及成本顯著降低5.4 5.4 應用應用5.4.1 5G/5.4.1 5G/物聯網物聯網/高性能運算高性能運算/智能駕駛智能駕駛/XR/XR等帶動先進封裝需求等帶動先進封裝需求5.4.2 5.4.2
140、手機與消費領域為先進封裝最大應用領域手機與消費領域為先進封裝最大應用領域5.4.35.4.3 先進封裝在智能手機多芯片先進封裝在智能手機多芯片/傳感器得到應用傳感器得到應用5.4.4 5.4.4 智能駕駛級別上升將帶動汽車領域先進封裝需求智能駕駛級別上升將帶動汽車領域先進封裝需求5.4.5 5.4.5 高效節能芯片需求帶動高性能計算領域先進封裝加速滲透高效節能芯片需求帶動高性能計算領域先進封裝加速滲透5.4.6 5.4.6 算力為實現算力為實現AIAI產業化核心,高端芯片需求帶動先進封裝增長產業化核心,高端芯片需求帶動先進封裝增長5.4.7 AIGC5.4.7 AIGC多行業滲透,間接提高先進
141、封裝市場增量多行業滲透,間接提高先進封裝市場增量5.5 5.5 需求需求5.5.1 5.5.1 中國晶圓廠獨占鰲頭,預計至中國晶圓廠獨占鰲頭,預計至20242024年底建立年底建立5050座大型晶圓廠座大型晶圓廠5.5.3 Fabless5.5.3 Fabless縱向拓展封測領域,有縱向拓展封測領域,有望帶動先進封裝多元發展望帶動先進封裝多元發展5.5.4 5.5.4 各大封測廠積極擴產,為新一各大封測廠積極擴產,為新一輪應用需求增長做好準備輪應用需求增長做好準備 72請仔細閱讀在本報告尾部的重要法律聲明資料來源:電子工程專輯、半導體行業觀察、華金證券研究所5.1Chiplet5.1Chipl
142、et:大道至簡,芯粒:大道至簡,芯粒IPIP復用構建高集成度芯片復用構建高集成度芯片u Chiplet(芯粒,也叫小芯片)將一類滿足特定功能Die(裸片)通過Die-to-Die內部互聯技術將多個模塊芯片與底層基礎芯片封裝在一起,形成一個系統芯片(Soc芯片),從而實現一種新形式IP復用。u Chiplet技術背景下,可將大型單片芯片劃分為多個相同或者不同小芯片,這些小芯片可以使用相同或者不同工藝節點制造,再通過跨芯片互聯及封裝技術進行封裝級別集成,降低成本的同時獲得更高的集成度。單片單片SoCSoCChipletChiplet芯片芯片設計成本最高,7nm芯片設計成本大于2億美元比單片SoC設
143、計成本低設計時間最長,一般大于18個月較短,一般12個月,后續設計更快設計風險最高,遺漏功能需要重新設計較低,重新設計容易,可以增減模塊芯片性能最高性能,針對不能規?;δ艿闹匦略O計會造成資源低效使用較高,可根據模塊功能選擇芯片制程功耗最低接近單片SoC功耗上市時間最慢較快產品尺寸最小較小SoC技術和Chiplet技術關系示意圖SoC技術和Chiplet技術對比SoCChipletIPIP 73請仔細閱讀在本報告尾部的重要法律聲明資料來源:Acconsys、電子發燒友、華金證券研究所5.1Chiplet5.1Chiplet:為異構:為異構/異質集成實現夯實技術基礎異質集成實現夯實技術基礎u 異
144、構集成主要指將多個不同工藝節點單獨制造的芯片封裝到一個封裝內部,可以對采用不同工藝、不同功能不同制造商制造的組件進行封裝。例如將不同廠商7nm、10nm、28nm、45nm小芯片通過異構集成技術封裝在一起。u 異質集成指將不同材料半導體器件集成到一個封裝內,可產生尺寸小、經濟性好、靈活性高、系統性能更佳的產品。如將Si、GaN、SiC、InP生產加工的芯片通過異質集成技術封裝到一起,形成不同材料的半導體在同一款封裝內協同工作場景。異構集成與Chiplet異質集成與Chiplet 74請仔細閱讀在本報告尾部的重要法律聲明資料來源:云岫資本、數智界、華金證券研究所5.25.2發展:發展:Chipl
145、etChiplet進入成長期,標準逐漸統一進入成長期,標準逐漸統一早期狀態中間形態最終格局202320232025202520222022及及以前以前EDAIP設計制造設計基板EDAIP設計制造設計基板有源基板自家ChipletsEDAIP大芯片設計制造設計基板有源基板Chiplet設計Chiplet封裝EDAIPChiplet生態成熟期成熟期真正IP硬化時代誕生一批新公司誕生一批新公司:小芯片設計公司、集成小芯片的大芯片設計公司、有源基板供應商、用于封裝Chipitlet的EDA公司Chiplet生態成長期成長期設計商對自家設計的Chiplets進行自重用自重用與自迭代自迭代工藝和互聯標準登
146、生態逐步成型并統一Chiplet生態早期早期設計商發力,把自家大芯片分拆,并尋求先進封裝組合起來未對產業鏈形成沖擊,未對產業鏈形成沖擊,“中道中道”工藝雛形顯現工藝雛形顯現 75請仔細閱讀在本報告尾部的重要法律聲明資料來源:IBS、芯智訊、華金證券研究所5.3Chiplet5.3Chiplet優勢(一):接力摩爾定律,持續推進經濟效應優勢(一):接力摩爾定律,持續推進經濟效應u 隨著制程工藝推進,成本經濟效益逐步降低。隨著制程工藝推進,成本經濟效益逐步降低。從成本方面來看,隨著先進制程推進,芯片每平方毫米成本不斷上升,但隨著晶體管密度提升,同樣數量晶體管所占芯片面積不斷下降,故單位數量晶體管成
147、本實際一直在下降。如英特爾14nm、10nm及7nm工藝晶體管成本有所下降,但下降幅度加速放緩。根據IBS數據,隨著制程工藝推進,單位數量的晶體管成本的下降幅度在急劇降低,從16nm到10nm,每10億顆晶體管的成本降低23.5%,而從5nm到3nm成本僅下降4%。16nm16nm10nm10nm7nm7nm5nm5nm3nm3nmChip area(2)125.0087.6683.2785.0085.00No.of transistors(BU)3.34.36.910.514.1Gross Die per wafer478686721707707Net Die per wafer359.74
148、512.44545.65530.25509.04Wafer Price($)5,912.008,389.009,965.0012,500.0015,500.00Die cost($)16.4316.3718.2623.5730.45Transistor cost per 1B transistors($)4.983.812.652.252.16 76請仔細閱讀在本報告尾部的重要法律聲明資料來源:Penn State College of Engineering、WiKiChip、華金證券研究所5.3Chiplet5.3Chiplet優勢(二):優勢(二):ChipletChiplet助力良率及
149、晶圓使用面積顯著性提升助力良率及晶圓使用面積顯著性提升u 小晶片模式可提高晶片良率及晶圓使用面積,同等尺寸晶圓下,小芯片良率更高。小晶片模式可提高晶片良率及晶圓使用面積,同等尺寸晶圓下,小芯片良率更高。Chiplet方案將大芯片分為多個裸芯片,單位面積較小,相對而言良率會有所提升。同樣大小晶圓上,大晶片只能切出54顆,小晶片可切出264顆,假設掉落20顆灰塵,在大芯片立可能有16顆芯片受損,良率為70%,小芯片良率為92%。對于12英寸晶圓,中等尺寸裸片18mmx20mm(3602)可切150顆,而其四分之一大小的裸片9.5mmx10.5mm(約992)可切割622顆,晶圓利用面積可提升14%
150、。大芯片與小芯片良率示意圖Chiplet良率與Monolithic芯片設計良率對比20 Defects16 Bad Die54 Gross Die70%Yield20 Defects20 Bad Die264 Gross Die92%Yield 77請仔細閱讀在本報告尾部的重要法律聲明5.3 Chiplet5.3 Chiplet優勢(三):較優勢(三):較SoCSoC綜合成本下降綜合成本下降資料來源:Chiplet actuary:a quantitative cost model and multi-chiplet architecture exploration、華金證券研究所14nm14
151、nm7nm7nm5nm5nm2 2 ChipletsChiplets3 3 ChipletsChiplets5 5 ChipletsChiplets 78請仔細閱讀在本報告尾部的重要法律聲明資料來源:林利集團、半導體行業觀察、華金證券研究所5.3 Chiplet5.3 Chiplet優勢(三):較優勢(三):較SoCSoC綜合成本下降綜合成本下降整體式整體式差異差異小芯片小芯片晶圓成本(7nm)$9,350$9,350總裸片尺寸60021.1x6602單裸片尺寸60021652每個晶圓總裸片數96387缺陷率(/2)0.20.2有效面積80%1x80%預計良率43%78%每個晶圓凈裸片數423
152、00單裸片成本$224$31裸片總成本$224$124測試總成本$101.2x$12封裝$1601.25x$200封裝損耗1%4x4%總制造成本$398$347uChipletChiplet成本降幅高于其封測成本增幅。成本降幅高于其封測成本增幅。根據林利集團分析,Chiplet成本降幅幅主要來源于良率提升,較小芯片良率幾乎是大型單片芯片兩倍,從而節省100美元裸片總芯片成本;由于小芯片需要額外芯片到芯片連接區域,故多芯片封裝組裝成本與損耗更高,且測試環節多個芯片而不是一個芯片,測試總成本較高;封裝成本抵消大約一半芯片成本節省,凈收益為13%。u在更先進制造節點中,小芯片在更廣泛設計中具有優勢。
153、在更先進制造節點中,小芯片在更廣泛設計中具有優勢。根據林利集團數據,在5nm工藝中,晶圓成本達17,000美元,故轉移到更小芯片所節省成本更大,更易抵消封裝成本增加,5nm凈成本節省比7nm高約10%。79請仔細閱讀在本報告尾部的重要法律聲明資料來源:芯原股份2022年年度報告、電子工程專輯、華金證券研究所5.3Chiplet5.3Chiplet優勢(四):芯粒優勢(四):芯粒IPIP化,設計周期及成本顯著降低化,設計周期及成本顯著降低u ChipletChiplet模式開發周期短、設計靈活性強、設計成本低。模式開發周期短、設計靈活性強、設計成本低。Chiplet將不同功能的IP,如 CPU、
154、存儲器、模擬接口等,可靈活選擇不同工藝分別進行生產,從而可靈活平衡計算性能與成本,實現功能模塊最優配置而不必受限于晶圓廠工藝??蓪⒉煌に嚬濣c、材質、功能、供應商的具有特定功能商業化裸片集中封裝,以解決 7nm、5nm及以下工藝節點中性能與成本的平衡,并有效縮短芯片設計時間并降低風險?;?Chiplet的異構架構應用處理器的示意圖基于 Chiplet的異構架構應用處理器的示意圖 80請仔細閱讀在本報告尾部的重要法律聲明5.45.4應用應用:5G/5G/物聯網物聯網/高性能運算高性能運算/智能駕駛智能駕駛/XR/XR等帶動先進封裝需求等帶動先進封裝需求資料來源:集微咨詢、華金證券研究所u 從長
155、期來看,先進封裝技術必將隨著終端應用的升級和對芯片封裝性能的提升而蓬勃發展。隨著新技術演進,以2.5D/3D為代表的先進封裝工藝已深入大多數高端芯片生產。應用領域應用領域CPU/GPUCPU/GPUAPUAPUDPUDPUMCUMCUASICASICFPGAFPGA存儲存儲傳感器傳感器模擬模擬光電子光電子人工智能FC、2.5D/3D、FO、SiPFC、FO、EDFC、WB、QFN、WLCSPFC、FOFC、2.5D/3D、FOFC、3D、WB、QFN、WLCSP、SiP智能駕駛FC、FO、WB、QFN、WLCSP、SiPFC、FO、WB、QFN、ED、SiPAR/VRHPCFC、FO、EDFC
156、、2.5D/3D、FOFC、2.5D/3D、WB、SiPIoTFC、WB、QFN、WLCSPFC、FO、WB、QFN、WLCSP、SiPFC、FO、WB、QFN、ED、SiP5GFC、2.5D/3D、FO、SiPFC、FO、EDFC、2.5D/3D、WB、SiP手機通信FC、FO、WB、QFN、WLCSP、SiP區塊鏈FC、2.5D/3D、FOFC、2.5D/3D、FO 81請仔細閱讀在本報告尾部的重要法律聲明資料來源:Yole、華金證券研究所5.45.4應用:手機與消費領域為先進封裝最大應用領域應用:手機與消費領域為先進封裝最大應用領域u 手機與消費領域仍為先進封裝最大應用領域,電信與基礎設
157、施占比增速最快。手機與消費領域仍為先進封裝最大應用領域,電信與基礎設施占比增速最快。根據Yole數據,2022年,移動和消費者占先進封裝市場總量70%,預計2022年至2028年復合年增長率為7%,到2028年將占先進封裝收入61%。電信和基礎設施領域增長最快,預計2022年至2028年復合年增長率約為17%,預計到2028年將占先進封裝市場27%;預計2028年汽車占市場9%,而其他(醫療、工業和航空航天/國防等領域)將占3%。類別類別CAGR手機與消費領域7%汽車10%電信與基礎設施17%其他10%2028$78.6B2022$44.3B手機與消費領域CAGR2228:10.6%汽車電信與
158、基礎設施其他2022-2028F全球先進封裝應用占比(%)82請仔細閱讀在本報告尾部的重要法律聲明資料來源:半導體封測年會、華金證券研究所5.45.4應用:先進封裝在智能手機多芯片應用:先進封裝在智能手機多芯片/傳感器得到應用傳感器得到應用TunerSWFEMRF TxPAMLB PADMB PAMEnvelopeHB PAMSWWLCSPMini QFNLGASiPWLCSPMini QFNLGASiPBoosterControllerWIFI/BT/FMWLCSPSiPConnectiveConnectiveCellularCellularPAMWiFiFEMMemoryMemoryEMM
159、CEMCPLCD DriverTS ControllerGold bumping COFPL Sensor6-axis A+GBarometerAL Sensor3-axis AccelE-compass3D TouchSensors3D TouchDriverWLCSPCOBQFNLGASensorSensorLP DRAMPOPAP/BPAP/BPFC/WBCSPFCBGAFan outWLCSPBGAFan outLighting ICPMICPMICWLCSPLGASiPMiniQFNAudio CODECClass-D AmpMEMS MicMEMS MicAudioAudioPMI
160、CPMICMassProduction2020 MassProduction 83請仔細閱讀在本報告尾部的重要法律聲明資料來源:中國汽車工業協會、Sk海力士、華金證券研究所5.45.4應用:智能駕駛級別上升將帶動汽車領域先進封裝需求應用:智能駕駛級別上升將帶動汽車領域先進封裝需求u 在汽車領域,高級駕駛輔助系統(ADAS)、電氣化,以及虛擬駕駛艙等概念深入,為先進封裝在汽車領域深入發展提供機遇。智能駕駛級別上升將帶動傳感器數量需求,且需要更高水平車內計算能力提供支撐。以L3升級為L4為例,所需激光雷達、CMOS圖像傳感器、毫米波雷達等傳感器,總數量預計將為前一級8-10倍,數量眾多傳感器,則需
161、系統擁有更大帶寬及存儲容量。先進封裝將為汽車客戶提供平臺,集成先進CPU芯片及互補功能,如串行/解串器、電源管理集成電路及存儲器。2013-2022年中國新能源汽車銷量(萬輛/%)自動駕駛等級劃分-50%0%50%100%150%200%250%300%350%400%0 100 200 300 400 500 600 700 800 2013201420152016201720182019202020212022新能源汽車銷量增長率 84請仔細閱讀在本報告尾部的重要法律聲明資料來源:Chip Scale Review、華金證券研究所5.45.4應用:高效節能芯片需求帶動高性能計算領域先進封裝
162、加速滲透應用:高效節能芯片需求帶動高性能計算領域先進封裝加速滲透u 電源、性能、成本間找到最終優化平衡成為高性能芯片新要求,先進封為其實現提供技術支撐。電源、性能、成本間找到最終優化平衡成為高性能芯片新要求,先進封為其實現提供技術支撐。隨著半導體工藝節點向7nm及以下發展速度減慢,集成電路行業逐漸走進后摩爾時代。在高性能計算領域,技術創新對高效節能芯片要求強烈。目前,系統級封裝SiP已經成為高性能計算領域主流封裝解決方案。其中,Chiplet封裝概念及2.5D/3D封裝已快速興起并成為高性能計算應用技術趨勢。適用于小芯片的異構集成平臺 85請仔細閱讀在本報告尾部的重要法律聲明5.45.4應用:
163、算力為實現應用:算力為實現AIAI產業化核心,高端芯片需求帶動先進封裝增長產業化核心,高端芯片需求帶動先進封裝增長資料來源:快科技、芯礪智能、華金證券研究所各模型推出時間參數量u 算力是實現算力是實現AIAI產業化核心力量,其發展將對人工智能技術進步及應用起到決定性作用產業化核心力量,其發展將對人工智能技術進步及應用起到決定性作用。隨著人工智能向多場景化、規?;?、融合化等高應用階段方向發展,數據體量呈現出急劇增長態勢,算法模型的參數量呈指數級增加,以加速計算為核心的算力中心規模將不斷擴大。從GPT-1到GPT-3,大模型的參數量從1.1億激增到1750億個,GPT-4則達到了萬億級別。如今邊緣
164、端可部署大模型參數量一般在10億級別,并有望迅速突破百億級別。龐大參數量將對芯片內存及帶寬提出更高要求,帶動先進封裝應用市場發展。大模型訓練需求對比 86請仔細閱讀在本報告尾部的重要法律聲明5.45.4應用:應用:AIGCAIGC多行業滲透,間接提高先進封裝市場增量多行業滲透,間接提高先進封裝市場增量資料來源:水木人工智能學堂、增長黑盒、麥肯錫咨詢、華金證券研究所信息與通訊技術信息與通訊技術媒體行業媒體行業金融保險業金融保險業休閑娛樂業休閑娛樂業零售業零售業營銷&銷售電商營銷、信息流廣告投放、直播u營銷、內容營銷、社交媒體營銷、客戶服務內容營銷、社媒營銷、內容合規性審查、信息流廣告投放產品推廣
165、、客戶服務、社交媒體營銷、內容合規性審查內容營銷、社媒營銷、內容合規性審查、信息流廣告投放、線下場景營銷、會員營銷產品營銷、內容營銷、廣告合規性審查、內容策劃、線下營銷財務&法務財務報表編制分析、稅務籌劃與申報、AI內容合規性監測、合同管理(合同生成、合同歸檔)人力資源AI招聘管理(招聘信息生成、簡歷篩選、AI面試)、AI培訓管理、員工績效與數據分析管理、員工信息數據庫整合研發生產輔助代碼開發(基礎代碼設計、代碼擴寫、代碼審計)、硬件開發(芯片設計、系統集成)、數據標注新聞內容生產、媒體平臺與應用開發金融產品開發(信用卡、貸款、保險、投資)、金融科技工具開發娛樂內容制作、互聯網平臺開發產品設計
166、研發、供應鏈管理、生產流程優化、產品質量管理u AIGCAIGC技術驅動高度依賴模型算力與具體場景信息數據技術驅動高度依賴模型算力與具體場景信息數據產量。產量。從行業層面分析,AIGC在不同行業之間潛在應用率與行業自身數字化水平高度相關。根據中國MGI行業數字化指數,數字化水平全行業前五為信息與通訊技術、媒體行業、金融保險業、休閑娛樂業及零售業。根據增長黑盒數據,在應用率方面,五大行業AIGC應用率均值高達88%,其中,ICT行業AIGC應用率最高,Top20企業應用率超95%,而消費零售業相對較低,Top20應用率85%;在職能場景應用方面,五大行業中Top20企業在產品研發生產方面的AIG
167、C應用率最高,均值69%;而人力資源領域均值應用率僅有9%,其中消費零售行業貢獻40%。0%10%20%30%40%50%60%70%80%90%100%金融業媒體行業娛樂休閑行業ICT-游戲軟件ICT-科技制作消費零售業五大行業賽道全球Top20AIGC應用率 87請仔細閱讀在本報告尾部的重要法律聲明資料來源:SEMI、電子工程專輯、芯智訊、華金證券研究所5.55.5需求:中國晶圓廠獨占鰲頭,預計至需求:中國晶圓廠獨占鰲頭,預計至20242024年底建立年底建立5050座大型晶圓廠座大型晶圓廠01020304050607080200020012002200320042005200620072
168、0082009201020112012201320142015201620172018201920202021中國美國日本韓國德國意大利u 中國晶圓廠數目獨占鰲頭,韓國系后起之秀。中國晶圓廠數目獨占鰲頭,韓國系后起之秀。根據電子工程專輯數據,截至2021年底中國(包含中國臺灣)晶圓廠數目為78座,成為世界上擁有最多晶圓廠國家,美國(46)、日本(44)分別排名第二、第三。近年來韓國加大集成電路投資規模,2014年超過德國成為世界第四大晶圓制造國家,截至2021年底韓國擁有25座晶圓廠,后發動力不可小覷。根據SEMI數據,預計至2024年底,中國將新建立50座大型晶圓廠,其中中國臺灣預計投入19
169、座。2000-2021年全球主要國家晶圓廠數目(座)88請仔細閱讀在本報告尾部的重要法律聲明資料來源:SEMI、華金證券研究所5.55.5需求:全球需求:全球8 8寸、寸、1212寸晶圓產能有望持續提升,直接帶動封裝需求寸晶圓產能有望持續提升,直接帶動封裝需求2018-2025F全球200mm晶圓產能(萬片/月)2021-2026F全球300mm晶圓產能(萬片/月)u 全球全球8 8寸、寸、1212寸晶圓產能有望持續提升,帶動封裝需求。寸晶圓產能有望持續提升,帶動封裝需求。根據SEMI數據,2026年全球300mm晶圓廠產能有望提高至960萬片/月,受限于美國出口管制,中國大陸將持續投資于成熟
170、制程,以引領300mm晶圓廠產能,且中國大陸在全球份額有望從2022年的22%增加到2026年的25%,晶圓產能達240萬片/月;全球半導體制造商預計將從2021年到2025年將200mm晶圓廠產能提高20%,新增13條200mm生產線,產能有望超700萬片/月,到2025年,中國大陸將以66%增速在200mm產能擴張方面領先世界。89請仔細閱讀在本報告尾部的重要法律聲明股票代碼股票代碼公司名稱公司名稱模式模式說明說明688052.SH納芯微設計+少量封測公司購置大量定制化測試設備,其中多數定制化測試設備放置在委外封測廠商進行芯片測試,少量測試設備用于公司自建集成式壓力傳感器芯片測試標定線68
171、8061.SH燦瑞科技設計+封測對封裝測試服務進行前瞻性戰略布局和產能建設儲備。根據公司未來發展戰略,對封裝測試業務將采取逐步投入、緊跟芯片產品布局規劃安排,在優先滿足內部封測需求后,適量承接外部封測業務688153.SH唯捷創芯設計+子公司布局封測 測試環節根據公司產品類型和產能規劃等因素選擇由外部供應商或者唯捷精測(子公司)完成688209.SH英集芯設計+部分測試公司自身僅從事部分芯片測試工作688270.SH臻鐳科技設計+子公司布局封測子公司主要從事高可靠性射頻微系統(含微波組件)和氮化鎵器件等產品的工藝開發、流片代工以及特種封裝業務等,系公司供應商688286.SH敏芯股份設計+部分
172、測試公司專注于MEMS傳感器研發與設計,并從事部分晶圓測試和成品測試等生產工序,晶圓制造和封裝等主要生產環節由專業的晶圓制造和封裝廠商完成。688699.SH明微電子設計+封測目前公司擁有兩個封裝測試廠山東貞明和銅陵碁明,積累了多年研發生產經驗,滿足公司產品不同種類封測產能的要求,提升公司應對市場新品需求的響應速度,加快新品發布時間300782.SZ卓勝微Fab-Lite通過自建濾波器產線,使公司擁有芯片設計、工藝制造和封裝測試全產業鏈能力688525.SH佰維存儲設計+封測公司自建封測廠,以滿足自身 NAND 與 DRAM 存儲芯片及模組的封測制造需求,并利用富余產能對外承接存儲器與 SiP
173、 封測業務。688728.SH格科微Fab-Lite通過自有 Fab 產線基礎,把整個產品從設計,研發,制造,測試,銷售全環節打通,在當前半導體整體產能緊缺的情況下極高的提升了自身的產品競爭力605111.SH新潔能設計+封測子公司電基集成TO-247、TO-247PLUS封裝生產線順利通線投產,并完成了TO-247封裝生產線擴產;子公司金蘭半導體第一條IGBT模塊封裝測試生產線已經基本購建完成688711.SH宏微科技設計+封測公司模塊采用自產模式,通過自有生產線對功率半導體芯片進行模塊化封裝與測試,最終形成功率模塊300661.SZ圣邦股份設計+子公司布局封測擬與江陰高新技術產業開發區管理
174、委員會簽署投資協議,在江陰高新技術產業開發區內投資設立全資子公司作為項目實施主體,建設集成電路設計及測試項目688798.SH艾為電子設計+子公司布局封測募集7.3億元用于電子工程測試中心建設項目。擬購置各類測試設備,建設自有工程測試中心,開展可靠性測試、失效性分析等5.55.5需求:需求:FablessFabless縱向拓展封測領域,有望帶動先進封裝多元發展縱向拓展封測領域,有望帶動先進封裝多元發展資料來源:各公司公告、華金證券研究所 90請仔細閱讀在本報告尾部的重要法律聲明公司名稱公司名稱募投計劃募投計劃說明說明長電科技年產36億顆高密度集成電路及系統級封裝模塊項目本項目建成后將形成通信用
175、高密度集成電路及模塊封裝年產36億塊DSmBGA、BGA、LGA、QFN 等產品的生產能力。年產100億塊通信用高密度混合集成電路及模塊封裝項目本項目建成后將形成通信用高密度混合集成電路及模塊封裝年產100億塊DFN、OFN、FC、BGA 等產品的生產能力。氣派科技高密度大矩陣小型化先進集成電路封裝測試擴產項目項目建成后,將新增封裝測試產能 16.1 億只/年;本項目計劃總投資額為43,716.76 萬元(含稅),其中設備購置及安裝支出38,033.63萬元、軟件購置571.78萬元研發中心(擴建)建設項目本項目建設內容為公司研發中心的升級擴建,計劃總投資額為 4,876.17 萬元(含稅),
176、其中設備和軟件投資2,555.27萬元甬矽電子高密度 SiP 射頻模塊封測項目本項目完全達產后,每月將新增14,500萬顆SiP射頻模塊封測產能,公司系統級封裝制程能力將進一步增強匯成股份12吋顯示驅動芯片封測擴能項目公司利用現有廠區,在現有技術及工藝的基礎上進行的產能擴充。項目達產后,公司 12 吋晶圓金凸塊制造、晶圓測試、玻璃覆晶封裝與薄膜覆晶封裝產能將大幅提升。研發中心建設項目本項目總投資8,980.84萬元,其中設備購置費6,892.20萬元,項目針對凸塊結構優化、測試效率提升、倒裝技術鍵合品質、CMOS圖像傳感器封裝工藝等加大研發投入通富微電存儲器芯片封裝測試生產線建設項目本項目建成
177、后,年新增存儲器芯片封裝測試生產能力1.44億顆,其中wBGA(DDR)1.08 億顆、BGA(LPDDR)0.36億顆。高性能計算產品封裝測試產業化項目本項目建成后,年新增封裝測試高性能產品32,160萬塊的生產能力,其中FCCSP系列30,000萬塊,FCBGA系列2,160萬塊。5G 等新一代通信用產品封裝測試項目本項目建成后,年新增5G等新一代通信用產品241,200萬塊的生產能力,其中FCLGA系列129,000萬塊,QFN系列64,200萬塊,QFP系列48,000萬塊。圓片級封裝類產品擴產項目本項目計劃總投資97,868.00萬元,其中設備購置等投入89,444.00萬元。建成后
178、,年新增集成電路封裝產能 78 萬片功率器件封裝測試擴產項目本項目建成后,年新增功率器件封裝測試產能144,960萬塊的生產能力,其中 PDFN系列124,200萬塊,TO系列20,760萬塊。華天科技集成電路多芯片封裝擴大規模項目本項目總投資115,800.00萬元,其中,廠房建設及設備購置等投入112,801.15萬元。建成后,將形成年產 MCM(MCP)系列集成電路封裝測試產品18億只的生產能力高密度系統級集成電路封裝測試擴大規模項目本項目總投資115,038.00 萬元,其中,設備購置等投入111,483.17萬元。建成達產后,將形成年產 SiP 系列集成電路封裝測試產品15 億只的生
179、產能力TSV 及 FC 集成電路封測產業化項目本項目總投資98,320.00萬元,其中設備購置等投入96,314.58萬元。達產后,將形成年產晶圓級集成電路封裝測試產品33.60萬片、FC系列產品4.8億只生產能力存儲及射頻類集成電路封測產業化項目本項目總投資150,640.00萬元,其中,設備購置等投入146,457.59萬元。建成達產后,將形成年產BGA、LGA系列集成電路封裝測試產品13億只生產能力5.55.5需求:各大封測廠積極擴產,為新一輪應用需求增長做好準備需求:各大封測廠積極擴產,為新一輪應用需求增長做好準備資料來源:各公司公告、華金證券研究所 91請仔細閱讀在本報告尾部的重要法
180、律聲明目錄目錄010204030506先進封裝:打破先進封裝:打破ICIC發展限制,向高密度封裝時代邁進發展限制,向高密度封裝時代邁進技術分析:橫向連接技術分析:橫向連接/縱向堆疊奠定先進封裝技術基石縱向堆疊奠定先進封裝技術基石產業鏈:材料與設備任重道遠,先進封裝粲然可觀產業鏈:材料與設備任重道遠,先進封裝粲然可觀行業現狀:制造與行業現狀:制造與IDMIDM廠商入駐先進封裝,開辟中道工藝廠商入駐先進封裝,開辟中道工藝應用與需求:芯粒應用與需求:芯粒IPIP復用延續摩爾定律,新建晶圓廠與產線擴產共促封測需復用延續摩爾定律,新建晶圓廠與產線擴產共促封測需求求相關標的相關標的6.1 6.1 通富微電
181、:通富微電:AMDAMD深度綁定,先進封裝前景可期深度綁定,先進封裝前景可期6.2 6.2 長電科技:全球領先的集成電路制造和技術服務提供商長電科技:全球領先的集成電路制造和技術服務提供商6.3 6.3 華天科技:以華天科技:以3D Matrix3D Matrix平臺為基礎,構建先進封裝技術地基平臺為基礎,構建先進封裝技術地基6.4 6.4 芯原股份:全球領先的芯原股份:全球領先的IPIP授權服務商授權服務商6.5 6.5 北方華創:多設備應用于先進封裝領域北方華創:多設備應用于先進封裝領域6.6 6.6 華峰測控:產品華峰測控:產品+技術技術+客戶三大優勢,鞏固國內測試機龍頭地位客戶三大優勢
182、,鞏固國內測試機龍頭地位6.7 6.7 鼎龍股份:鼎龍股份:CMP+CMP+先進封裝材料雙布局先進封裝材料雙布局6.8 6.8 華海誠科:聚焦于封裝材料,部分先進封裝材料已通過客戶驗證華海誠科:聚焦于封裝材料,部分先進封裝材料已通過客戶驗證6.9 6.9 華封科技(未上市):聚焦先進封裝設備領域高端裝備制造商華封科技(未上市):聚焦先進封裝設備領域高端裝備制造商 92請仔細閱讀在本報告尾部的重要法律聲明資料來源:通富微電投資者問答(2023.05.24)、半導體封測年會、華金證券研究所6.1 6.1 通富微電:通富微電:AMDAMD深度綁定,先進封裝前景可期深度綁定,先進封裝前景可期u 公司是
183、集成電路封裝測試服務提供商,為全球客戶提供設計仿真和封裝測試一站式服務。公司是集成電路封裝測試服務提供商,為全球客戶提供設計仿真和封裝測試一站式服務。公司產品、技術、服務全方位涵蓋人工智能、高性能計算、大數據存儲、顯示驅動、5G等網絡通訊、信息終端、消費終端、物聯網、汽車電子、工業控制等領域。通過并購,公司與 AMD 形成了“合資+合作”的強強聯合模式,建立了緊密的戰略合作伙伴關系,雙方在客戶資源、IP 和技術組合上具有高度互補性,有利于 AMD 在 5G、數據中心和汽車市場上進一步邁進。公司是AMD最大封裝測試供應商,占其訂單總數80%以上,未來隨著大客戶資源整合漸入佳境,產生協同效應將帶動
184、整個產業鏈持續受益。通富微電VISionS平臺 93請仔細閱讀在本報告尾部的重要法律聲明資料來源:Wind、半導體封測年會、通富微電2023年半年報、華金證券研究所6.1 6.1 通富微電通富微電:半導體封測領先廠商,先進封裝前景可期半導體封測領先廠商,先進封裝前景可期u 公司先后在江蘇南通崇川、南通蘇通科技產業園、安徽合肥、福建廈門建廠布局;通過收購AMD蘇州及AMD檳城各85%股權,在江蘇蘇州、馬來西亞檳城擁有生產基地;2021年,公司新增第七個封測基地通富通科,位于南通市北高新區;2022年上半年,通富通科一期約2萬平米的改造廠房投入使用,二期約3.4萬平米的廠房機電安裝改造完成并順利投
185、產;2022年6月14日,通富超威檳城啟動新廠房建設儀式,新廠房占地約85畝,預計2023年竣工投入使用。目前,公司在南通擁有3個生產基地,同時,在蘇州、檳城、合肥、廈門也積極進行生產布局,產能方面已形成多點開花的局面??偛拷K南通崇川工廠南通蘇州(AMD)南通通科安徽合肥江蘇蘇州福建廈門馬來西亞檳城(AMD)-Gold bumping-Gold bumping-Bumping/Fan in-Bumping/Fan in-QFN/QFP-LPC/Power-CP/Test services-WBLGA,WBBGBA,PGBA,QFN-Memory(Flash)-FCBGA,FCLGA,FCCS
186、P-FCBGA,FCLGA,FCCSP-SiPSiP-Fan out/Fan in-Fan out/Fan in-2.5D/3D-2.5D/3D-Test services-LQFP-QFN-Power-SiPSiP-Memory-2.5D/3D2.5D/3D-CP/Test services-COG/COP/COF-CP/Test services-SOT23/SC70-SOP/TSOP/QSOP-DIP-QFN-Memory(DRAM)-FCBGA-FCBGA-CP/Test services-FCLGA-FCLGA-FCPGA-FCPGA-Coreless BGA-Gold bumpin
187、g-Gold bumping-COG/CPF-WLCSP-WLCSP-Bumping-CP/Test services-FCBGA-FCBGA-FCLGA-FCLGA-Coreless BGA-WLCSP-WLCSP-CP/Test services 94請仔細閱讀在本報告尾部的重要法律聲明資料來源:長電科技2022年年報、長電科技官網、華金證券研究所6.2 6.2 長電科技:全球領先的集成電路制造和技術服務提供商長電科技:全球領先的集成電路制造和技術服務提供商u 長電科技是全球領先的集成電路制造和技術服務提供商,提供全方位的芯片成品制造一站式服務,包括集成電路的系統集成、設計仿真、技術開發、
188、產品認證、晶圓中測、晶圓級中道封裝測試、系統級封裝測試、芯片成品測試并可向世界各地半導體客戶提供直運服務。u 長電科技在中國、韓國和新加坡設有六大生產基地和兩大研發中心,在20多個國家和地區設有業務機構,可與全球客戶進行緊密的技術合作并提供高效的產業鏈支持。長電科技全球布局長電科技市占率39.36%24.55%14.08%22.01%前十大-中國臺灣前十大-中國大陸前十大-美國其他44%56%長電科技中國大陸其他前十大友商 95請仔細閱讀在本報告尾部的重要法律聲明資料來源:集微咨詢、華金證券研究所6.2 6.2 長電科技:全球領先的集成電路制造和技術服務提供商長電科技:全球領先的集成電路制造和
189、技術服務提供商u 應市場發展之需,長電科技推出XDFOI多維先進封裝平臺,該平臺是一種面向Chiplet極高密度、多扇出型封裝高密度異構集成解決方案,其利用協同設計理念實現了芯片成品集成與測試一體化,涵蓋2D、2.5D、3D集成技術,能夠為客戶提供從常規密度到極高密度,從極小尺寸到極大尺寸的一站式服務。其中XDFOI-2.5D是一種新型TSV-less超高密度晶圓級封裝技術,因此,其在系統成本、封裝尺寸上都具有一定優勢。在設計上,該技術可實現3-4層高密度的走線,其線寬/線距最小可達2um。XDFOI Family2.5DDry Film Fan-OutMolded Fan-OutMold-F
190、irstDirect LaminatedFan-OutRDL-FirstRDL-FirstHybrid Fan-OutChip-on-ChipFace-to-Face Sgacked Fan-Out Package2D3D移動與汽車等應用通信等應用計算與汽車等應用AI及醫療等應用 96請仔細閱讀在本報告尾部的重要法律聲明資料來源:半導體封測年會、華金證券研究所6.3 6.3 華天科技:以華天科技:以3D Matrix3D Matrix平臺為基礎,構建先進封裝技術地基平臺為基礎,構建先進封裝技術地基u 在 Chiplet領域,公司現已具備由TSV、eSiFo、3D SiP構成最新先進封裝技術平臺
191、3D Matrix。其中晶圓級eSiFO主要應用于Fan-out封裝,其優勢包括翹曲小、應力低帶來高可靠性,生產周期短、高集成度。公司基于eSiFO結合TSV技術,開發eSinC 技術。在eSiFO 技術基礎上,可以通過TSV、Bumping 等晶圓級封裝技術,實現3D SiP封裝,為多芯片異質異構集成提供了可能性。目前Chiplet技術已經實現量產,主要應用于5G通信、醫療、物聯網等領域。3D MatrixVertical ViaTSVMVP PlusMVPSi interposerFan out(eSiFO)XL-eSiFOM-eSiFOS-eSiFOUT-eSiFOHybrid bond
192、ingeSinC-PoPeSinC-CoWeSiFO-oS3D SiP 97請仔細閱讀在本報告尾部的重要法律聲明資料來源:華天科技官網、華金證券研究所6.3 6.3 華天科技:以華天科技:以3D Matrix3D Matrix平臺為基礎,構建先進封裝技術地基平臺為基礎,構建先進封裝技術地基西安天水昆山南京上海紀元微科Unisem引線框架封裝-QFN/DFN基板封裝-FBGA/TFBGA/LFBGA-LGA-EHS-FBGA倒裝芯片封裝倒裝芯片封裝-FCDFN/FCOFN-FCBGA/HFCBGA-FCCSP/FCLGA-ED-FCCSP/HB-FCCSP系統級封裝系統級封裝-SIP微機電系統及
193、傳感器-Metal Lid/LCP Lid-Customized Mold/Over Mold-DIP/SKY/SIP/SSIP-SOP/ESOP/eHSOP-MSOP/eMSOP-SSOP/eSSOP-TO/SOT/TSOT-PQFP/LQFP/eLQFP/TQFP-VSOP/SOM-TSSOP/eTSSOP/TSOP-SOW/SSOW系統級封裝系統級封裝-SIP凸塊加工凸塊加工-Copper Pillar Bumping-Solder Bumping晶圓級封裝晶圓級封裝-TSV-WLCSP-Fan-out-eSinC倒裝芯片封裝倒裝芯片封裝-FCDFN/FCQFN-FCSOT/FCTSOT
194、微機電系統及傳感器-Wafer Level基板封裝-FBGA/TFBGA/LFBGA-LGA-EHS-FBGA倒裝芯片封裝倒裝芯片封裝-FCBGA/HFCBGA-FCCSP/FCLGA-ED-FCCSP/HB-FCCCSP系統級封裝系統級封裝-SIP微機電系統及傳感器-Metal Lid/LCP Lid-Customized Mold/-Over MOld凸塊加工凸塊加工-Solder Bumping-CP testArray Packages-MCM/SIP,LGA,FC-LGALead frame Packages-SOIC,QSOP,SOT23,TSOT23,MSOP,TSSOP,SC7
195、0,Micro PLeadless Packages-SLP,FC-SLP,DFN/QFN,WLCSPModule SLP/LGA-MIS Package-Wafer Bumping2008成立 70000凈化車間2003成立 150000凈化車間2008成立 50000凈化車間2019成立 80000凈化車間1996成立 1989成立 27000凈化車間 98請仔細閱讀在本報告尾部的重要法律聲明資料來源:芯原股份官網、華金證券研究所6.4 6.4 芯原股份:全球領先的芯原股份:全球領先的IPIP授權服務商授權服務商u 芯原股份是一家依托自主半導體IP,為客戶提供平臺化、全方位、一站式芯片定制
196、服務和半導體IP授權服務的企業。業務范圍覆蓋消費電子、汽車電子、計算機及周邊、工業、數據處理、物聯網等行業應用領域。u 擁有6類自主可控處理器IP(圖形處理器IP、神經網絡處理器IP、視頻處理器IP、數字信號處理器IP、圖像信號處理器IP和顯示處理器IP)及1,500多個數?;旌螴P、射頻IP及基礎IP。芯原可擴展的Vivante圖形處理器IP設計可滿足各種芯片尺寸和功耗預算,是具成本效益優質圖形處理器解決方案芯原Vivante顯示處理器IP系列具備旋轉、數據格式轉換、HDR視頻處理和高質量視頻縮放等顯示處理功能。Vivante NPU IP可滿足多種芯片尺寸和功耗預算,是具成本效益的優質神經
197、網絡加速引擎解決方案。視頻處理器(VPU)IP,適用于視頻監控、多媒體消費產品、物聯網、云服務產品、數據中心以及航拍及記錄儀等領域。已擁有超過1,500個的數?;旌螴P,包括SoC基礎IP、數據接口IP、人機界面IP、電源管理IP、單元庫與存儲IP等數字信號處理器(DSP)IP通過一系列的處理器內核,對算法層層優化,實現數據流的不斷加速。圖像信號處理器(ISP)IP是功能完善ISP IP,為移動設備、視頻會議、視頻監控和汽車應用提供專業高質量像素處理針對物聯網應用領域開發了多款超低功耗的射頻IP,支持包括BLE 5.0、NB-IoT、802.11x等多種標準基礎IP包括晶圓廠單元庫(V.Lib
198、s)以及基于許可證的基礎IP,覆蓋各種晶圓廠的工藝和廣泛的技術節點 99請仔細閱讀在本報告尾部的重要法律聲明資料來源:芯原股份2022年年報、華金證券研究所6.4 6.4 芯原股份:全球領先的芯原股份:全球領先的IPIP授權服務商授權服務商u 根據IPnest數據,2021年,從半導體IP銷售收入角度,芯原是中國大陸排名第一、全球排名第七的半導體IP授權服務提供商;在全球排名前七的企業中,IP 種類排名前二。芯原擁有先進芯片定制技術、豐富IP儲備,延伸至軟件和系統平臺設計能力,以及長期服務各類客戶經驗積累,為系統廠商、互聯網公司及云服務提供商首選芯片設計服務合作伙伴之一,服務公司包括三星、谷歌
199、、亞馬遜、百度、騰訊、阿里巴巴等國際領先企業。ARMARM新思科技新思科技鏗騰電子鏗騰電子SSTSSTImaginationImaginationCEVACEVA芯原芯原中央處理器數字信號處理器圖形處理器圖像信號處理器接口模塊通用模擬IP基礎庫嵌入式非揮發性存儲器內存編譯器射頻IP周邊IP 100請仔細閱讀在本報告尾部的重要法律聲明資料來源:公司官網、延瑞資產、華金證券研究所6.5 6.5 北方華創:多設備應用于先進封裝領域北方華創:多設備應用于先進封裝領域u 隨著集成電路應用的多元化,5G/6G、AI、IoT、高性能運算等新興應用領域的崛起,電子芯片產品向高效能、高帶寬、低成本、低功耗及小面
200、積快速發展,然而摩爾定律的推進速度不斷放緩,促使先進封裝技術向著系統集成、高速、高頻、三維方向推進,成為半導體產業發展的新動力。u 在先進封裝領域,針對Flip chip Bumping、Fan-Out、WLCSP、2.5D/3D TSV等技術,北方華創為客戶量身打造的刻蝕設備、沉積設備、爐管設備等已經實現了在主流先進封裝企業的批量生產,并不斷獲得客戶的重復采購訂單。產品系列產品系列晶圓尺寸晶圓尺寸簡介簡介等離子刻蝕設備Etcher8-12 英寸應用于 8-12 英寸先進封裝的干法刻蝕機;應用于 8-12 英寸先進封裝領域表面去膠及表面活化等 Descum 工藝的等離子去膠機;物理氣相沉積設備
201、PVD8-12 英寸3D 先進封裝中硅通孔阻擋層、籽品層薄膜沉積工藝,可實現銅、達、銀、鋁等薄膜沉積;先進封裝 Fan-out、CIS、Gold Bump、Copper Pillar 等相關的 RDL、UBM 薄膜沉積工藝;化學氣相沉積設備CVD VerticalLPCVD;氧化擴散設備Oxide/Diff立式氧化爐/立式退火爐/立式合金爐清洗設備12英寸單片清洗機廣泛應用在 90nm-28nm 集成電路、先進封裝、微機電系統領域;原子層沉積設備ALD12英寸應用于28-14nm FinFET、double pattern 和3D NAND 原子層沉積工藝:101請仔細閱讀在本報告尾部的重要法
202、律聲明TSVTSV孔制造孔制造Via middleVia middle正面制程正面制程(大馬士革工藝)(大馬士革工藝)濕法深孔清洗濕法深孔清洗沉積沉積(隔離保護層)(隔離保護層)電鍍電鍍(銅填充銅填充)電鍍后退火電鍍后退火TSVTSV孔刻蝕孔刻蝕TSV TSV 阻擋阻擋/種子種子層沉積層沉積電鍍電鍍CuCu阻擋阻擋/種子層種子層沉積沉積Via/TrenchVia/Trench刻蝕刻蝕CMPCMP刻蝕后清洗刻蝕后清洗晶圓減薄晶圓減薄 露銅露銅(干法刻蝕)(干法刻蝕)PECVDPECVD鈍化處理鈍化處理臨時鍵合臨時鍵合濕法清洗濕法清洗CMPCMP去除去除RDLRDL制作制作背面制程背面制程露銅露銅
203、&RDL&RDL6.5 6.5 北方華創:多設備應用于先進封裝領域北方華創:多設備應用于先進封裝領域資料來源:北方華創、半導體產業縱橫、華金證券研究所 102請仔細閱讀在本報告尾部的重要法律聲明資料來源:華峰測控業績交流會、集微咨詢、華金證券研究所6.6 6.6 華峰測控:產品華峰測控:產品+技術技術+客戶三大優勢,鞏固國內測試機龍頭地位客戶三大優勢,鞏固國內測試機龍頭地位STS 8300平臺應用領域SoC芯片和Chiplet芯片測試對比u 華峰測控是一家專注于半導體自動化測試系統領域,少數進入國際封測市場供應商體系的中國半導體設備廠商,產品主要用于模擬及混合信號類集成電路測試,打破該領域長期
204、被國外廠商壟斷局面,實現模擬及混合信號類集成電路自動化測試系統進口替代。設計龍頭企業陸續采用Fabless+自建封測產線模式(Fab-Lite)以增強自身競爭力,公司作為國內測試機領先企業,充分受益該產業模式趨勢,同時,伴隨消費終端緩慢復蘇,公司也將呈現逐季改善趨勢。芯片類型芯片類型子模塊子模塊測試機測試機測試機需求測試機需求(CPCP)測試機需求測試機需求(FTFT)SoC芯片模擬、存儲、數字等SoC測試機以此為參照以此為參照Chiplet芯片數字SoC測試機增加/持平/減少持平存儲存儲器測試機、簡單數字測試機增加模擬模擬測試機增加 103請仔細閱讀在本報告尾部的重要法律聲明序號序號技術名稱
205、技術名稱技術來源技術來源成熟程度成熟程度先進性先進性1Per PIN V/I 源技術自主研發批量使用國內先進水平2高精度 V/I 源鉗位控制技術自主研發批量使用國內先進水平3高可靠性高穩定性的浮動電源技術自主研發批量使用國內先進水平4大功率浮動電源功率放大技術自主研發批量使用國內先進水平5微小電壓微弱電流精密測量技術自主研發批量使用國內先進水平6高精度數字通道技術自主研發批量使用國內先進水平7多工位高精度微小電容并行測試技術自主研發批量使用國內先進水平8高精度時間量測量技術自主研發批量使用國內先進水平9高精度高速運算放大器測試技術自主研發批量使用國內先進水平1016bit ADC/DAC 的靜
206、態和動態參數測試技術自主研發批量使用國內先進水平11智能功率模塊交直流一站式測試技術自主研發批量使用國內先進水平資料來源:華峰測控招股說明書、華峰測控公眾號、華峰測控業績交流會、華金證券研究所6.6 華峰測控:產品+技術+客戶三大優勢,鞏固國內測試機龍頭地位6.6 華峰測控:產品+技術+客戶三大優勢,鞏固國內測試機龍頭地位產品優勢技術優勢客戶優勢產品優勢技術優勢客戶優勢兩大平臺衍生多款產品覆蓋多領域,覆蓋模擬/混合、數字、分立器件、功率模塊等領域2多指標與國際一流廠商持平,平臺可延展性國際領先1測試功能模塊響應速度應用程序定制化平臺可延展性測試數據存儲、采集和分析注:從外到內分別為:國際領先、
207、國際一流與國內領先精度測試11大核心技術處于國內先進水平,部分參數對標國際廠商11大核心技術處于國內先進水平,部分參數對標國際廠商11大核心技術源于自主研發智能功率模塊測試推出一站式動態和靜態全參數測試系統打破國外壟斷12 104請仔細閱讀在本報告尾部的重要法律聲明資料來源:半導體材料與工藝設備、EEPW、華金證券研究所6.7 6.7 鼎龍股份:鼎龍股份:CMP+CMP+先進封裝材料雙布局先進封裝材料雙布局u 若未經平坦化處理,晶片起伏隨著層數增多變得更為明顯,同層金屬薄膜由于厚度不均導致電阻值不同,引起電子遷移造成電路短路。起伏不平的晶片表面還會使得光刻時無法準確對焦,導致線寬控制失效,嚴重
208、限制了布線層數,降低集成電路的使用性能。u CMP 是通過納米級粒子的物理研磨作用與拋光液的化學腐蝕作用的有機結合,對集成電路器件表面進行平滑處理,并使之高度平整的工藝技術。當前集成電路中主要是通過 CMP 工藝,對晶圓表面進行精度打磨,并可到達全局平整落差 100A-1000A(相當于原子級10-100nm)超高平整度。CMP前后對比CMP工作原理 105請仔細閱讀在本報告尾部的重要法律聲明資料來源:鼎龍股份2023年半年報、華金證券研究所6.7 6.7 鼎龍股份:鼎龍股份:CMP+CMP+先進封裝材料雙布局先進封裝材料雙布局產品名稱產品名稱圖片圖片簡介簡介半導體CMP制程工藝材料CMP拋光
209、墊CMP拋光墊是CMP環節的核心耗材之一,主要作用是儲存和運輸拋光液、去除磨屑和維持穩定的拋光環境等CMP拋光液CMP拋光液是研磨材料和化學添加劑的混合物,在化學機械拋光過程中可使晶圓表面產生一層氧化膜,再由拋光液中的磨粒去除,達到拋光的目的。清洗液清洗液主要用于去除殘留在晶圓表面的微塵顆粒、有機物、無機物、金屬離子、氧化物等雜質,滿足集成電路制造對清潔度的極高要求,對晶圓生產的良率起到了重要的作用。半導體先進封裝材料臨時鍵合膠TBA臨時鍵合膠作為超薄晶圓減薄、拿持的核心材料,可將器件晶圓臨時固定在承載載體上,從而為超薄器件晶圓提供足夠的機械支撐,防止器件晶圓在后續工藝制程中發生翹曲和破片,最
210、后臨時鍵合膠可通過光、熱和力等解鍵合方式完成超薄晶圓的釋放。臨時鍵合膠在先進封裝中的應用領域主要是 2.5D/3D 封裝。封裝光刻膠PSPI封裝光刻膠PSPI是一種光敏性聚酰亞胺材料,兼具光刻膠的圖案化和樹脂薄膜的應力緩沖、介電層等功能,主要應用于晶圓級封裝(WLP)中的RDL(再布線)工藝中,使用時先涂覆在晶圓表面,再經過曝光顯影、固化等工藝,可得到圖案化的薄膜。106請仔細閱讀在本報告尾部的重要法律聲明資料來源:華海誠科招股說明書、華金證券研究所6.8 6.8 華海誠科:聚焦于封裝材料,部分先進封裝材料已通過客戶驗證華海誠科:聚焦于封裝材料,部分先進封裝材料已通過客戶驗證u 專注于半導體封
211、裝材料的研發及產業化,專注于半導體封裝材料的研發及產業化,掌握了高可靠性技術、翹曲度控制技術、高導熱技術、高性能膠黏劑底部填充技術等一系列核心技術,可應用于各類傳統封裝與先進封裝。u 優質的客戶資源是公司進一步發展的重要保障,與國內主要半導體廠商建立長期穩定合作關系。優質的客戶資源是公司進一步發展的重要保障,與國內主要半導體廠商建立長期穩定合作關系。公司是長電科技、華天科技、氣派科技、銀河微電、晶導微、虹揚科技、四川利普芯以及重慶平偉的第一大內資環氧塑封料供應商,且上述多家廠商均于近期紛紛宣布擴產計劃。資料來源:華海誠科招股說明書、華金證券研究所FC底填膠使用流程環氧塑封料、FC底填膠應用場景
212、 107請仔細閱讀在本報告尾部的重要法律聲明資料來源:華海誠科招股說明書、華金證券研究所6.8 6.8 華海誠科:聚焦于封裝材料,部分先進封裝材料已通過客戶驗證華海誠科:聚焦于封裝材料,部分先進封裝材料已通過客戶驗證u 在先進封裝領域,公司研發了應用于 QFN、BGA、FC、SiP 以及FOWLP/FOPLP等封裝形式封裝材料,根據公司招股說明書,其中應用于QFN產品已實現小批量生產與銷售,顆粒狀環氧塑封料(顆粒狀環氧塑封料(GMCGMC)以及FC底填膠等應用于先進封裝的材料已通過客戶驗證,液態塑封材料(液態塑封材料(LMCLMC)正在客戶驗證過程中,應用于先進封裝的產品有望逐步實現產業化并打
213、破外資廠商壟斷地位。u 芯片級底部填充膠主要應用于FC領域,前該市場仍主要為日本納美仕、日立化成等外資廠商壟斷,公司FC底填膠已通過星科金朋考核驗證,在內資廠商中處于領先水平。環氧塑封料產品應用類型環氧塑封料產品應用類型封裝技術類型封裝技術類型國外品牌產品國外品牌產品國內品牌產品國內品牌產品華海誠科產品類型華海誠科產品類型DO/DIP/SMX/橋塊傳統封裝已基本退出主導地位基礎類環氧塑封料TO傳統封裝基本相當基本相當基礎類環氧塑封料SOT/SOP/SOD傳統封裝主導地位,在高電壓應用等細分領域較為領先近年來發展迅速,在常規應用領域基本已經可替代外資品牌產品高性能類環氧塑封料QFN、BGA先進封
214、裝壟斷地位少量銷售先進封裝類環氧塑封料MUF/FOWLP先進封裝壟斷地位尚處于布局階段 先進封裝類環氧塑封料 108請仔細閱讀在本報告尾部的重要法律聲明資料來源:SEMI、華封科技官網、半導體封測年會、華金證券研究所6.9 華封科技(未上市):聚焦先進封裝設備領域高端裝備制造商6.9 華封科技(未上市):聚焦先進封裝設備領域高端裝備制造商2021年全球半導體設備占比(%)u 華封科技定位在半導體先進封裝領域,針對半導體后道工序提供全新一代半導體裝嵌及封裝設備,如倒裝貼片機、晶圓級貼片機、POP封裝機、層疊半貼片機、面板級貼片機、多晶片貼片機等。集團公司產品對先進封裝貼片工藝實現了全面覆蓋,包括
215、FOWLP、POP、MCM、EMCP、Stack Die、SIP、2.5D/3D、FCCSP、FCBGA等。服務客戶有臺積電、日月光集團、矽品、電科技、通富微電、DeeTee等。73%7%17%3%前段設備封裝設備測試設備其他設備27%22%17%34%Die AttachOtherPackagingWire Bonding2021年貼片設備市場規模約19億美元華封科技主要市場為中國大陸和中國臺灣中國大陸和中國臺灣 109請仔細閱讀在本報告尾部的重要法律聲明資料來源:華封科技官網、半導體封測年會、華金證券研究所6.9 6.9 華封科技(未上市):聚焦先進封裝設備領域高端裝備制造商華封科技(未上
216、市):聚焦先進封裝設備領域高端裝備制造商u 模塊化機臺,改變模組適用不同廠商工藝迭代。模塊化機臺,改變模組適用不同廠商工藝迭代。不同應用場景下,尺寸、能效、材料等多個方面對先進封裝技術提出更高要求,故封裝設備迎來除了更高精度、更快速度、高穩定性,還要面對更多元的生產工藝。2060W-晶圓級封裝貼片機2060P-倒裝晶片封裝貼片機2060M-SIP系統級封裝貼片機2060SD-疊芯封裝貼片機2060XL-面板級封裝貼片機2010HB-混合鍵合貼片機 精度+/-5um 高精度模式+/-3um UPH11500(制程決定)精度+/-5um UPH 6000(制程決定)精度+/-5um UPH 800
217、0(制程決定)精度+/-7um UPH 5000(制程決定)精度+/-7um UPH 12000(制程決定)敬請期待 110請仔細閱讀在本報告尾部的重要法律聲明目錄目錄010204030506先進封裝:打破先進封裝:打破ICIC發展限制,向高密度封裝時代邁進發展限制,向高密度封裝時代邁進技術分析:橫向連接技術分析:橫向連接/縱向堆疊奠定先進封裝技術基石縱向堆疊奠定先進封裝技術基石產業鏈:材料與設備任重道遠,先進封裝粲然可觀產業鏈:材料與設備任重道遠,先進封裝粲然可觀行業現狀:制造與行業現狀:制造與IDMIDM廠商入駐先進封裝,開辟中道工藝廠商入駐先進封裝,開辟中道工藝應用與需求:芯粒應用與需求
218、:芯粒IPIP復用延續摩爾定律,新建晶圓廠與復用延續摩爾定律,新建晶圓廠與產線擴產共促封測需求產線擴產共促封測需求相關標的相關標的07風險提示風險提示 111請仔細閱讀在本報告尾部的重要法律聲明風險提示風險提示u 行業與市場波動風險:行業與市場波動風險:全球半導體行業具有技術呈周期性發展和市場呈周期性波動特點。同時,受國內外政治、經濟因素影響,如市場需求低迷、產品競爭激烈,將會影響先進封裝價格從而影響行業發展。u 國際貿易摩擦風險國際貿易摩擦風險:伴隨全球產業格局深度調整,國際貿易摩擦不斷,集成電路產業成為貿易沖突的重點領域,也對中國相關產業的發展造成了客觀不利影響。2022年8月以來,美國推
219、出多項貿易管制政策通過限制產品、設備以及技術等項目的出口以限制中國半導體行業的發展。u 新技術、新工藝、新產品無法如期產業化風險:新技術、新工藝、新產品無法如期產業化風險:集成電路封裝測試行業屬于技術密集型行業,需要緊跟整個行業的發展趨勢,及時、高效地研究開發符合市場和客戶需求的新技術、新工藝及新產品并實現產業化。如果在技術研發上出現一些波折,不能及時加大資本投入進行新技術的研發,或不能及時購入先進設備研制生產更先進的封裝產品,將面臨新技術、新工藝、新產品無法如期產業化風險。u 主要原材料供應及價格變動風險:主要原材料供應及價格變動風險:國內先進封裝生產所需主要原材料主要以進口為主,且境外客戶
220、對封裝的無鉛化和產品質量要求較高。未來,如果原材料市場供求關系發生變化,造成原材料價格上漲,或者因供貨商供貨不足、原材料質量問題等不可測因素影響整體行業發展。112請仔細閱讀在本報告尾部的重要法律聲明附錄(一):中國大陸半導體凸塊、晶圓級封裝產線統計附錄(一):中國大陸半導體凸塊、晶圓級封裝產線統計序號序號公司全稱公司全稱省份省份城市城市BumpingBumpingWLCSPWLCSPCu PillarSolder BallAu BumpFan-inFan-out6 68 812126 68 812126 68 812126 68 81212eWLBeWLBWLPWLPPLPPLP1安靠封裝測
221、試(上海)上海上海2合肥矽邁微電子安徽合肥3合肥新匯成微電子安徽合肥4華進半導體封裝先導技術研發中心江蘇無錫5華天科技(昆山)電子江蘇昆山6華天科技(南京)江蘇南京7江蘇芯德半導體江蘇南京8立芯精密智造(昆山)江蘇昆山9江蘇納沛斯半導體江蘇淮安10江蘇匯成光電江蘇揚州11晶通(高郵)集成電路江蘇揚州12青島新核芯山東青島13浙江禾芯集成電路浙江嘉興14無錫中微高科電子江蘇無錫15寧波芯健半導體浙江寧波16長電集成電路(紹興)浙江紹興17寧波泰睿思微電子浙江寧波18顧中科技(蘇州)江蘇蘇州19無錫市好達電子江蘇無錫20上海共進微電子技術上海上海21上海紀元微科電子上海上海22上海易卜半導體上海上
222、海23盛合晶微半導體(江陰)上海分公司上海上海24聯立(徐州)半導體江蘇徐州25蘇州捷研芯電子江蘇蘇州26蘇州晶方半導體科技(汀蘭廠)江蘇蘇州資料來源:半導體綜研、華金證券研究所 113請仔細閱讀在本報告尾部的重要法律聲明附錄(一):中國大陸半導體凸塊、晶圓級封裝產線統計附錄(一):中國大陸半導體凸塊、晶圓級封裝產線統計序號序號公司全稱公司全稱省份省份城市城市BumpingBumpingWLCSPWLCSPCu PillarSolder BallAu BumpFan-inFan-out6 68 812126 68 812126 68 812126 68 81212eWLBeWLBWLPWLPP
223、LPPLP27蘇州科陽半導體江蘇蘇州28太極半導體(蘇州)江蘇蘇州29通富微電子江蘇南通30南通通富微電子江蘇南通31江蘇晶度半導體江蘇鎮江32甬矽電子(寧波)浙江寧波33長電微電子(江陰)江蘇江陰34江陰長電先進封裝江蘇江陰35星科金朋半導體(江陰)江蘇江陰36盛合晶微半導體(江陰)江蘇江陰37蘇州共進微電子技術江蘇蘇州38矽品科技(蘇州)江蘇蘇州39昆山同興達芯片封測技術江蘇蘇州40江蘇中科智芯集成江蘇徐州41晶旺半導體(廈門)福建廈門42廈門通富微電子福建廈門43廈門云天半導體福建廈門44廈門四合微電子福建廈門45 山東齊芯微系統科技山東淄博46渠梁電子福建泉州47廣東佛智芯微電子技術研
224、究廣東佛山48廣東越海集成技術廣東廣州49天芯互聯廣東 深圳50深圳中科四合廣東 深圳51威訊聯合半導體(北京)北京北京52武漢高德紅外湖北武漢資料來源:半導體綜研、華金證券研究所 114請仔細閱讀在本報告尾部的重要法律聲明附錄(一):中國大陸半導體凸塊、晶圓級封裝產線統計附錄(一):中國大陸半導體凸塊、晶圓級封裝產線統計序號序號公司全稱公司全稱省份省份城市城市BumpingBumpingWLCSPWLCSPCu PillarSolder BallAu BumpFan-inFan-out6 68 812126 68 812126 68 812126 68 81212eWLBeWLBWLPWLP
225、PLPPLP53西安微電子技術研究所(航天771所)陜西西安54 矽磐微電子(重慶)重慶重慶55成都奕成四川成都56宇芯(成都)集成電路封裝測試四川成都57德州儀器半導體制造(成都)四川成都58達邇科技(成都)四川成都資料來源:半導體綜研、華金證券研究所 115請仔細閱讀在本報告尾部的重要法律聲明附錄(二):半導體封裝環氧樹脂和硅微粉供應商名單附錄(二):半導體封裝環氧樹脂和硅微粉供應商名單序號序號公司名稱公司名稱國家地區國家地區封裝樹脂封裝樹脂硅微粉硅微粉序號序號公司名稱公司名稱國家地區國家地區封裝樹脂封裝樹脂硅微粉硅微粉環氧樹脂環氧樹脂液態環氧液態環氧酚醛樹脂酚醛樹脂環氧樹脂環氧樹脂液態環
226、氧液態環氧酚醛樹脂酚醛樹脂1LOED美國25駿碼科技中國香港2電化日本26北京米科技中國大陸3昭和電工日本27創達新材料中國大陸4京瓷化學日本28無錫化工研究院中國大陸5長瀨產業日本29華海誠科中國大陸6NAMICS日本30華威電子中國大陸7松下電子材料日本31恒耀電子中國大陸8信越化學日本32中科院化學所中國大陸9住友電木日本33晶科電子中國大陸10積水化學日本34巨化集團中國大陸11Tatsumori日本35凱華緣材料中國大陸12新日鐵日本36科化新材中國大陸13Admatechs日本37飛凱材料中國大陸14Tokai Carbon日本38山東圣泉中國大陸15Hosokawa Micron
227、日本39中新泰合中國大陸16韓國東進韓國40江蘇中鵬中國大陸17KCC Chemical韓國41德高化成中國大陸18Nepes韓國42道宜半導體材料中國大陸19三星SDI韓國43盛遠達中國大陸20KOSEM韓國44三選科技中國大陸21長春樹脂中國臺灣45鼎龍股份中國大陸22義典科技中國臺灣46聯瑞新材中國大陸23長興材料中國臺灣47華飛電子中國大陸24碩正科技中國臺灣開發中48東海硅微粉中國大陸資料來源:半導體綜研、華金證券研究所 116請仔細閱讀在本報告尾部的重要法律聲明華金電子華金電子-走進走進“芯芯”時代系列深度報告時代系列深度報告1 1、芯時代之一、芯時代之一_ _半導體重磅深度半導體
228、重磅深度新興技術共振進口替代,迎來全產業鏈投資機會新興技術共振進口替代,迎來全產業鏈投資機會2 2、芯時代之二、芯時代之二_ _深度紀要深度紀要國產芯投資機會暨權威專家電話會國產芯投資機會暨權威專家電話會3 3、芯時代之三、芯時代之三_ _深度紀要深度紀要半導體分析和投資策略電話會半導體分析和投資策略電話會4 4、芯時代之四、芯時代之四_ _市場首篇模擬市場首篇模擬ICIC深度深度下游應用增量不斷,模擬下游應用增量不斷,模擬 ICIC加速發展加速發展5 5、芯時代之五、芯時代之五_ _存儲器深度存儲器深度存儲產業鏈戰略升級,開啟國產替代存儲產業鏈戰略升級,開啟國產替代“芯芯”篇章篇章6 6、芯
229、時代之六、芯時代之六_ _功率半導體深度功率半導體深度功率半導體處黃金賽道,迎進口替代良機功率半導體處黃金賽道,迎進口替代良機7 7、芯時代之七、芯時代之七_ _半導體材料深度半導體材料深度鑄行業發展基石,迎進口替代契機鑄行業發展基石,迎進口替代契機8 8、芯時代之八、芯時代之八_ _深度紀要深度紀要功率半導體重磅專家交流電話會功率半導體重磅專家交流電話會9 9、芯時代之九、芯時代之九_ _半導體設備深度半導體設備深度進口替代促景氣度提升,設備長期發展明朗進口替代促景氣度提升,設備長期發展明朗1010、芯時代之十、芯時代之十_3D/_3D/新器件新器件先進封裝和新器件,續寫集成電路新篇章先進封
230、裝和新器件,續寫集成電路新篇章1111、芯時代之十一、芯時代之十一_IC_IC載板和載板和SLPSLPICIC載板及載板及SLPSLP,集成提升的板級貢獻,集成提升的板級貢獻1212、芯時代之十二、芯時代之十二_ _智能處理器智能處理器人工智能助力,國產芯有望人工智能助力,國產芯有望“換換”道超車道超車1313、芯時代之十三、芯時代之十三_ _封測封測先進封裝大勢所趨,國家戰略助推成長先進封裝大勢所趨,國家戰略助推成長1414、芯時代之十四、芯時代之十四_ _大硅片大硅片供需缺口持續,國產化蓄勢待發供需缺口持續,國產化蓄勢待發1515、芯時代之十五、芯時代之十五_ _化合物化合物下一代半導體材
231、料,下一代半導體材料,5G5G助力市場成長助力市場成長1616、芯時代之十六、芯時代之十六_ _制造制造國產替代加速,拉動全產業鏈發展國產替代加速,拉動全產業鏈發展1717、芯時代之十七、芯時代之十七_ _北方華創北方華創雙結構化持建機遇,由大做強倍顯張力雙結構化持建機遇,由大做強倍顯張力 117請仔細閱讀在本報告尾部的重要法律聲明華金電子華金電子-走進走進“芯芯”時代系列深度報告時代系列深度報告1818、芯時代之十八、芯時代之十八_ _斯達半導斯達半導鑄鑄IGBTIGBT功率基石,創多領域市場契機功率基石,創多領域市場契機1919、芯時代之十九、芯時代之十九_ _功率半導體深度功率半導體深度
232、產業鏈逐步成熟,功率器件迎黃金發展期產業鏈逐步成熟,功率器件迎黃金發展期2020、芯時代之二十、芯時代之二十_ _匯頂科技匯頂科技光電傳感創新領跑,多維布局引領未來光電傳感創新領跑,多維布局引領未來2121、芯時代之二十一、芯時代之二十一_ _華潤微華潤微功率半導專芯致志,特色工藝術業專攻功率半導專芯致志,特色工藝術業專攻2222、芯時代之二十二、芯時代之二十二_ _大硅片大硅片*重磅深度重磅深度半導材料第一藍海,硅片融合工藝創新半導材料第一藍海,硅片融合工藝創新2323、芯時代之二十三、芯時代之二十三_ _卓勝微卓勝微5G5G賽道射頻芯片龍頭,國產替代正當時賽道射頻芯片龍頭,國產替代正當時2
233、424、芯時代之二十四、芯時代之二十四_ _滬硅產業滬硅產業硅片硅片“芯芯”材蓄勢待發,商用量產空間廣闊材蓄勢待發,商用量產空間廣闊2525、芯時代之二十五、芯時代之二十五_ _韋爾股份韋爾股份光電傳感穩創領先,系統方案展創宏圖光電傳感穩創領先,系統方案展創宏圖2626、芯時代之二十六、芯時代之二十六_ _中環股份中環股份半導硅片厚積薄發,特有賽道獨樹一幟半導硅片厚積薄發,特有賽道獨樹一幟2727、芯時代之二十七、芯時代之二十七_ _射頻芯片射頻芯片射頻芯片千億空間,國產替代曙光乍現射頻芯片千億空間,國產替代曙光乍現2828、芯時代之二十八、芯時代之二十八_ _中芯國際中芯國際代工龍頭創領升級
234、,產業聯動芯火燎原代工龍頭創領升級,產業聯動芯火燎原2929、芯時代之二十九、芯時代之二十九_ _寒武紀寒武紀AIAI芯片國內龍頭,高研發投入前景可期芯片國內龍頭,高研發投入前景可期3030、芯時代之三十、芯時代之三十_ _芯朋微芯朋微國產電源國產電源ICIC十年磨一劍,鑄就國內升級替代十年磨一劍,鑄就國內升級替代3131、芯時代之三十一、芯時代之三十一_ _射頻射頻PAPA射頻射頻PAPA革新不止,萬物互聯廣袤無限革新不止,萬物互聯廣袤無限3232、芯時代之三十二、芯時代之三十二_ _中微公司中微公司國內半導刻蝕巨頭,邁內生國內半導刻蝕巨頭,邁內生&外延平臺化外延平臺化3333、芯時代之三十
235、三、芯時代之三十三_ _芯原股份芯原股份國內國內IPIP龍頭廠商,推動龍頭廠商,推動SiPaaSSiPaaS模式發展模式發展3434、芯時代之三十四、芯時代之三十四_ _模擬模擬ICIC深度深度PPTPPT模擬模擬ICIC黃金賽道,本土配套漸入佳境黃金賽道,本土配套漸入佳境 118請仔細閱讀在本報告尾部的重要法律聲明華金電子華金電子-走進走進“芯芯”時代系列深度報告時代系列深度報告3535、芯時代之三十五、芯時代之三十五_ _芯??萍夹竞?萍几呔葴y量高精度測量ADC+MCU+AI,ADC+MCU+AI,切入藍海賽道超芯星切入藍海賽道超芯星3636、芯時代之三十六、芯時代之三十六_ _功率功率
236、&化合物深度化合物深度擴容擴容&替代提速,化合物布局長遠替代提速,化合物布局長遠3737、芯時代之三十七、芯時代之三十七_ _恒玄科技恒玄科技專注智能音頻專注智能音頻SoCSoC芯片,迎行業風口快速發展芯片,迎行業風口快速發展3838、芯時代之三十八、芯時代之三十八_ _和而泰和而泰從高端到更高端,芯平臺創新格局從高端到更高端,芯平臺創新格局3939、芯時代之三十九、芯時代之三十九_ _家電芯深度家電芯深度PPTPPT家電芯配套漸完善家電芯配套漸完善,增存量機遇筑藍海增存量機遇筑藍海4040、芯時代之四十、芯時代之四十_ _前道設備前道設備PPTPPT深度深度20212021年國產前道設備,再
237、迎新黃金時代年國產前道設備,再迎新黃金時代4141、芯時代之四十一、芯時代之四十一_ _力芯微力芯微專注電源管理芯片,內生外延拓展產品線專注電源管理芯片,內生外延拓展產品線4242、芯時代之四十二、芯時代之四十二_ _復旦微電復旦微電國產國產FPGAFPGA領先企業,高技術壁壘鑄就護城河領先企業,高技術壁壘鑄就護城河4343、芯時代之四十三、芯時代之四十三_ _顯示驅動深度顯示驅動深度PPTPPT顯示驅動芯顯示驅動芯面板國產化最后面板國產化最后1 1公里公里4444、芯時代之四十四、芯時代之四十四_ _艾為電子艾為電子數?;旌显O計專家,持續迭代拓展產品線數?;旌显O計專家,持續迭代拓展產品線45
238、45、芯時代之四十五、芯時代之四十五_ _紫光國微紫光國微特種與安全兩翼齊飛,公司步入快速發展階段特種與安全兩翼齊飛,公司步入快速發展階段4646、芯時代之四十六、芯時代之四十六_ _新能源芯新能源芯*PPTPPT深度深度乘碳中和之風,基礎元件騰飛乘碳中和之風,基礎元件騰飛4747、芯時代之四十七、芯時代之四十七_ _AIoTAIoT *PPTPPT深度深度AIoTAIoT大時代,大時代,SoCSoC廠商加速發展廠商加速發展4848、芯時代之四十八、芯時代之四十八_ _鉑科新材鉑科新材雙碳助力發展,雙碳助力發展,GPUGPU新應用構建二次成長曲線新應用構建二次成長曲線4949、芯時代之四十九、
239、芯時代之四十九_AI_AI芯片芯片 AI AI領強算力時代,領強算力時代,GPUGPU啟新場景落地啟新場景落地5050、芯時代之五十、芯時代之五十_ _江海股份江海股份乘乘“碳中和碳中和”之風,老牌企業三大電容全面發力之風,老牌企業三大電容全面發力5151、芯時代之五十一、芯時代之五十一_ _智能電動車智能電動車10001000頁頁PPTPPT(多行業協同)(多行業協同)智能電動車投研大全智能電動車投研大全 119請仔細閱讀在本報告尾部的重要法律聲明華金電子華金電子-走進走進“芯芯”時代系列深度報告時代系列深度報告5252、芯時代之五十二、芯時代之五十二_ _瑞芯微瑞芯微PPTPPT深度深度邁
240、入全球準一線梯隊,新硬件十年前景可期邁入全球準一線梯隊,新硬件十年前景可期5353、芯時代之五十三、芯時代之五十三_ _峰岹科技峰岹科技專注專注BLDCBLDC電機驅動控制芯片,三大核心技術引領成長電機驅動控制芯片,三大核心技術引領成長5454、芯時代之五十四、芯時代之五十四_ _納芯微納芯微專注高端模擬專注高端模擬ICIC,致力國內領先車規級半導體供應商,致力國內領先車規級半導體供應商5555、芯時代之五十五、芯時代之五十五_ _晶晨股份晶晨股份核心技術為軀,全球開拓為翼核心技術為軀,全球開拓為翼5656、芯時代之五十六、芯時代之五十六_ _國微國微&復微復微紫光國微與復旦微的全面對比分析紫
241、光國微與復旦微的全面對比分析 5757、芯時代之五十七、芯時代之五十七_ _國產算力國產算力SoCSoC算力大時代,處理器算力大時代,處理器SoCSoC廠商綜合對比廠商綜合對比5858、芯時代之五十八、芯時代之五十八_ _高能模擬芯高能模擬芯高性能模擬替代漸入深水區,工業汽車重點突破高性能模擬替代漸入深水區,工業汽車重點突破5959、芯時代之五十九、芯時代之五十九_ _南芯科技南芯科技電荷泵翹楚拓矩陣藍圖,通用產品力屢復制成功電荷泵翹楚拓矩陣藍圖,通用產品力屢復制成功6060、芯時代之六十、芯時代之六十_AI_AI算力算力GPUGPUAIAI產業化再加速,智能大時代已開啟產業化再加速,智能大時
242、代已開啟6161、芯時代之六十一、芯時代之六十一_ _瑞芯微深度瑞芯微深度人工智能再加速,人工智能再加速,AIoTAIoT SoC SoC龍頭多點開花龍頭多點開花6262、芯時代之六十二、芯時代之六十二_ _華峰測控華峰測控技術產品為基石,技術產品為基石,SoCSoC模數功率測試機助拓全球市場模數功率測試機助拓全球市場6363、芯時代之六十三、芯時代之六十三_ _裕太微裕太微以太網以太網PHYPHY芯片稀缺標的,國產化滲透初期前景廣闊芯片稀缺標的,國產化滲透初期前景廣闊6464、芯時代之六十四、芯時代之六十四_ _華虹公司華虹公司立足成熟制程立足成熟制程,“,“特色特色IC+IC+功率器件功率
243、器件”代工龍頭底部加碼代工龍頭底部加碼1212寸寸6565、芯時代之六十五、芯時代之六十五_ _匯頂科技匯頂科技指紋指紋&觸控保持市場領先,新品營收逐步起量觸控保持市場領先,新品營收逐步起量6666、芯時代之六十六、芯時代之六十六_ _中科藍訊中科藍訊產品結構升級產品結構升級&品牌客戶突破,八大產品線拓未來品牌客戶突破,八大產品線拓未來6767、芯時代之六十七、芯時代之六十七_2.5D/3D _2.5D/3D 封裝封裝技術發展引領產業變革,向高密度封裝時代邁進技術發展引領產業變革,向高密度封裝時代邁進 120請仔細閱讀在本報告尾部的重要法律聲明華金證券研究所電子團隊簡介華金證券研究所電子團隊簡
244、介u 孫遠峰:華金證券總裁助理&研究所所長&電子行業首席分析師,哈爾濱工業大學工學學士,清華大學工學博士,近3年電子實業工作經驗;2018年新財富上榜分析師(第3名),2017年新財富入圍/水晶球上榜分析師,2016年新財富上榜分析師(第5名),20132015年新財富上榜分析師團隊核心成員;多次獲得保險資管IAMAC、水晶球、金牛獎等獎項最佳分析師;2019年開始未參加任何個人評比,其骨干團隊專注于創新&創業型研究所的一線具體創收&創譽工作,以“產業資源賦能深度研究”為導向,構建研究&銷售合伙人隊伍,積累了健全的成熟團隊自驅機制和年輕團隊培養機制,充分獲得市場驗證;清華校友總會電子工程系分會
245、副秘書長u 王海維:電子行業高級分析師,華東師范大學碩士,電子&金融復合背景,主要覆蓋半導體板塊,善于個股深度研究,2018年新財富上榜分析師(第3名)核心成員,先后任職于安信證券/華西證券研究所,2023年2月入職華金證券研究所u 王臣復:電子行業高級分析師,北京航空航天大學工學學士和管理學碩士,曾就職于歐菲光集團投資部、融通資本、平安基金、華西證券資產管理總部、華西證券等,2023年2月加入華金證券研究所u 宋鵬:電子行業助理分析師,莫納什大學碩士,曾就職于頭豹研究院TMT組,2023年3月入職華金證券研究所 121請仔細閱讀在本報告尾部的重要法律聲明公司評級體系公司評級體系收益評級:買入
246、 未來6個月的投資收益率領先滬深300指數15%以上;增持 未來6個月的投資收益率領先滬深300指數5%至15%;中性 未來6個月的投資收益率與滬深300指數的變動幅度相差-5%至5%;減持 未來6個月的投資收益率落后滬深300指數5%至15%;賣出 未來6個月的投資收益率落后滬深300指數15%以上。風險評級:A 正常風險,未來6個月投資收益率的波動小于等于滬深300指數波動;B 較高風險,未來6個月投資收益率的波動大于滬深300指數波動。評級說明評級說明 122請仔細閱讀在本報告尾部的重要法律聲明行業評級體系行業評級體系收益評級:領先大市 未來6個月的投資收益率領先滬深300指數10%以上
247、;同步大市 未來6個月的投資收益率與滬深300指數的變動幅度相差-10%至10%;落后大市 未來6個月的投資收益率落后滬深300指數10%以上;風險評級:A 正常風險,未來6個月投資收益率的波動小于等于滬深300指數波動;B 較高風險,未來6個月投資收益率的波動大于滬深300指數波動。評級說明評級說明 123請仔細閱讀在本報告尾部的重要法律聲明分析師聲明分析師聲明孫遠峰、王海維、王臣復聲明,本人具有中國證券業協會授予的證券投資咨詢執業資格,勤勉盡責、誠實守信。本人對本報告的內容和觀點負責,保證信息來源合法合規、研究方法專業審慎、研究觀點獨立公正、分析結論具有合理依據,特此聲明。本公司具備證券投
248、資咨詢業務資格的說明本公司具備證券投資咨詢業務資格的說明華金證券股份有限公司(以下簡稱“本公司”)經中國證券監督管理委員會核準,取得證券投資咨詢業務許可。本公司及其投資咨詢人員可以為證券投資人或客戶提供證券投資分析、預測或者建議等直接或間接的有償咨詢服務。發布證券研究報告,是證券投資咨詢業務的一種基本形式,本公司可以對證券及證券相關產品的價值、市場走勢或者相關影響因素進行分析,形成證券估值、投資評級等投資分析意見,制作證券研究報告,并向本公司的客戶發布。法律聲明法律聲明 124請仔細閱讀在本報告尾部的重要法律聲明免責聲明:免責聲明:本報告僅供華金證券股份有限公司(以下簡稱“本公司”)的客戶使用
249、。本公司不會因為任何機構或個人接收到本報告而視其為本公司的當然客戶。本報告基于已公開的資料或信息撰寫,但本公司不保證該等信息及資料的完整性、準確性。本報告所載的信息、資料、建議及推測僅反映本公司于本報告發布當日的判斷,本報告中的證券或投資標的價格、價值及投資帶來的收入可能會波動。在不同時期,本公司可能撰寫并發布與本報告所載資料、建議及推測不一致的報告。本公司不保證本報告所含信息及資料保持在最新狀態,本公司將隨時補充、更新和修訂有關信息及資料,但不保證及時公開發布。同時,本公司有權對本報告所含信息在不發出通知的情形下做出修改,投資者應當自行關注相應的更新或修改。任何有關本報告的摘要或節選都不代表
250、本報告正式完整的觀點,一切須以本公司向客戶發布的本報告完整版本為準。在法律許可的情況下,本公司及所屬關聯機構可能會持有報告中提到的公司所發行的證券或期權并進行證券或期權交易,也可能為這些公司提供或者爭取提供投資銀行、財務顧問或者金融產品等相關服務,提請客戶充分注意??蛻舨粦獙⒈緢蟾鏋樽鞒銎渫顿Y決策的惟一參考因素,亦不應認為本報告可以取代客戶自身的投資判斷與決策。在任何情況下,本報告中的信息或所表述的意見均不構成對任何人的投資建議,無論是否已經明示或暗示,本報告不能作為道義的、責任的和法律的依據或者憑證。在任何情況下,本公司亦不對任何人因使用本報告中的任何內容所引致的任何損失負任何責任。本報告版
251、權僅為本公司所有,未經事先書面許可,任何機構和個人不得以任何形式翻版、復制、發表、轉發、篡改或引用本報告的任何部分。如征得本公司同意進行引用、刊發的,需在允許的范圍內使用,并注明出處為“華金證券股份有限公司研究所”,且不得對本報告進行任何有悖原意的引用、刪節和修改。華金證券股份有限公司對本聲明條款具有惟一修改權和最終解釋權。法律聲明法律聲明 125請仔細閱讀在本報告尾部的重要法律聲明風險提示:風險提示:報告中的內容和意見僅供參考,并不構成對所述證券買賣的出價或詢價。投資者對其投資行為負完全責任,我公司及其雇員對使用本報告及其內容所引發的任何直接或間接損失概不負責。華金證券股份有限公司辦公地址:上海市浦東新區楊高南路759號陸家嘴世紀金融廣場30層北京市朝陽區建國路108號橫琴人壽大廈17層深圳市福田區益田路6001號太平金融大廈10樓05單元 電話:021-20655588 法律聲明法律聲明