《封裝材料行業深度報告:“后摩爾時代”國產材料助力先進封裝新機遇-240305(65頁).pdf》由會員分享,可在線閱讀,更多相關《封裝材料行業深度報告:“后摩爾時代”國產材料助力先進封裝新機遇-240305(65頁).pdf(65頁珍藏版)》請在三個皮匠報告上搜索。
1、 半導體/行業專題報告/2024.03.05 請閱讀最后一頁的重要聲明!“后摩爾時代”,國產材料助力先進封裝新機遇 證券研究報告 投資評級投資評級:看好看好(維持維持)最近 12 月市場表現 分析師分析師 張益敏 SAC 證書編號:S0160522070002 分析師分析師 白宇 SAC 證書編號:S0160523100001 相關報告 1.晦極而明,半導體光學邁向璀璨轉折點 2024-02-22 2.國產封裝設備發力,勾勒三維集成電路新時代 2024-01-19 3.AI 引領復蘇,重視技術迭代增量 2024-01-18 封裝材料行業深度報告封裝材料行業深度報告 核心觀點核心觀點 “后摩爾時
2、代”,先進封裝成為晶圓制造主流技術發展路線“后摩爾時代”,先進封裝成為晶圓制造主流技術發展路線:晶圓制造物理性能接近極限,英特爾 CEO 基辛格曾表示“摩爾定律”的節奏正在放緩至三年。先進封裝技術通過優化芯片間互連,在系統層面實現算力、功耗和集成度等方面的提升,是突破摩爾定律的關鍵技術方向。先進封裝工藝仍處于起步階段先進封裝工藝仍處于起步階段,不同工藝仍在不同工藝仍在向前發展向前發展:Bump、RDL、TSV、Wafer 具備任意一個均可以被稱為先進封裝。Bump(凸點)大小和間距逐步縮小直至被無凸點的混合鍵合技術取代;RDL(重布線層)的線寬和線距都在變??;TSV(硅通孔)的深寬比提升的同時
3、孔直徑在縮小。國際巨頭提前進行技術布局,推出多種基于國際巨頭提前進行技術布局,推出多種基于 Chiplet 的解決方案的解決方案:臺積臺積電電提前布局先進封裝,3DFabric 系統整合技術整合資源,展示了通過硅中介層進行子系統集成的技術框架,這一技術框架即為 CoWoS 的關鍵技術;英特爾英特爾的先進封裝主要關注互連密度、功率效率和可擴展性三個方面,Foveros 和混合鍵合技術主要關注功率效率、互連密度方面,而 Co-emib 和 ODI 技術則聚焦于可擴展性特點;沿著水平集成和垂直集成的方向,三星三星也開發出 2.5D 封裝技術,如 I-Cube 和 H-Cube,以及 3D 封裝技術
4、X-Cube。先進封裝先進封裝環節眾多,不同環節材料環節眾多,不同環節材料需求不同需求不同:IC 載板載板是芯片封裝的關鍵材料,是裸芯片和外界電路之間的橋梁;電鍍液電鍍液廣泛應用在凸點(bump)和再布線層(RDL)的制造,和硅通孔(TSV)的金屬填充中;環氧塑封料環氧塑封料(EMC)主要用于保護半導體芯片不受外界環境的影響,并提供導熱、絕緣、耐濕、耐壓、支撐等復合功能;電子膠粘劑電子膠粘劑主要用于電子相關產品的電子元器件保護、電氣連接、結構粘接和密封、熱管理、電磁屏蔽等;硅微粉硅微粉是 IC 載板、環氧塑封料、底部填充膠的主要無機填充物;臨時鍵合膠臨時鍵合膠是把晶圓和臨時載板黏接在一起的中間
5、層材料,是晶圓減薄的關鍵材料。投資投資建議建議:先進封裝是未來半導體制造主要技術路徑,各大芯片廠商均需通過先進封裝手段提升芯片性能。建議關注興森科技、天承科技、鼎龍股份、德邦科技、金宏氣體、深南電路、艾森股份、上海新陽、華海誠科、路維光電、清溢光電、華正新材、安集科技、聯瑞新材、雅克科技、華特氣體。風險提示:風險提示:國內先進封裝需求不及預期;海外先進封裝產能擴充不及預期;國內先進封裝材料客戶導入不及預期。-37%-26%-16%-5%6%17%半導體滬深300上證指數 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 2 行業專題報告/證券研究報告 table_companyInvestRa
6、nk 重點公司投資評級:重點公司投資評級:代碼代碼 公司公司 總市值總市值(億元億元)收盤價收盤價(03.04)EPS(元元)PE 投資評級投資評級 2022A 2023E 2024E 2022A 2023E 2024E 002436 興森科技 202.11 13.47 0.33 0.18 0.30 29.33 94.23 34.29 增持 688603 天承科技 6.81 53.21 1.26 1.11 1.60-71.29 44.95 增持 300054 鼎龍股份 154.41 20.99 0.42 0.35 0.52 51.73 71.70 44.35 增持 688035 德邦科技 31
7、.62 39.12 1.06 1.12 1.61 48.49 50.46 36.52 增持 688106 金宏氣體 99.82 20.50 0.47 0.69 0.88 39.91 40.18 31.56 增持 002916 深南電路 376.44 73.72 3.22 2.83 3.52 22.57 27.08 22.10 增持 688720 艾森股份 6.55 39.40 0.35 0.39 0.57-99.93 67.10 未覆蓋 300236 上海新陽 97.25 34.93 0.17 0.50 0.65 163.60 66.34 53.40 未覆蓋 688535 華海誠科 13.52
8、 75.82 0.68 0.64 0.90-130.18 95.60 未覆蓋 688401 路維光電 32.10 28.20 1.08 0.90 1.28 48.52 33.86 24.58 未覆蓋 688138 清溢光電 48.16 18.05 0.37 0.54 0.75 49.03 35.28 26.30 未覆蓋 603186 華正新材 32.02 22.55 0.25 0.09 1.58 89.04-14.24 未覆蓋 688019 安集科技 151.46 152.88 4.59 4.07 5.14 44.61 38.08 30.63 未覆蓋 688300 聯瑞新材 84.81 45.
9、66 1.51 1.06 1.41 32.24 45.03 33.44 未覆蓋 002409 雅克科技 160.12 50.27 1.10 1.52 2.20 45.73 35.79 24.06 未覆蓋 688268 華特氣體 61.13 50.85 1.72 1.63 2.22 43.30 33.01 24.21 未覆蓋 數據來源:Choice 數據,財通證券研究所,未覆蓋公司預測數據來自 Choice 一致預期 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 3 行業專題報告/證券研究報告 1 后摩爾時代,先進封裝成為主流技術路線發展方向后摩爾時代,先進封裝成為主流技術路線發展方向.8
10、1.1 摩爾定律放緩步伐,開發先進制程成本高企摩爾定律放緩步伐,開發先進制程成本高企.8 1.2 先進封裝技術超越摩爾定律先進封裝技術超越摩爾定律.9 1.3 美國加碼先進封裝,國內技術追趕還需時間美國加碼先進封裝,國內技術追趕還需時間.10 2 封裝工藝:先進封裝的起點封裝工藝:先進封裝的起點.11 2.1 主要先進封裝工藝介紹主要先進封裝工藝介紹.11 2.1.1 凸點(凸點(Bump):先進封裝演化的基礎):先進封裝演化的基礎.12 2.1.2 重布線(重布線(RDL):延伸出晶圓級封裝):延伸出晶圓級封裝.16 2.1.3 硅通孔(硅通孔(TSV):):2D 轉向轉向 3D 封裝關鍵技
11、術封裝關鍵技術.18 2.1.4 臨時鍵合臨時鍵合/解鍵合(解鍵合(TBDB):超薄晶圓背面工藝的支撐):超薄晶圓背面工藝的支撐.20 2.2 Chiplet(芯粒):異質異構集成,助力集成電路發展(芯粒):異質異構集成,助力集成電路發展.21 2.2.1 Chiplet 簡介簡介.22 2.2.2 主流廠商導入主流廠商導入 Chiplet,提升芯片性能,提升芯片性能.23 2.3 國際巨頭提前進行技術布局,推出多種基于國際巨頭提前進行技術布局,推出多種基于 Chiplet 的解決方案的解決方案.24 2.3.1 臺積電臺積電.24 2.3.2 英特爾英特爾.28 2.3.3 三星三星.31
12、3 封裝材料:先進封裝發展帶來增量空間封裝材料:先進封裝發展帶來增量空間.33 3.1 芯片載體材料:芯片載體材料:IC 載板載板.34 3.2 電鍍材料:電鍍液電鍍材料:電鍍液.38 3.3 包封保護材料:環氧塑封料包封保護材料:環氧塑封料.41 3.4 粘合材料:電子膠粘劑粘合材料:電子膠粘劑.43 3.5 核心無機填充物:硅微粉核心無機填充物:硅微粉.44 3.6 光刻材料:光刻膠、光刻材料:光刻膠、PSPI 及掩膜版及掩膜版.46 3.7 CMP 材料:拋光液和拋光墊材料:拋光液和拋光墊.49 3.8 臨時鍵合材料:臨時鍵合膠臨時鍵合材料:臨時鍵合膠.51 4 相關標的相關標的.51
13、4.1 IC 載板:載板:.51 4.1.1 興森科技興森科技.51 4.1.2 深南電路深南電路.53 內容目錄 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 4 行業專題報告/證券研究報告 4.2 天承科技天承科技.54 4.3 鼎龍股份鼎龍股份.55 4.4 路維光電路維光電.56 4.5 安集科技安集科技.57 4.6 聯瑞新材聯瑞新材.58 4.7 雅克科技雅克科技.59 4.8 金宏氣體金宏氣體.61 4.9 其他標的:其他標的:.62 5 投資建議投資建議.64 6 風險提示風險提示.64 圖圖 1.芯片上的晶體管數量芯片上的晶體管數量.8 圖圖 2.摩爾定律逐步放緩摩爾定律
14、逐步放緩.8 圖圖 3.先進制程芯片設計成本先進制程芯片設計成本.9 圖圖 4.集成電路發展方向集成電路發展方向.9 圖圖 5.先進封裝的四要素先進封裝的四要素.12 圖圖 6.Bump 和和 RDL 的發展趨勢的發展趨勢.12 圖圖 7.凸點在先進封裝中的使用凸點在先進封裝中的使用.12 圖圖 8.電鍍凸點工藝的流程電鍍凸點工藝的流程.13 圖圖 9.倒裝焊料凸點和銅柱凸點的結構倒裝焊料凸點和銅柱凸點的結構.14 圖圖 10.凸點大小和間距的發展過程凸點大小和間距的發展過程.15 圖圖 11.各種鍵合技術在各種鍵合技術在 1x1cm2面積內的面積內的 I/O 數量數量.15 圖圖 12.凸點
15、鍵合和混合鍵合流程凸點鍵合和混合鍵合流程.15 圖圖 13.3 種混合鍵合方式對比種混合鍵合方式對比.15 圖圖 14.RDl 結構結構.16 圖圖 15.RDL 電鍍工藝流程圖電鍍工藝流程圖.16 圖圖 16.傳統封裝和晶圓級封裝流程傳統封裝和晶圓級封裝流程.17 圖圖 17.扇入式和扇出式扇入式和扇出式 WLP 對比對比(剖面剖面).17 圖圖 18.扇入式和扇出式扇入式和扇出式 WLP 對比對比(底面底面).17 圖圖 19.傳統封裝和傳統封裝和 TSV 封裝結構封裝結構.18 圖表目錄 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 5 行業專題報告/證券研究報告 圖圖 20.TSV
16、 工藝制造流程工藝制造流程.19 圖圖 21.三種三種 TSV 結構工藝流程圖結構工藝流程圖.20 圖圖 22.臨時鍵合臨時鍵合/解鍵合工藝流程解鍵合工藝流程.21 圖圖 23.Chiplet 設計核心思路設計核心思路.22 圖圖 24.Mi300A 結構示意圖結構示意圖.23 圖圖 25.MI300 3.5D 封裝示意圖封裝示意圖.23 圖圖 26.H100 結構示意圖結構示意圖.24 圖圖 27.AMD EPYC9004 示意圖示意圖.24 圖圖 28.臺積電臺積電 3DFabric 技術構成技術構成.25 圖圖 29.不同封裝技術的鍵合間距不同封裝技術的鍵合間距.25 圖圖 30.WoW
17、 堆疊技術堆疊技術.25 圖圖 31.CoWos 技術進步歷程技術進步歷程.26 圖圖 32.CoWoS 結構結構.26 圖圖 33.三種類型三種類型 CoWoS 構成構成.27 圖圖 34.兩種類型兩種類型 InFO 構成構成.27 圖圖 35.3DFabric 技術集成技術集成.28 圖圖 36.英特爾先進封裝技術路線圖英特爾先進封裝技術路線圖.28 圖圖 37.EMIB 互連結構示意圖互連結構示意圖.29 圖圖 38.Foveros 結構示意圖結構示意圖.29 圖圖 39.Foveros Omni 結構示意圖結構示意圖.30 圖圖 40.Foveros Direct 結構示意圖結構示意圖
18、.30 圖圖 41.Co-EMIB 封裝過程封裝過程.30 圖圖 42.有機基板和玻璃基板結構圖有機基板和玻璃基板結構圖.31 圖圖 43.三星先進封裝技術布局三星先進封裝技術布局.31 圖圖 44.I-CUBE S 結構示意圖結構示意圖.32 圖圖 45.I-Cube E 結構示意圖結構示意圖.32 圖圖 46.H-cube 結構示意圖結構示意圖.32 圖圖 47.X-Cube(微凸塊)結構示意圖(微凸塊)結構示意圖.33 圖圖 48.X-Cube(無凸塊)結構示意圖(無凸塊)結構示意圖.33 圖圖 49.2022-2028 先進封裝收入預測先進封裝收入預測.34 圖圖 50.IC 載板鏈接
19、示意圖載板鏈接示意圖.35 圖圖 51.ABF 載板與載板與 BT 載板產品圖載板產品圖.35 圖圖 52.2022 全球全球 IC 載板市場競爭格局載板市場競爭格局.36 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 6 行業專題報告/證券研究報告 圖圖 53.2022 中國大陸封裝基板內外資廠商競爭格局中國大陸封裝基板內外資廠商競爭格局.36 圖圖 54.先進封裝中先進封裝中 IC 載板主要應用場景載板主要應用場景.36 圖圖 55.全球全球 ABF 載板供需趨勢載板供需趨勢.37 圖圖 56.2020-2025 味之素味之素 ABF 膜產量膜產量(萬平方米萬平方米).38 圖圖 57
20、.電鍍的基本原理電鍍的基本原理.38 圖圖 58.全球半導體用電鍍化學品市場規模全球半導體用電鍍化學品市場規模.39 圖圖 59.硅通孔填充方式與硅通孔尺寸的關系硅通孔填充方式與硅通孔尺寸的關系.39 圖圖 60.硫酸銅電鍍液中硫酸銅電鍍液中 Cu2+發生的還原反應發生的還原反應.40 圖圖 61.不同填充方式下添加劑附著情況不同填充方式下添加劑附著情況.40 圖圖 62.銅柱凸點制備流程銅柱凸點制備流程.40 圖圖 63.焊料凸點制備流程焊料凸點制備流程.40 圖圖 64.環氧塑封料產品示意圖環氧塑封料產品示意圖.41 圖圖 65.環氧塑封應用場景環氧塑封應用場景.41 圖圖 66.半導體封
21、裝領域電子膠粘劑應用點示意圖半導體封裝領域電子膠粘劑應用點示意圖.43 圖圖 67.底部填充膠底部填充膠 2.5D 使用場景示意圖使用場景示意圖.44 圖圖 68.球形硅微粉掃描圖球形硅微粉掃描圖.45 圖圖 69.覆銅板中微硅粉應用場景覆銅板中微硅粉應用場景.45 圖圖 70.光刻工藝步驟光刻工藝步驟.46 圖圖 71.正膠和負膠的顯影工藝正膠和負膠的顯影工藝.47 圖圖 72.封裝用石英掩膜版產品圖封裝用石英掩膜版產品圖.47 圖圖 73.凸點成型中光刻使用階段凸點成型中光刻使用階段.48 圖圖 74.RDL 制造中光刻使用階段制造中光刻使用階段.48 圖圖 75.CMP 工作原理示意圖工
22、作原理示意圖.49 圖圖 76.TSV 工藝流程工藝流程.49 圖圖 77.TSV 阻擋層去除過程原理圖阻擋層去除過程原理圖.50 圖圖 78.晶圓背面晶圓背面 CMP 工藝示意圖工藝示意圖.50 圖圖 79.拋光墊作業示意圖拋光墊作業示意圖.50 圖圖 81.興森科技歸母凈利潤及增速興森科技歸母凈利潤及增速.52 圖圖 82.深南電路營業收入及增速深南電路營業收入及增速.53 圖圖 83.深南電路歸母凈利潤及增速深南電路歸母凈利潤及增速.53 圖圖 84.天承科技營業收入及增速天承科技營業收入及增速.54 圖圖 85.天承科技歸母凈利潤及增速天承科技歸母凈利潤及增速.54 圖圖 86.鼎龍股
23、份營業收入及增速鼎龍股份營業收入及增速.55 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 7 行業專題報告/證券研究報告 圖圖 87.鼎龍股份歸母凈利潤及增速鼎龍股份歸母凈利潤及增速.55 圖圖 88.路維光電營業收入及增速路維光電營業收入及增速.56 圖圖 89.路維光電歸母凈利潤及增速路維光電歸母凈利潤及增速.56 圖圖 90.安集科技營業收入及增速安集科技營業收入及增速.57 圖圖 91.安集科技歸母凈利潤及增速安集科技歸母凈利潤及增速.57 圖圖 92.聯瑞新材營業收入及增速聯瑞新材營業收入及增速.59 圖圖 93.聯瑞新材歸母凈利潤及增速聯瑞新材歸母凈利潤及增速.59 圖圖 9
24、4.雅克科技營業收入及增速雅克科技營業收入及增速.60 圖圖 95.雅克科技歸母凈利潤及增速雅克科技歸母凈利潤及增速.60 圖圖 96.金宏氣體營業收入及增速金宏氣體營業收入及增速.61 圖圖 97.金宏氣體歸母凈利潤及增速金宏氣體歸母凈利潤及增速.61 表表 1.先進制程的工藝指標先進制程的工藝指標.8 表表 2.傳統封裝和先進封裝簡單對比傳統封裝和先進封裝簡單對比.10 表表 3.NAPMP 六大投資領域六大投資領域.10 表表 4.集成電路的發展階段及具體封裝形式集成電路的發展階段及具體封裝形式.11 表表 5.不同材質凸點的特點及應用領域不同材質凸點的特點及應用領域.14 表表 6.不
25、同不同 TBDB 技術的對比技術的對比.21 表表 7.SoC、Chiplet 技術優缺點對比技術優缺點對比.22 表表 8.歷代封裝技術對環氧塑封料的性能和配方要求歷代封裝技術對環氧塑封料的性能和配方要求.42 表表 9.國內環氧塑封料國產化程度及競爭格局國內環氧塑封料國產化程度及競爭格局.43 表表 10.深南電路封裝基板業務擴產規劃深南電路封裝基板業務擴產規劃.54 表表 11.2023 年安集科技新產品進展年安集科技新產品進展.58 表表 12.2023 年聯瑞新材核心產品及應用領域年聯瑞新材核心產品及應用領域.59 表表 13.2023 年金宏氣體新產品及應用領域年金宏氣體新產品及應
26、用領域.62 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 8 行業專題報告/證券研究報告 1 后摩爾時代,先進封裝后摩爾時代,先進封裝成為主流技術路線發展方向成為主流技術路線發展方向 1.1 摩爾定律放緩步伐,開發先進制程成本高企摩爾定律放緩步伐,開發先進制程成本高企 物理性能物理性能接近極限,摩爾定律放慢至接近極限,摩爾定律放慢至 3 年。年。根據摩爾定律,集成電路上可容納的晶體管數量每隔 18 個月-2 年就會翻一番,即“處理器性能約在每兩年增加一倍,但同時價格下降為先前一半”。隨著制造工藝的提升,集成電路的晶體管尺寸從微米級降至納米級,集成度從幾十個晶體管增加到數十億晶體管。然而,
27、物理尺寸縮小瀕臨極限帶來的量子隧穿效應、原子級加工工藝等問題成為制約摩爾定律延續的重要因素,并且每代工藝之間的性能提升幅度越來越小。與歷史速率相比,一個完全規模工藝節點的更新周期已經從 18 個月逐漸延長。英特爾 CEO 基辛格表示“摩爾定律”的節奏正在放緩至三年。圖1.芯片上的晶體管數量 圖2.摩爾定律逐步放緩 數據來源:Karl Rupp,Microprocessor Trend Data(2022),財通證券研究所 數據來源:AMD,奇偶派公眾號,財通證券研究所 表1.先進制程的工藝指標 時間節點 2022 2025 2028 2031 2034 2037 工藝節點 3nm 2nm 1.
28、5nm 1.0nm 0.7nm 0.5nm 晶體管結構 FinFET Lateral GAAFET 3D Lateral GAAFET 柵長 Lg 16nm 14nm 12nm 12nm 12nm 12nm 首層金屬間距 MO 24nm 20nm 16nm 16nm 16nm 16nm 邏輯工藝 LGAA 寬-30nm 25nm 20nm 15nm 15nm SRAM LGAA 寬-7nm 6nm 6nm 6nm 6nm 數據來源:IRDS,先進計算推進工作組專家咨詢委員會和中國信息通信研究院,財通證券研究所 開發先進制程成本高企開發先進制程成本高企,投入產出比低,投入產出比低。從 16nm/
29、14nm 節點開始,集成電路設計和制造成本高速提升,根據 IBS 數據,設計一顆 5nm 芯片,需要 4.49 億美元,而3nm 芯片需要 5.81 億美元,2nm 高達 7.25 億美元。而半導體工藝技術發展帶來的芯片在密度、性能和功耗方面的優化效果不再顯著。以臺積電為例,其提升至 7 nm 節點時,晶體管數量提高到 1.84 倍,在相同的速度下功耗降低 30%.,而 5 nm 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 9 行業專題報告/證券研究報告 工藝在相同的功耗下,速度卻只能提高 15%。同時半導體制造代工廠隨著制程的提升數量越來越少,10nm 及以下節點的制造能力僅臺積電、英
30、特爾、三星等公司具備,其產能相對有限,小體量客戶很難排上。圖3.先進制程芯片設計成本 數據來源:IBS,半導體行業觀察,財通證券研究所 1.2 先進封裝技術超越先進封裝技術超越摩爾定律摩爾定律 超越摩爾定律,超越摩爾定律,先進封裝大有可為。先進封裝大有可為。后摩爾定律時代,集成電路有三大發展方向,More Moore(深度摩爾),More than Moore(超越摩爾)和 Beyond CMOS(新器件)。More Moore 延續縮小 CMOS 的整體思路,在器件結構、連接導線、高介質金屬柵、架構系統等方面進行創新研發,從而延續摩爾定律,提升芯片性能。More than Moore 采用先
31、進的封裝技術將處理、模擬/射頻、光電、能源、傳感等使用高速接口集成在系統內,進行系統級封裝以實現系統性能的提升。Beyond CMOS 則是使用 CMOS 以外的新器件提升集成電路性能。圖4.集成電路發展方向 數據來源:IEEE IRDS 官網,半導體產業縱橫公眾號,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 10 行業專題報告/證券研究報告 在芯片前道工藝技術節點受限的情況下,先進封裝技術通過優化芯片間互連,在系統層面實現算力、功耗和集成度等方面的提升,是突破摩爾定律的關鍵技術方是突破摩爾定律的關鍵技術方向。向。表2.傳統封裝和先進封裝簡單對比 比較項目 傳統封裝 先進
32、封裝 引線鍵合 倒裝焊 TSV I/O 密度 低 中 高 設備價格 低 中 高 速度損耗 高 中 低 封裝成本 低 中 高 封裝周期 低 中 長 技術成熟度 高 中 低 市場占比 高 中 低 發展趨勢 逐漸降低 穩步發展 快速 數據來源:奇異摩爾公眾號,財通證券研究所 1.3 美國加碼先進封裝,國內技術追趕還需時間美國加碼先進封裝,國內技術追趕還需時間 美國政府加碼扶持本土先進封裝研發。美國政府加碼扶持本土先進封裝研發。2023 年 11 月 20 日,美國商務部下屬國家標準與技術研究所(NIST)發布國家先進封裝制造計劃(NAPMP)愿景文件,資金總額約 30 億美元,2024 年初將開啟首
33、批資助通道,補貼領域為封裝材料與基底。NAPMP 是基于美國2022 芯片與科學法案設立的研發補貼項目之一,該法案將為本土的半導體產業提供資金補貼以及稅收優惠等政策。2024 年 2 月 1 日,CHIPS 研究與開發辦公室進一步提供約 3 億美元的資金,以建立和加速先進封裝基板和基板材料的國內產能。表3.NAPMP 六大投資領域 領域 具體情況 材料和基板 材料和基板是構建先進封裝技術的核心平臺。新基板需要具備多層精細布線和通孔間距的能力、需要低翹曲、大面積、還應具備集成有源和無源元件的能力。新基板可以采用硅、玻璃或有機材料制造。設備、工具與工藝 CMOS 設備和工藝需要調整,以處理與不同類
34、型基板兼容的芯片和晶圓。供配電與熱管理 重點關注新的熱材料以及采用先進基板和異構集成的新型電路拓撲結構。光子通信與連接器 重點將放在可靠且可制造的集成連接器上,包括計算能力、數據預處理、安全性和易安裝性。Chiplet 生態系統 重點關注確保 Chiplet 高度可重用、設計和存儲的方法。協同設計和自動化設計工具 采用自動化設計工具協同設計多芯粒子系統,同時考慮內建測試和修復、安全性、互操作性和可靠性,并詳細了解用于組裝的基板和工藝,包括熱和電源管理解決方案。數據來源:中國機械工程學會,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 11 行業專題報告/證券研究報告 中國中國
35、封裝封裝行業起步晚,行業起步晚,技術路線技術路線仍需追趕國際仍需追趕國際廠商廠商。按照是否焊線,封裝工藝分為傳統封裝與先進封裝。根據畢克允的中國半導體封裝業的發展,全球集成電路封測行業可劃分為五個發展階段,自第三階段起的封裝技術統稱為先進封裝技術。當前,中國封裝企業大多以第一、二階段的傳統封裝技術為主,例如 DiP、SOP 等,產品定位中低端;而全球封裝主流技術處于以 CSP、BGA 為主的第三階段,并向倒裝焊封裝(FC)、芯片上制作凸點(Bumping)為代表的第四階段和第五階段封裝技術發展。表4.集成電路的發展階段及具體封裝形式 階段 時間 封裝類型 具體的封裝形式 第一階段 20 世紀7
36、0 年代以前 通孔插裝型封裝 晶體管封裝(TO)、陶瓷雙列直插封裝(CDIP)、塑料雙列直插封裝(PDIP)第二階段 20 世紀80 年代以后 表面貼裝型封裝 塑料有引線片式載體封裝(PLCC)塑料四邊引線扁平封裝(PQFP)、小外形表面封裝(SOP)、無引線四邊扁平封裝(PQFN)、小外形晶體管封裝(SOT)、雙邊扁平無引腳封裝(DNF)第三階段 20 世紀90 年代 球柵陣列封裝(BGA)塑料焊球陣列封裝(PBGA)、陶瓷焊球陣列封裝(CBGA)、帶散熱器焊球陣列封裝(EBGA)、倒裝芯片焊球陣列封裝(FC-BGA)晶圓級封裝(WLP)芯片級封裝(CSP)引線框架 CSP 封裝、柔性插入板
37、 CSP 封裝、剛性插入板 CSP 封裝、圓片級 CSP 封裝 第四階段 20 世紀末開始 多芯片組封裝(MCM)多層陶瓷基板(MCM-C)、多層薄膜基板(MCM-D)、多層印制板(MCM-L)系統級封裝(SiP)三維立體封裝(3D)芯片上制作凸點(Bumping)第五階段 21 世紀前10 年開始 微電子機械系統封裝(MEMS)晶圓級系統封裝-硅通孔(TSV)倒裝焊封裝(FC)表面活化室溫連接(SAB)扇出型集成電路封裝(Fan-Out)扇入型集成電路封裝(Fan-in)數據來源:中國半導體封裝行業發展趨勢分析與投資前景研究報告(2023-2030 年),觀研天下,財通證券研究所 2 封裝封
38、裝工藝:先進封裝的起點工藝:先進封裝的起點 2.1 主要先進封裝工藝介紹主要先進封裝工藝介紹 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 12 行業專題報告/證券研究報告 Bump,RDL,TSV,Wafer 為先進封裝的四要素,具備任意一個均可以被稱為先為先進封裝的四要素,具備任意一個均可以被稱為先進封裝。進封裝。Bump 能夠互聯界面和緩沖應力,RDL 使 XY 平面的電氣延伸,TSV 讓Z 軸的電氣延伸,Wafer 則作為集成電路的載體以及 RDL 和 TSV 的介質和載體不斷擴大。為滿足高密度,小型化的需求,從趨勢上看,Bump 大小和間距會越來越小,直至消失。Hybrid Bo
39、nding 技術可以在不使用 Bump 的基礎上直接進行鍵合。RDL 的線寬/線間距(L/S)也是不斷縮小。Wafer 則是不斷擴大,從 6 寸、8 寸直至 12 寸。TSV 的縱深比提高的同時,通孔的直徑與間距也在不斷變小。圖5.先進封裝的四要素 圖6.Bump 和 RDL 的發展趨勢 數據來源:CEIA 電子智造公眾號,財通證券研究所 數據來源:Yole,財通證券研究所 2.1.1 凸點凸點(Bump):先進封裝演化的基礎先進封裝演化的基礎 凸點凸點是指定向生長于芯片表面,與芯片直接或間接相連接的具有導電特性的凸起是指定向生長于芯片表面,與芯片直接或間接相連接的具有導電特性的凸起物物。在先
40、進封裝中,有源面上有凸點電極的芯片向下放置,直接與封裝基板/基板布線層進行鍵合,而凸點代替傳統封裝中的引線,起到電互連、熱傳遞和機械支撐的作用。凸點技術起源于 IBM 在 20 世紀 60 年代開發的“可控坍塌芯片連接技術”,現仍然是面積陣列封裝的關鍵技術,廣泛應用于球柵陣列封裝(BGA),芯片尺度封裝(CSP)和倒裝芯片封裝(FCP)等中高端芯片封裝領域中。圖7.凸點在先進封裝中的使用 數據來源:SK 海力士官網,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 13 行業專題報告/證券研究報告 電鍍法是普遍使用且工藝成熟的凸點制作方法。電鍍法是普遍使用且工藝成熟的凸點制作方
41、法。凸點的制作方法有兩類,其一是以植球法為代表的通過專用設備將預成型精密焊球放置在基板上特定位置來形成凸點,其二是以電鍍法為代表的凸點陣列直接制備法。電鍍工藝一致性高且能與IC、微機電系統工藝兼容,故能用于批量生產不同規格芯片的不同材料凸點。但電鍍法需要控制電鍍液的組成成分含量來改變焊料合金的成分,并且工序相對復雜,要在凸點存在狀態下進行種子層刻蝕。圖8.電鍍凸點工藝的流程 數據來源:電子封裝金屬微凸點制備技術研究進展,作者:王凌云和鄭康,財通證券研究所 凸點按照材料分可以分為以凸點按照材料分可以分為以單質金屬凸點單質金屬凸點為代表的為代表的銅柱凸點、金凸點、鎳凸點、銅柱凸點、金凸點、鎳凸點、
42、銦凸點銦凸點等,和等,和以錫基為代表的焊料凸點、聚合物凸點等以錫基為代表的焊料凸點、聚合物凸點等。金凸點和銅柱凸點使用電鍍或者釘頭凸點方式制備,具有電導率高、抗電遷移特性好的優點,適用于小節距的高端芯片場景。焊料凸點以錫基焊料為主,多為二元或三元及以上多元合金。在封裝時,焊料凸點需要再熔化而回流焊接到基板,容易產生形變。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 14 行業專題報告/證券研究報告 表5.不同材質凸點的特點及應用領域 材質 主要特點 應用領域 金 由于金具有良好的導電性、機械加工性及抗腐蝕性,因此金凸點具有密度大、低感應、散熱能力佳、材質穩定性高等特點,但原材料成本相對較高
43、 主要應用于顯示驅動芯片、傳感器、電子標簽等產品封裝 銅鎳金 可適用于不同的封裝形式,可提高鍵合的導電性能、散熱性能、減少阻抗,提高引線鍵合的靈活性;雖原材料成本較金凸點低,但工藝復雜制造成本相對較高 目前主要用于電源管理等大電流、需低阻抗的芯片封裝 銅柱 具有良好的電性能和熱性能,具備窄節距的優點。同時可通過增加介電層或 RDL 提升芯片可靠性 應用領域較廣,主要應用于通用處理器、圖像處理器、存儲器芯片、ASIC、FPGA、電源管理芯片、射頻前端芯片、基帶芯片、功率放大器、汽車電子等產品或領域 錫 主要由銅焊盤和錫帽構成,一般是銅柱凸塊尺寸的 35 倍球體較大,可焊性更強 應用領域較廣,主要
44、應用于圖像傳感器、電源管理芯片、高速器件、光電器件等領域 數據來源:頎中科技招股說明書,財通證券研究所 隨著芯片尺寸的減小和 SoC,多芯片集成技術的發展,I/O 互聯數量增加將導致凸點的尺寸進一步縮小。雖然目前無鉛焊料微凸點的制備技術更成熟,但凸點的尺寸和節距小于一定值時,無鉛焊料將出現界面反應、熱疲勞可靠性、跌落沖擊可靠性等可靠性問題,故故焊料凸點用于節距較大(焊料凸點用于節距較大(100um)的場景,銅柱凸點)的場景,銅柱凸點則則是是高密度、窄節距封裝的主流。高密度、窄節距封裝的主流。圖9.倒裝焊料凸點和銅柱凸點的結構 數據來源:先進封裝中凸點技術的研究進展,作者:鄭丹丹,財通證券研究所
45、 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 15 行業專題報告/證券研究報告 凸點凸點間距間距逐漸減小逐漸減小,凸點密度增大帶動帶寬和功耗雙提升。凸點密度增大帶動帶寬和功耗雙提升。隨著電子器件向更輕薄、微型和高性能進步,凸點間距向 20m 推進,巨頭已經實現小于 10m 的凸點間距。在 20m 時,內部互聯使用 TCB 技術,10m 以下時,混合鍵合(Hybrid Bonding)技術可以實現更小凸點間距和更高凸點密度。10m 凸點間距提供大約400 倍于 200m 凸點間距的 I/O 數。圖10.凸點大小和間距的發展過程 圖11.各種鍵合技術在 1x1cm2面積內的 I/O 數量 數
46、 據 來 源:Design considerations for a new generation of SiPMs with unprecedented timing resolution,作者:S.Enoch 等,財通證券研究所 數據來源:3D IC 封裝:超高密度銅-銅混合鍵合,作者:陳智等,財通證券研究所 混合鍵合是混合鍵合是在一個鍵合步驟中同時鍵合電介質和金屬鍵合焊盤在一個鍵合步驟中同時鍵合電介質和金屬鍵合焊盤,HB 通過分子間通過分子間作用力作用力(范德華力范德華力)實現實現而無需引線或者凸點而無需引線或者凸點。SiO2介質間的鍵合互連提供機械支撐與電氣隔離,金屬 Cu 間的相互鍵
47、合實現芯片的垂直電學互連?;旌湘I合技術可使用在 FC 倒裝,3D 封裝和晶圓級封裝,具有以下優點:(1)接點尺寸和間距小,提高 I/O 數量;(2)介電材料取代底部填充劑,節省填充成本;(3)省去凸點高度,大幅減少芯片厚度。目前目前 Cu-Cu 混合鍵合有三種方式?;旌湘I合有三種方式。晶圓到晶圓(W2W)在圖像傳感領域已經使用多年,目前也是先進封裝異構集成的主要選擇,可運用于 HBM 的生產。而芯片到晶圓(D2W)或芯片到晶圓(C2W)工藝正在深入研究開發中,因為它支持不同的設計規則、芯片尺寸、晶圓類型和已知的良好芯片實現更加靈活的異構集成。圖12.凸點鍵合和混合鍵合流程 圖13.3 種混合鍵
48、合方式對比 數據來源:3D IC 封裝:超高密度銅-銅混合鍵合,作者:陳智等,財通證券研究所 數據來源:IDTechEx,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 16 行業專題報告/證券研究報告 2.1.2 重布線重布線(RDL):延伸出晶圓級封裝延伸出晶圓級封裝 RDL(Re-distributed layer)在晶圓表面沉積形成在晶圓表面沉積形成金屬層和相應的介質層,并形成金屬層和相應的介質層,并形成金屬布線金屬布線。傳統封裝的 I/O 一般分布在芯片的邊沿或者四周,在進行 Flip Chip(芯片倒裝)時,I/O 觸點會因為缺少引線或引線過于密集而導致連接受限,
49、而 RDL將芯片上原來設計的 I/O 位置通過晶圓級金屬布線工藝變換位置和排列,將其布局到新的,占位更為寬松的區域,并形成面陣列排布,使芯片能適用于不同的封裝形式。RDL 的優勢主要有三點:1)RDL 的設計能代替部分芯片內部線路的設計,降低設計成本;2)支持更多的引腳數量;3)RDL 可以使 I/O 觸點間距更靈活、凸點面積更大,從而使基板與元件之間的應力更小、元件可靠性更高。圖14.RDl 結構 數據來源:CINNO 公眾號,財通證券研究所 RDL 主要采用電鍍制作,但是主要采用電鍍制作,但是大馬士革大馬士革工藝工藝更滿足低線寬和更滿足低線寬和多層金屬多層金屬要求。要求。電鍍法的問題在于濕
50、法刻蝕籽晶層時,Cu 線路也會被刻蝕而導致其線寬減小,甚至可能脫落;而小線寬情況下,較短的刻蝕時間又會導致籽晶層與阻擋層未被完全刻蝕,而形成殘留物。大馬士革工藝多用于高密度的 RDL 中,引入化學機械拋光進行平坦化,并去除多余的銅及種子層。圖15.RDL 電鍍工藝流程圖 數據來源:扇出型晶圓級封裝可靠性問題與思考,作者:范懿鋒等,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 17 行業專題報告/證券研究報告 晶圓級封裝是晶圓形態的芯片直接進行封裝晶圓級封裝是晶圓形態的芯片直接進行封裝。傳統晶圓封裝是將成品晶圓切割成單個芯片后進行黏合封裝。晶圓級封裝將保護層黏結在晶圓的底部或
51、頂部,連接電路后,再將晶圓切成單個芯片,具有封裝尺寸小、傳輸速度高、密度連接高、生產周期短、工藝成本低等特點。圖16.傳統封裝和晶圓級封裝流程 數據來源:艾邦半導體,財通證券研究所 根據根據 RDL 分布的凸點位置不同,分布的凸點位置不同,晶圓級封裝(晶圓級封裝(WLP)可分為扇入型(可分為扇入型(FIWLP)和扇出型(和扇出型(FOWLP)兩種封裝類型。)兩種封裝類型。(1)扇入型需要將所有的 I/O 端口都放置在芯片尺寸范圍內,所以其布線均由靠近芯片邊緣的 Die Pad 向內部布線到 RDL Pad,得到的最終封裝器件的平面尺寸與芯片本身尺寸相同,通常用于低 I/O 數量(一般小于 40
52、0)和較小裸片尺寸的工藝。(2)扇出型將 I/O 端口放在芯片尺寸范圍外,突破 I/O 引出端數目的限制。扇出型封裝在產品性能,封裝體積、成本和效率具有明顯的優勢,已經廣泛應用于諸多不同功能芯片的封裝,例如基帶處理器、射頻收發器、電源管理芯片、5G 芯片、生物/醫療器件和應用處理器等。圖17.扇入式和扇出式 WLP 對比(剖面)圖18.扇入式和扇出式 WLP 對比(底面)數據來源:先進封裝技術綜述,作者:周曉陽,財通證券研究所 數據來源:先進封裝技術綜述,作者:周曉陽,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 18 行業專題報告/證券研究報告 2.5D/3D 封裝封裝中
53、中 RDL 也必不可少。也必不可少。在 2.5D IC 集成中,RDL 將硅基板上方芯片的Bump 和基板下方的 Bump 連接,實現網絡的互聯和重新分布。在 3D IC 集成中,如果上下堆疊的不同類型芯片,則需要通過 RDL 將上下層芯片的 I/O 對準,才能完成電氣互聯。2.1.3 硅通孔硅通孔(TSV):):2D 轉向轉向 3D 封裝關鍵技術封裝關鍵技術 TSV(Through Silicon Via)在芯片和芯片之間,晶圓和晶圓之間制作垂直導通孔在芯片和芯片之間,晶圓和晶圓之間制作垂直導通孔并填充金屬等導電材料來實現芯片垂直互連并填充金屬等導電材料來實現芯片垂直互連,是,是 2.5D/
54、3D 封裝的關鍵工藝封裝的關鍵工藝。TSV技術將芯片上下層的互聯路徑,或者芯片正面與背面的路徑長度縮短,使平面型的芯片結構拓展到垂直型的疊層結構。TSV 能降低寄生電容和電感,實現芯片間的低功耗和高速通信,增加寬帶和實現封裝小型化。圖19.傳統封裝和 TSV 封裝結構 數據來源:SK 海力士官網,財通證券研究所 TSV 的關鍵工藝流程為:深反應離子刻蝕(DRIE)制作 TSV 孔,等離子增強化學氣相沉積(PECVD)制作介電層,物理氣相沉積(PVD)制作阻擋層和種子層、電鍍銅(Cu)填孔,化學機械拋光(CMP)去除多余的金屬。在 3D 集成時,還需要進行晶圓減薄和薄晶鍵合。謹請參閱尾頁重要聲明
55、及財通證券股票和行業評級標準 19 行業專題報告/證券研究報告 圖20.TSV 工藝制造流程 數據來源:硅通孔轉接板關鍵工藝技術研究-TSV 成孔及其填充技術,作者:劉曉陽等,財通證券研究所 由于由于 Cu 能提升通孔的性能,能提升通孔的性能,Via-Middle 和和 Via-Last 是主流方案。是主流方案。根據 TSV 和轉接板制作工藝的工序,TSV 分為 Via-First(先通孔),Via-Middle(中通孔),Via-Last(后通孔)。(1)Via-First 在制作前道工序(Front End Of Line,FEOL)前,先進行通孔結構制造。晶圓上先進行 TSV 結構的通孔
56、刻蝕,孔內沉積高溫電介質,然后填充摻雜多晶硅,多余的多晶硅通過 CMP 去除。先通孔的尺寸較大(大于 100um),僅能使用在部分圖像傳感器產品和 MEMS 產品上。另外多晶硅通孔電阻率較高,導致先通孔工藝不能廣泛運用在有源器件晶圓上。(2)Via-Middle 在前道工序和后道工序形成的工藝疊層之間加入 TSV。有源器件制程之后形成 TSV 結構,然后內部沉積電介質,淀積阻擋層鈦金屬和銅種子層,最后電鍍銅填充通孔,或者化學氣相沉積鎢金屬填充通孔。鎢適用于高深寬比TSV(深寬比大于 101),而銅用于低深寬比 TSV(深寬比小于 101)。中通孔的優點在于 TSV 結構間距?。ㄐ∮?100m)
57、、電阻較小,再布線層通道阻塞最小。但是中通孔必須適合產品器件性能要求以避免干擾器件和相鄰的布線層。另外中通孔的刻蝕工序、銅電鍍工序以及面銅的化學機械拋光工序工藝成本都較高。(3)Via-Last 是在后道工序(Back End of Line,BEOL)完成后,在晶圓的正面或背面制作 TSV。正面后通孔的優點在于 TSV 結構的粗略特征尺寸與全局布線層的特征尺寸相當,進而簡化部分集成的制造流程。但是該工藝會阻塞布線通道 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 20 行業專題報告/證券研究報告 以及刻蝕難度較高,需要刻蝕整個電介質疊層,導致使用受限。背面后通孔省去許多背面工藝步驟,例如
58、背面焊料凸點和金屬化,從而簡化工藝流程,廣泛用于圖像傳感器和 MEMS 器件。圖21.三種 TSV 結構工藝流程圖 數據來源:晶圓級封裝中的垂直互連結構,作者:徐罕等,財通證券研究所 2.1.4 臨時鍵合臨時鍵合/解鍵合解鍵合(TBDB):超薄晶圓超薄晶圓背面工藝背面工藝的支撐的支撐 TSV 制造和多片晶圓堆疊鍵合制造和多片晶圓堆疊鍵合需要將晶圓減薄需要將晶圓減薄。晶圓減薄不會影響其電學性能,但會顯著降低其機械性能。當晶圓減薄至 100m 以下時,工藝產生的殘余應力、機械強度降低和自身質量的影響,晶圓會表現出顯著的柔性和脆性,容易發生翹曲、彎折或者破裂,不利于進行后續的背面制程工藝(如光刻、刻
59、蝕、鈍化、濺射、電鍍、回流焊和劃切工序等)。TBDB 使用使用載體晶圓(載體晶圓(硅、玻璃或藍寶石襯底)作為臨時支撐系統,通過粘合劑硅、玻璃或藍寶石襯底)作為臨時支撐系統,通過粘合劑與晶圓暫時性地粘結在一起做后續工藝。當后續工藝完成后,再將載體晶圓與晶與晶圓暫時性地粘結在一起做后續工藝。當后續工藝完成后,再將載體晶圓與晶圓分離。圓分離。隨著先進封裝與 3D 集成的發展,部分晶圓需要減薄至 30m 甚至 10m 以下,如今 TBDB 技術已經成為面向大尺寸超薄晶圓的拿持與后道工藝加工處理的重要解決方案。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 21 行業專題報告/證券研究報告 圖22.臨
60、時鍵合/解鍵合工藝流程 數據來源:雅時化合物半導體公眾號,財通證券研究所 解鍵合是器件晶圓與載片分離的工藝解鍵合是器件晶圓與載片分離的工藝,主要有主要有機械機械剝離剝離法法、濕化學浸泡法濕化學浸泡法、熱滑熱滑移法移法和和激光解鍵合法激光解鍵合法等等 4 種方法種方法。機械剝離法通過向上的拉力和旋轉的剪切力直接分離載片和晶圓,但碎片率較高;濕化學浸泡法通過溶劑浸沒去除鍵合膠層,成本較低,但效率低,不適合量產;熱滑移法通過高溫軟化粘結劑,再施加剪切力使晶圓側向滑移出載板,但滑移完成后鍵合膠易在設備平臺殘留,影響后續產品工藝;激光解鍵合法使用激光透過透明載板,光子能量沉積在光敏材料層誘發材料的分解、
61、汽化甚至等離子化而失去粘性。同時,快速釋放的分解氣體會增大響應層界面的分離壓力,促進晶圓的自動分離。激光解鍵合法可在室溫下進行,并且具有高通量、低機械應力和環境友好等優點,更廣泛的應用在大尺寸超薄晶圓的制造。表6.不同 TBDB 技術的對比 TBDB 技術 解鍵合溫度 耐受溫度 優點 缺點 機械剝離法 室溫 300 在室溫下解鍵合,成本低 破片率高,產能低 濕化學浸泡法 室溫 300 在室溫下解鍵合,成本低 產能過低 熱滑移法 150235 250 工藝簡單,成本低 產能低,僅適用小尺寸晶圓 激光解鍵合法 室溫 350 產能高,工藝窗口寬,能夠滿足大于 8 英寸的大尺寸晶圓 設備成本較高 數據
62、來源:臨時鍵合技術在晶圓級封裝領域的研究進展,作者:王方成等,財通證券研究所 2.2 Chiplet(芯粒芯粒):異質異構集成異質異構集成,助力助力集成電路發展集成電路發展 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 22 行業專題報告/證券研究報告 2.2.1 Chiplet 簡介簡介 Chiplet(芯粒芯粒)具有較強的異質異構集成特征。具有較強的異質異構集成特征。Chiplet 將一塊功能完善且集成度很高的裸片拆分成多種具有單一特定功能并可單獨設計、測試和生產的小芯片,再通過先進封裝技術集成為系統級芯片組。按封裝介質材料及封裝工藝分類,異構集成芯片可采用基于有機基板(SiP 封裝)
63、、基于硅基板(2.5D/3D 封裝)和基于重分布層 3 類封裝技術。圖23.Chiplet 設計核心思路 數據來源:佐治亞理工學院,奕斯偉計算公眾號,財通證券研究所 由于是將不同功能、不同工藝制造的芯粒封裝成一個 SoC 芯片,Chiplet 技術相技術相比比 SiP 在成本、規模、周期在成本、規模、周期均有優勢均有優勢。另外,因為使用 2.5D、3D 等先進封裝技術實現芯片上互聯,Chiplet 的集成度更高、功耗更低、延遲更低、工作頻率更高。表7.SoC、Chiplet 技術優缺點對比 類別 SoC Chiplet 技術 設計費用 高 比單片 SoC 設計成本低 設計周期 長,一般超過 1
64、8 個月 較短,大概 12 個月 設計風險 高。遺漏功能需要重新設計 較低。重新設計內容,可以增減模塊芯片 性能 高。針對不能規?;δ艿闹匦略O計會造成資源低效使用 較高,可根據模塊功能選擇芯片制程 功耗 低 較低,接近 SoC 上市時間 最慢 較快 面積大小 最小 較小 數據來源:后摩爾時代 Chiplet 技術的演進與挑戰,作者:楊暉,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 23 行業專題報告/證券研究報告 2.2.2 主流廠商導入主流廠商導入 Chiplet,提升芯片性能提升芯片性能 2.2.2.1 通用圖形處理器通用圖形處理器(GPG-PU):):AMD 的的
65、 MI300 系列采用系列采用 3D Chiplet 結構結構。MI300 系列混合多個 Chiplet,共有三層。最下層為封裝基板,用于承載和連接中間層的芯片。中間層是 4 顆 I/O Die 和8 顆 HBM3 存儲芯粒。最上層的 GPU Die(XCD)或 CPU Die(CCD)均直接覆蓋在中間層的 4 顆 IO Die 上。MI 300X 最上層為 8 顆 GPU Die,適用于各種各樣生成式 AI 應用場景;MI 300A 最上層為 6 顆 GPU Die 和 3 顆 CPU Die,更適用于 HPC 應用和數據中心上。MI300 系列系列的的 3.5D 封裝封裝混合臺積電的的混合
66、臺積電的的 SoIC 和和 CoWoS 技術技術。XCD 和 CCD 使用混合鍵合技術直接 3d 堆疊 4 顆 I/O Die 上,而 I/O Die 和 HBM3 則使用 2.5D 封裝技術連接在底層基板上。3.5D 封裝實現遠超標準芯片封裝技術所能實現的帶寬、延遲和能源效率。圖24.Mi300A 結構示意圖 圖25.MI300 3.5D 封裝示意圖 數據來源:AMD,Toms Hardware,財通證券研究所 數據來源:AMD,Toms Hardware,財通證券研究所 NVIDIA 的的 H100 在在單個封裝內單個封裝內使使用單計算芯粒和多存儲芯?;ミB用單計算芯粒和多存儲芯?;ミB。NV
67、IDIA 使用臺積電的CoWoS技術,僅集成單顆H100核心計算芯粒和6顆HBM3或HBM2E存儲顆粒。H200 在 H100 的基礎上升級為 HBM3E,架構方面暫無變化。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 24 行業專題報告/證券研究報告 圖26.H100 結構示意圖 數據來源:集微網,semianalysis,財通證券研究所 2.2.2.2 服務器處理器芯片:服務器處理器芯片:AMD 從從 Zen 架構就開始引入架構就開始引入 chiplet 設計。設計?;谧钚乱淮?Zen4 架構的 EPYC9004系列,采用基于 5 nm 的 12 個 CCD(計算芯粒)和 6 nm
68、的 CIOD(I/O 芯粒)實現芯片產品組合。圖27.AMD EPYC9004 示意圖 數據來源:AMD 官網,財通證券研究所 2.3 國際巨頭提前進行技術布局國際巨頭提前進行技術布局,推出,推出多種多種基于基于 Chiplet 的解決方案的解決方案 隨著封裝結構中芯片連接方式的變化,新的先進封裝工藝不斷出現。隨著封裝結構中芯片連接方式的變化,新的先進封裝工藝不斷出現。2D 封裝直接使用 RDL 和 Bump 將芯片連接至基板;2.5D 封裝在此基礎上引入具有 TSV 的中介層,多個芯片通過無源的中介層或硅橋連接至基板;3D 封裝則進一步將 TSV置入芯片中,多個芯片直接通過 TSV 與 RD
69、L 實現垂直互連。2.3.1 臺積電臺積電 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 25 行業專題報告/證券研究報告 提前布局先進封裝,提前布局先進封裝,3DFabric 系統整合技術系統整合技術整合資源。整合資源。臺積電 2011 年便宣布進軍先進封裝,并展示了通過硅中介層進行子系統集成的技術框架,這一技術框架即為 CoWoS 的關鍵技術。由于成本因素,后續臺積電推出了更具性價比的 InFO封裝方案。2019 年,臺積電正式宣布 3DFabric 系統整合技術,其包括 2D 和 3D前端和后端互連技術。前端的 TSMC-SoIC(集成芯片系統)用于 3D 硅堆疊,后端的 CoWoS
70、 和 InFO 系列先進封裝技術將其加工成封裝設備。圖28.臺積電 3DFabric 技術構成 數據來源:臺積電官網,財通證券研究所 SoIC 是業內第一個高密度是業內第一個高密度 3D 堆疊技術。堆疊技術。SoIC(System of Integrated Chips,集成芯片系統)是基于無凸點混合鍵合的三維異質集成技術,包括 CoW(chip-on-wafer)和 WoW(wafer-on-wafer)兩種技術。CoW 技術是晶粒層面的互聯,極小的鍵合間距在將不同尺寸、功能的芯片進行異質集合時,具有小尺寸、高帶寬、低功耗、及更好的電源完整性(PI)、信號完整性(SI)等優點。而 WoW 技
71、術是通過晶圓堆疊工藝,實現異構、同質的 3D 硅集成,用于高良率節點和同尺寸芯片的應用或設計,甚至支持與第三方晶圓集成。圖29.不同封裝技術的鍵合間距 圖30.WoW 堆疊技術 數據來源:臺積電官網,財通證券研究所 數據來源:臺積電官網,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 26 行業專題報告/證券研究報告 CoWoS 是采用是采用無源無源轉接板的轉接板的 2.5D 封裝技術。封裝技術。自 2012 年起,該技術量產 5 代,通過掩膜版拼接技術,第五代的無源轉接板尺寸從接近 1 個光罩面積增至 3 個光罩面積(2500 mm2)。其工藝特點如下:(1)通過微凸點將多
72、顆芯片并排鍵合至無源轉接板晶圓上,形成芯片至晶圓(Chip on Wafer,CoW)裝配體;(2)減薄晶圓背面以露出 TSV;(3)制備可控塌陷芯片連接(C4)凸點;(4)切割晶圓并將切好的晶圓倒裝焊至封裝基板(On Substrate,oS)上,形成最終的 CoWoS 封裝。圖31.CoWos 技術進步歷程 圖32.CoWoS 結構 數據來源:臺積電官網,財通證券研究所 數據來源:半導體產業縱橫公眾號,財通證券研究所 根據中介層(根據中介層(Interposer)的不同)的不同,CoWoS 包含包含 CoWoS-S/R/L 三種類型三種類型。(1)CoWoS-S 采用硅作為中介層,應用最為
73、廣泛;(2)CoWoS-R 基于 InFO 技術,利用 RDL 作為中介層互連各 chiplets,可用于HBM(高帶寬存儲器)和 SoC 異構集成中。RDL 中介層具有相對較高的機械靈活性,可以擴大封裝尺寸來滿足更復雜的功能需求;(3)CoWoS-L 結合了 CoWoS-S 和 InFO 技術的優點,使用 RDL 與 chiplet 作為中介層,LSI(Local Silicon Interconnect)芯片用于進行芯片間互連,RDL 層用于電源和信號傳輸,具有較高的集成靈活性 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 27 行業專題報告/證券研究報告 圖33.三種類型 CoWoS
74、 構成 數據來源:臺積電官網,財通證券研究所 InFO 使用聚酰胺薄膜代替使用聚酰胺薄膜代替 CoWoS 中的硅中介層中的硅中介層,更,更具具性價比性價比,包括,包括 InFO_PoP和和 InFO_oS。(1)InFO_PoP 結合 FOWLP 與 PoP 封裝,是業界首款 3D 晶圓級扇出封裝,應用于移動手機的應用處理器(AP)。具體而言,InFO_PoP 將不同類型的芯片在垂直方向上堆疊在一起,下層為 FOWLP 封裝的芯片,上層為 DRAM 等被動芯片,封裝之間通過 TIV(Through Info Via)進行電氣互聯。由于沒有有機基板和 C4 凸點,InFO_PoP 制作出的芯片面
75、積和體積更小,電氣和熱性能更好。(2)InFO_oS 通過更高密度的再分布層(RDL)及其微凸起連接到帶有 TSV 的基板,集成多個先進的邏輯芯片,應用于及高性能計算(HPC)和 5G 通信。圖34.兩種類型 InFO 構成 數據來源:臺積電官網,財通證券研究所 SoIC 技術技術可可和和 CoWoS 或或 InFO 進一步集成,進一步集成,實現更小尺寸和更薄外形。實現更小尺寸和更薄外形。從外觀上,新集成的芯片和普通的 SoC 芯片一樣,但嵌入所需的異構集成功能。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 28 行業專題報告/證券研究報告 圖35.3DFabric 技術集成 數據來源:臺
76、積電官網,財通證券研究所 先進封裝需求強勁,先進封裝需求強勁,臺積電臺積電持續擴產加碼產能。持續擴產加碼產能。根據 2023 年法人說明會,公司目前產能無法充分滿足客戶需求,這一狀況將持續到明年。2024 年先進封裝產能計劃倍增但仍不滿足客戶需求,將持續擴產到明年。公司預計 CoWoS、3D-IC、SoIC未來幾年 CAGR 至少達到 50%以上。2.3.2 英特爾英特爾 英特爾的英特爾的先進封裝主要關注互連密度、功率效率和可擴展性三個方面。先進封裝主要關注互連密度、功率效率和可擴展性三個方面。其中,Foveros 和混合鍵合技術主要關注功率效率、互連密度方面,而 Co-emib 和 ODI
77、技術則聚焦于可擴展性特點。從 Foveros 到混合鍵合技術,英特爾封裝的凸點間距逐漸減小,使系統擁有更高的電流負載能力、更好的熱性能。圖36.英特爾先進封裝技術路線圖 數據來源:英特爾官網,財通證券研究所 EMIB(Embedded Multi-Die Interconnect Bridge,嵌入式多芯片互連橋接)是一,嵌入式多芯片互連橋接)是一種種 2.5D 堆疊技術堆疊技術。其在有機基板上加入若干超薄的(厚度一般小于 100 m)、高密度的硅橋(Silicon Bridge),并定制化裸片邊緣的 I/O 引腳實現芯片間的互連。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 29 行業專
78、題報告/證券研究報告 EMIB 可使芯片進行局部高密度互聯且不限制芯片的集成數量,也可靈活放在基板任意需要互聯的地方而不影響基板上其他線路的布局布線,并且比全尺寸的硅中介層成本更低。圖37.EMIB 互連結構示意圖 數據來源:英特爾官網,財通證券研究所 Foveros 首次將芯片堆疊從傳統的無源中介層和內存等擴展到高性能邏輯芯片。首次將芯片堆疊從傳統的無源中介層和內存等擴展到高性能邏輯芯片。Foveros 封裝技術采用 3D 堆棧來實現邏輯對邏輯的集成,最下層為封裝基板,上面安放底層芯片(Bottom Chip)作為主動中介層。在中介層上可以放置不同的芯片或模塊,中介層通過大量的 TSV 聯通
79、上下的焊料凸點讓上層芯片或模塊與系統其他部分通信。這種堆疊模式為設計人員提供了極大的靈活性,使產品可以分成更小的小芯片(chiplet)或塊(tile),其中 I/O、SRAM 和電源傳輸電路在基礎芯片中制造,高性能邏輯小芯片或塊堆疊在頂部。圖38.Foveros 結構示意圖 數據來源:英特爾官網,財通證券研究所 Foveros Omni 和和 Foveros Direct 是凸點間距更低的是凸點間距更低的 Foveros 技術技術,并在,并在 2023 年年進行量產進行量產。(1)Foveros Omni 允許裸片分解,可將不同晶圓制程節點的多個頂片與多個基片進行混合搭配,使得模塊設計更加靈
80、活,性能提高不再受到限制。Foveros Omni 使用側邊銅柱直接鏈接上方大芯片和底下各個小芯片,提升供電效率,并且凸點間距進一步縮減至 25m。(2)Foveros Direct 采用無焊料銅與銅的混合鍵合以實現低電阻互聯。Foveros Direct 的凸點間距小于 10m,大幅提升 3d 堆疊的互聯密度和帶寬,并且實現功能單元分區,讓模塊化設計靈活化和定制化。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 30 行業專題報告/證券研究報告 圖39.Foveros Omni 結構示意圖 圖40.Foveros Direct 結構示意圖 數據來源:英特爾官網,財通證券研究所 數據來源:英
81、特爾官網,財通證券研究所 Co-EMIB 融合融合 EMIB 和和 Foveros,兼顧兼顧垂直垂直互聯互聯和水平和水平互聯互聯,真正發揮高密度微真正發揮高密度微縮縮。Co-EMIB 封裝時先采用 Foveros 的方法,將多塊芯片垂直堆疊成不同的組塊,再利用 EMIB 封裝將這些組塊通過硅橋連接。不論是水平互連還是堆疊互連,單片與單片之間都能實現接近 SOC 級高度整合的低功耗、高帶寬、高性能,并且具有高度的靈活性。圖41.Co-EMIB 封裝過程 數據來源:晶圓級多層堆疊技術,作者:鄭凱,財通證券研究所 為為滿足更高算力需求,滿足更高算力需求,英特爾或英特爾或率先推出用于下一代先進封裝的玻
82、璃基板。率先推出用于下一代先進封裝的玻璃基板。與有機基板相比,玻璃基板具有超低平面度(flatness)、更好的熱穩定性和機械穩定性等獨特性能,有望使互連密度和光互連集成度提高 10 倍,并且實現高度的超大尺寸封裝良率。英特爾發布的玻璃基板不是用玻璃取代整個基板,而是取代有機封裝中類似印刷電路板的有機材料。同時,RDL 仍然分布芯片的側面,為各種焊盤和焊點之間提供實際的通道。英特爾的玻璃基板計劃在未來幾年內向市場推出,最初將被用到需要更大外形封裝,如數據中心、人工智能、圖形和高酸性能的市場中。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 31 行業專題報告/證券研究報告 圖42.有機基板和
83、玻璃基板結構圖 數據來源:英特爾,ANANDTECH,財通證券研究所 2.3.3 三星三星 沿著水平集成和垂直集成的方向,三星也開發出 2.5D 封裝技術,如 I-Cube 和 H-Cube,以及 3D 封裝技術 X-Cube。圖43.三星先進封裝技術布局 數據來源:三星官網,財通證券研究所 根據中介層類型不同,根據中介層類型不同,I-Cube 分為分為 I-Cube S 和和 I-Cube E 兩種類型。兩種類型。I-Cube 將單個邏輯芯片層和多個堆疊式存儲器芯片層水平并排放置,實現高速度和高散熱?;?TSV 和 BEOL 技術,I-Cube 的芯片實現各自的功能并和諧共存。(1)I-C
84、UBE S 將一塊邏輯芯片與一組高帶寬存儲器(HBM)裸片水平放置在硅中介層上,具有高算力、高帶寬數據傳輸和低延遲等特點。最新的 I-CubeS 8 的硅中介層擁有 3 倍標線尺寸,可容納 8 個 HBM 和 2 個邏輯裸片。(2)I-Cube E 在中介層中采用嵌入式硅橋裸片代替 TSV,具有成本更低和精細成像優勢??扇菁{ 12 個 HBM 的 I-CubeE 預計在 2025 年實現量產。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 32 行業專題報告/證券研究報告 圖44.I-CUBE S 結構示意圖 圖45.I-Cube E 結構示意圖 數據來源:三星官網,財通證券研究所 數據來源
85、:三星官網,財通證券研究所 H-cube 采用采用混合基底結構,混合基底結構,由中介層、小間距基板和模塊基板構成,旨在解決半由中介層、小間距基板和模塊基板構成,旨在解決半導體行業面臨的單元印制電路板(導體行業面臨的單元印制電路板(PCB)短缺問題)短缺問題。小間距基板面積更小更平價,但 ABF 基板凸點間距可從 1mm 縮小到 0.4mm 甚至更小。模塊基板尺寸較大(200 x200mm2),能夠按照更大的系數擴展 I/O 數量或附加組件,并且由于規格要求寬松,成本比小間距基板便宜。圖46.H-cube 結構示意圖 數據來源:三星官網,財通證券研究所 X-Cube 運用運用晶圓上芯片晶圓上芯片
86、(CoW)、晶圓上晶圓、晶圓上晶圓(WoW)和硅通孔和硅通孔(TSV)技術,技術,垂直堆垂直堆疊組件。疊組件。垂直堆疊大幅地節省芯片上的空間,并壓縮芯片之間的距離實現超高垂直互連密度和更低的寄生效應及減少整體面積。3D 集成能大幅降低大型單片芯片的良率風險來保持低成本、高帶寬和低能耗等優勢。根據凸塊鏈接方式不同,X-Cube 包括 X-Cube(微凸塊)和 X-Cube(無凸塊)。(1)X-Cube(微凸塊)采用 25m 的微凸塊間距和 40m 的硅片厚度,將于 2024年開始量產。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 33 行業專題報告/證券研究報告(2)X-Cube(無凸塊)只
87、有 4m 的微凸塊間距和更薄的 10m 硅片厚度,將于2026 年開始量產。圖47.X-Cube(微凸塊)結構示意圖 圖48.X-Cube(無凸塊)結構示意圖 數據來源:三星官網,財通證券研究所 數據來源:三星官網,財通證券研究所 3 封裝材料封裝材料:先進封裝:先進封裝發展發展帶來增量空間帶來增量空間 技術技術迭代迭代增加工藝環節,進一步帶動材料需求。增加工藝環節,進一步帶動材料需求。2D 封裝涉及 Bump 和 RDL 的制造,需要光刻、電鍍和刻蝕等環節。2.5D 和 3D 封裝進一步引入 TSV,而 TSV 的制造需要刻蝕、沉積、電鍍、拋光等環節。受受 AI 和和 HPC 等因素帶動,等
88、因素帶動,先進封裝先進封裝整體市場規模不斷提升整體市場規模不斷提升。根據 Yole 數據,受 5G、AI、HPC 等因素影響,2022 年全球封裝市場規模約為 950 億美元,其中先進封裝市場規模為 443 億美元,占比 47%;預計到 2028 年,全球封裝市場規模將達到 1433 億美元,其中先進封裝市場規模 786 億美元,占比 55%,相應 CAGR為 10.03%。根據集微網,中國 2023 年先進封裝產值預計 1330 億元,約占總封裝市場的 39%,仍低于全球平均水平。根據 SEMI 發布的2023 年年中半導體設備預測報告中的數據,預計 2023 年全球半導體設備支出將同比減少
89、 19%至 874 億美元,主要由于宏觀經濟形勢的挑戰和半導體需求的疲軟。但是但是 2024 年年將強勁回暖將強勁回暖至至 1000 億美元,同比億美元,同比增長增長 14%。雖然大陸企業起步時間較晚,目前市場份額較低,但受益于受益于國產化國產化巨大的市場空巨大的市場空間、間、國內國內成本優勢和產業配套需求,疊加成本優勢和產業配套需求,疊加工藝環境的增多、工藝環境的增多、全球全球先進封裝產值上先進封裝產值上升和升和半導體設備半導體設備投資額回升,有望帶動投資額回升,有望帶動中國先進封裝中國先進封裝材材料料行業行業需求增長。需求增長。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 34 行業專
90、題報告/證券研究報告 圖49.2022-2028 先進封裝收入預測 數據來源:Yole,財通證券研究所 3.1 芯片載體材料:芯片載體材料:IC 載板載板 IC 載板又稱封裝基板,是芯片封裝的關鍵材料材料載板又稱封裝基板,是芯片封裝的關鍵材料材料,是裸芯片和外界電路之間的,是裸芯片和外界電路之間的橋梁。橋梁。IC 載板主要兩個作用,一是提供機械支撐和保護,并增強芯片的散熱能力;二是為上層芯片和下層電路板進行電氣及物理互聯,甚至可以埋入無源,有源器件以實現一定的系統功能。倒裝芯片類封裝基板成為倒裝芯片類封裝基板成為先進封裝中先進封裝中核心基板產品。核心基板產品。傳統的 IC 封裝采用金屬引線框架
91、作為芯片的載體,由于芯片功能增加,I/O 端口需求的增多,面陣封裝形式取代傳統封裝形式,由此產生封裝基板作為新型的載體?;宸庋b具有引腳數量多,封裝尺寸小和優良的電學性能,包括引線鍵合類基板及倒裝芯片類基板。根據中半協封裝分會,中低端的引線鍵合類基板占比封裝總成本約 40%50%,而高端倒裝芯片類基板的成本占比高達 70%80%。隨著先進封裝技術的發展,倒裝芯片類基板已經取代引線鍵合類基板。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 35 行業專題報告/證券研究報告 圖50.IC 載板鏈接示意圖 數據來源:和美精藝招股說明書,財通證券研究所 按照基板材料及應用的不同,封裝基板可分為按照基
92、板材料及應用的不同,封裝基板可分為為硬質封裝基板、柔性封裝基板和為硬質封裝基板、柔性封裝基板和陶瓷封裝基板,其中硬質封裝基板應用最為廣泛。陶瓷封裝基板,其中硬質封裝基板應用最為廣泛。硬質封裝基板進一步按材料劃分為 ABF 封裝基板、BT 封裝基板和 MIS 封裝基板,其中 ABF 封裝基板和 BT 封裝基板應用最為廣泛。BT(Bismaleimide Triacine)是一種雙馬來酰亞胺與氰酸酯樹脂合成的熱固型樹脂。BT 基板具有高玻璃化溫度、優秀的介電性能、高耐熱性等性能,主要應用于存儲,射頻類芯片與 LED 芯片等對可靠性要求較高的芯片。ABF(Ajinomoto Build-Up Fil
93、m)是在環氧樹脂中加入玻璃微粉壓合制成的不含玻纖的片狀半固化材料,能很好實現半加成(SAP)工藝,適合制造精細線路。ABF基板與半導體先進制程相匹配,滿足其細線路、細線寬的要求,主要用于 CPU、GPU、FPGA、ASIC 等高運算性能 IC。圖51.ABF 載板與 BT 載板產品圖 數據來源:禮鼎半導體官網,財通證券研究所 海外廠商主導海外廠商主導 IC 載板生產,載板生產,國產化率低國產化率低。目前全球 IC 載板的供應商主要來自日本、韓國和中國臺灣,市場份額高度集中,行業 CR10 超過 80%。日本的揖斐電、新光電氣、京瓷集團技術實力強勁,占據利潤率最高的 CPU 市場;韓國的三星電
94、謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 36 行業專題報告/證券研究報告 機、信泰和中國臺灣的欣興電子、南亞電路等公司具有產業鏈優勢,也占有市場重要份額。中國大陸廠商由于起步較晚,加上關鍵原材料及設備和工藝的差距,在產品種類和市場占有率上處于落后地位。國際廠商以制造 FC-BGA 類封裝基板、ABF 封裝基板等高附加值產品為主,而內資廠則以 WB-CSP/BGA 封裝基板、FC-CSP 封裝基板、BT 封裝基板為主。根據臺灣電路板協會和 Prismark 統計,2022年中國大陸市場 IC 封裝基板行業(含外資廠商在大陸工廠)產值為 34.98 億美元,中國 IC 封裝基板企業如深南
95、電路、興森科技、和美精藝等產值約 5.71 億美元,國產化率為 16.32%,占全球 IC 封裝基板總產值僅有 3.2%。圖52.2022 全球 IC 載板市場競爭格局 圖53.2022 中國大陸封裝基板內外資廠商競爭格局 數據來源:臺灣電路板協會,TPCA&工研院產科國際所,財通證券研究所 數據來源:臺灣電路板協會,Prismark,和美精藝招股說明書,財通證券研究所 ABF 載板是先進載板是先進封裝特別是倒裝技術的主流材料。封裝特別是倒裝技術的主流材料。先進封裝技術中,FC BGA/CSP,Fan-out on Substrate,Embedded Die,2.5D/3D 等均需用到 IC
96、 載板,其中 FC BGA為主流的先進封裝技術。FC BGA 封裝中主要使用 ABF 載板,并且大約一半的UHD FO 和 2.5D/3D 也會用到 ABF 載板。根據臺灣電路板協會,2022 年 ABF 載板的產值約為 96.6 億美元,占比載板產值的 54.1%。圖54.先進封裝中 IC 載板主要應用場景 數據來源:Yole,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 37 行業專題報告/證券研究報告 高階算力需求和高階算力需求和先進封裝帶動先進封裝帶動 ABF 載板需求,逐漸供不應求載板需求,逐漸供不應求。AI 應用的發展催生算力需求主流,AI 服務器是異構形式的服
97、務器,主流框架為 CPU+GPU 架構。而 Chiplet 技術能進行異質構成,將不同制程和不同性質的芯粒集合到一個芯片內,提高了 I/O 數量和布線密度,對 ABF 載板的層數、面積、線路密度都有更高要求,驅動 ABF 載板需求。先進封裝技術的迭代也會增加 ABF 載板需求,如CoWoS使用的高階ABF,其面積、層數都高于FC BGA,但是良率遠低于FC BGA,導致 ABF 載板的需求有望進一步提升。根據工研院產科國際所,2024 年和 2025年 ABF 載板都將供不應求,供給缺口將達 5%、8%。圖55.全球 ABF 載板供需趨勢 數據來源:工研院產科國際所,臺灣電路板協會,財通證券研
98、究所 上游核心上游核心原材料不足原材料不足使得使得 ABF 載板行業產能擴張受限。載板行業產能擴張受限。目前 ABF 樹脂由日本味之素集團研發并壟斷。2022 年全球 ABF 材料產值約為 4.7 億美元,僅味之素集團就有 96.4%的市占率。針對供給缺口,味之素集團在 2022 年和 2023 年共投入 180億日元進行擴產,預計 2025 年產能有望達到 3750 萬平方米。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 38 行業專題報告/證券研究報告 圖56.2020-2025 味之素 ABF 膜產量(萬平方米)數據來源:味之素,臺灣電路板協會,財通證券研究所 3.2 電鍍材料:電鍍
99、材料:電鍍電鍍液液 電鍍程序是先進封裝中必不可少的工序。電鍍程序是先進封裝中必不可少的工序。電鍍工藝是利用電流在使電解質溶液中的金屬陽離子在電極表面還原并沉淀,從而形成一層薄且連續的金屬或合金鍍層。在先進封裝中,電鍍工藝廣泛應用在凸點(bump)和再布線層(RDL)的制造,和硅通孔(TSV)的金屬填充中。圖57.電鍍的基本原理 數據來源:匯成股份招股說明書,財通證券研究所 銅互聯為先進封裝電鍍材料的最大細分市場。銅互聯為先進封裝電鍍材料的最大細分市場。根據 TECHCET 預測,2023 年全球半導體用電鍍化學品市場規模約為 9.9 億美元,2024 年將增長 5.6%至 10.47 億美 謹
100、請參閱尾頁重要聲明及財通證券股票和行業評級標準 39 行業專題報告/證券研究報告 元,主要成長來自于集成電路中互聯層的增加、先進封裝中對 RDL 和銅柱凸點的使用增加。圖58.全球半導體用電鍍化學品市場規模 數據來源:TECHCET,財通證券研究所 電鍍銅工藝為最適合硅通孔填充的工藝。電鍍銅工藝為最適合硅通孔填充的工藝。硅通孔的互聯需要通過通孔填充導電材料實現,主要方法有化學氣相沉積法和電鍍法?;瘜W氣相沉積適用于孔徑在 2m以下的通孔,但先進封裝的通孔通常在 5m 以上,從效率和成本上電鍍法更具優勢。此外電鍍銅工藝還有沉積速率快,銅沉積層的均勻性好,與 FEOL 和 BEOL工藝兼容性好等優點
101、。圖59.硅通孔填充方式與硅通孔尺寸的關系 數據來源:集成電路先進封裝材料,作者:王謙等,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 40 行業專題報告/證券研究報告 硅通孔電鍍硅通孔電鍍原材料為電鍍原材料為電鍍液液,電鍍,電鍍液包括電鍍原液(基礎鍍液)和添加劑。液包括電鍍原液(基礎鍍液)和添加劑。電鍍液提供電鍍填充所需要的金屬離子,良好的電鍍環境。添加劑改善硅通孔的電鍍質量,提高電鍍效果。(1)電鍍原液主要采用硫酸銅(CuSO45H2O、硫酸、微量氯離子)和甲基磺酸銅(Cu(CH3SO3)2、甲基磺酸、微量氯離子)體系。CuSO45H2O 和 Cu(CH3SO3)2是提
102、供 Cu2+的主鹽,硫酸和甲基磺酸增強電鍍原液的電導率和 Cu2+的分散能力,氯離子降低陽極極化率。硫酸銅體系的材料價格更低,但甲基磺酸銅體系中的 Cu2+含量更高,鍍銅效率更快,更適合填充具有更小開口孔徑和更大深寬比的 TSV,因此甲基磺酸銅類電鍍原液在實際運用中更廣泛。(2)添加劑主要包括整平劑、加速劑、抑制劑等。整平劑改善鍍層表面的平整性,加速劑有利于電鍍沉積金屬銅的晶體的形核,抑制劑吸附在晶圓的水平表面或通孔的孔口,影響電鍍填充的方式。通過不同的添加劑濃度配比,可以實現不同填充方式的控制,最終針對不同孔徑和深寬比的硅通孔實現無缺陷填充。圖60.硫酸銅電鍍液中 Cu2+發生的還原反應 圖
103、61.不同填充方式下添加劑附著情況 數據來源:先進封裝中硅通孔(TSV)銅互連電鍍研究進展,作者:諶可馨等,財通證券研究所 數據來源:硅通孔(TSV)鍍銅填充技術研究,作者:馬麗,財通證券研究所 凸點中銅柱凸點和焊料凸點需要用到電鍍工藝。凸點中銅柱凸點和焊料凸點需要用到電鍍工藝。銅柱凸點在芯片焊盤上電鍍銅柱后,再在銅柱表面電鍍可焊性鍍層。焊料凸點則直接在芯片是電鍍焊料層,經回流后形成焊料凸點。銅柱凸點高度一致性好、可靠性高、截距窄,是目前凸點的主流應用方向。圖62.銅柱凸點制備流程 圖63.焊料凸點制備流程 數據來源:集成電路先進封裝材料,作者:王謙等,財通證券研究所 數據來源:集成電路先進封
104、裝材料,作者:王謙等,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 41 行業專題報告/證券研究報告 銅柱凸點的電鍍材料為電鍍液銅柱凸點的電鍍材料為電鍍液,體系和硅通孔類似,由電鍍銅基液和添加劑組成。體系和硅通孔類似,由電鍍銅基液和添加劑組成。電鍍銅基液有硫酸銅和甲基磺酸銅兩大體系。硫酸銅體系材料價格較低,工藝易受控制,同時電鍍液對雜質不敏感,應用更為廣泛。添加劑主要為整平劑、加速劑,一般不需要抑制劑。高端電鍍液受國外壟斷,成為制約我國高端芯片制造的關鍵瓶頸高端電鍍液受國外壟斷,成為制約我國高端芯片制造的關鍵瓶頸。因為不同電鍍液的性質和電鍍參數存在差異,所以大部分電鍍液供應
105、商會和電鍍設備供應商合作來確定電鍍液和電鍍設備的匹配程度。銅互連電鍍基礎鍍液和添加劑主要供應商為美國、德國、法國,其中美國麥德美樂思約占全球份額的 80%。14nm 以下所需的高純硫酸銅和 7nm 以下所需的硫酸鈷電鍍基液和添加劑,完全被國外公司壟斷。先進節點的大馬士革電鍍、先進封裝通孔電鍍、RDL/Bump 電鍍液添加劑配方和工藝參數被美國樂思化學、杜邦公司、德國安美特公司、日本石原產業株式會社等公司掌握。國內上海新陽能提供芯片銅互連電子電鍍專用化學品,其超純鍍液可覆蓋到 14 nm 技術節點,但基本原材料仍依賴進口。3.3 包封保護材料:包封保護材料:環氧塑封料環氧塑封料 環氧塑封料環氧塑
106、封料(Epoxy Molding Compound,EMC)是是最最主要的包封材料主要的包封材料,占據電,占據電子封裝領域子封裝領域 97%以上市場份額以上市場份額。環氧塑封料以環氧樹脂為基體樹脂,用高性能酚醛樹脂為固化劑,加入硅微粉等填料,以及添加多種助劑加工而成的熱固性化學材料。主要用于保護半導體芯片不受外界環境的影響,并提供導熱、絕緣、耐濕、耐壓、支撐等復合功能。根據華海誠科反饋回復,傳統集成電路封裝中,EMC 占比下游產品成本(不含芯片)約為 10%-25%,先進封裝中,EMC 占比約為 4%-10%。圖64.環氧塑封料產品示意圖 圖65.環氧塑封應用場景 數據來源:華海誠科招股說明書
107、,財通證券研究所 數據來源:華海誠科招股說明書,財通證券研究所 環氧環氧塑封料屬于配方型產品,塑封料屬于配方型產品,隨封裝技術迭代隨封裝技術迭代和客戶需求不同和客戶需求不同而而改變原材料占比改變原材料占比。不同封裝技術及應用領域對環氧塑封料的性能要求存在差異,但先進封裝的技術水平與產品性能要求較傳統封裝更高,如先進封裝要求環氧塑封料在通過 JEDEC 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 42 行業專題報告/證券研究報告(固態技術協會)標準下的潮敏等級試驗(MSL)、高低溫循環試驗(TCT)、高壓蒸煮試驗(PCT)等所有的考核后仍實現零分層、并保持良好的電性能。并且不同客戶在產品的
108、工藝性能,如固化時間、流動性、沖絲、連續成模性、氣孔率、分層、翹曲等和應用性能,如可靠性、熱性能、電性能等具有不同需求,環氧塑封料的配方也需要進行對應的優化或調整。表8.歷代封裝技術對環氧塑封料的性能和配方要求 封裝技術發展階段 封裝形式 環氧塑封料性能要求 第一階段 TO、DIP等 重點考察環氧塑封料的熱性能與電性能,要求在配方設計中關注固化時間、Tg、CTE、導熱系數、離子含量、氣孔率等因素 第二階段 SOT、SOP 等 重點考察環氧塑封料的可靠性、連續模塑性等性能,要求在配方設計中關注沖絲率、固化時間、流動性、離子含量、吸水率、粘接力、彎曲強度、彎曲模量等因素 第三階段 QFN、BGA
109、等 重點考察環氧塑封料的翹曲、可靠性、氣孔等性能,要求在配方設計中關注流動性、粘度、彎曲強度、彎曲模量、Tg、CTE、應力、吸水率、粘接力等因素 第四、第五階段 SiP、FOWLP等 對環氧塑封料的翹曲、可靠性、氣孔提出了更高的要求,部分產品以顆粒狀或液態形式呈現,要求在配方設計中關注粘度、粘接力、吸水率、彎曲強度、彎曲模量、Tg、CTE、離子含量、顆粒狀材料的大小等因素 數據來源:華海誠科招股說明書,財通證券研究所 先進封裝類環氧塑封料主要為外資廠商占據,國產化空間巨大。先進封裝類環氧塑封料主要為外資廠商占據,國產化空間巨大。根據智研咨詢數據,國內環氧塑封料產能超 14 萬噸,占比全球產能的
110、約 35%,已成為世界最大的環氧塑封材料生產基地,2022 年中國半導體用環氧塑封料市場規模約為 84.94 億元。根據華海誠科 2023 年招股說明書測算,先進封裝用環氧塑封料市場規模占比約為 6.3%。我國環氧模塑料在中低端封裝產品已規模量產,但是高端環氧塑封料產品基本被國外品牌產品壟斷。國內廠商華海誠科、衡所華威等以滿足內需為主,大部分集中在基礎類環氧塑封料。目前先進封裝用環氧塑封料在 QFP、QFN、模組類封裝領域已實現小批量供貨;應用于 FC-CSP、FOWLP、WLCSP、FOPLP 的產品成熟度仍然較低。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 43 行業專題報告/證券研
111、究報告 表9.國內環氧塑封料國產化程度及競爭格局 下游封裝類型 下游封裝技術 國產化程度 競爭格局 傳統封裝 DO、SMX、TO、DIP 等 由內資廠商主導,但在應用于 TO 領域內外資整體相當 市場主要由華海誠科、衡所華威、長春塑封料等塑封料廠商主導 SOD、SOT、SOP、QFP等 仍由外資廠商主導,但內資廠商的市場份額逐步提升,大部分產品性能已達到外資同類產品的水平,仍存在一定的替代空間 市場份額主要被住友電木、藹司蒂、華海誠科、衡所華威四家廠商占據 先進封裝 QFN、BGA 等 外資廠商基本處于壟斷地位,內資廠商產品仍主要處于導入考核階段,較少數內資廠商已實現小批量生產,存在較大的替代
112、空間 市場份額基本由住友電木、藹司蒂等外資領先廠商占據,較少數內資廠商已陸續通過主流廠商的考核驗證,并實現小批量生產 SiP、MUF、FOWLP等 外資廠商處于壟斷地位,內資廠商尚處于產品開發或者客戶考核階段,產品類別相對單一 市場份額主要由住友電木、藹司蒂、京瓷等外資領先廠商占據,內資廠商布局相對有限 數據來源:華海誠科招股說明書,財通證券研究所 3.4 粘合粘合材料:電子膠粘材料:電子膠粘劑劑 電子膠粘劑是用于電子相關產品的電子元器件保護、電氣連接、結構粘接和密封、電子膠粘劑是用于電子相關產品的電子元器件保護、電氣連接、結構粘接和密封、熱管理、電磁屏蔽等功能的膠粘劑熱管理、電磁屏蔽等功能的
113、膠粘劑。在半導體封裝中,電子膠粘劑可作為芯片粘接材料、導熱界面材料、底部填充材料、晶圓級封裝用光刻膠等,用于芯片粘接、保護、熱管理、應力緩和等。圖66.半導體封裝領域電子膠粘劑應用點示意圖 數據來源:德聚技術招股說明書,財通證券研究所 芯片粘接材料是用于芯片與芯片載體間黏接的封裝材料。芯片粘接材料是用于芯片與芯片載體間黏接的封裝材料。在先進封裝中,芯片粘結材料可用于芯片堆疊及多芯片粘結和倒裝芯片粘結中,大部分傳統封裝的芯片 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 44 行業專題報告/證券研究報告 粘結材料可以在先進封裝中繼續使用。導電膠是主要的芯片粘接材料導電膠是主要的芯片粘接材料,
114、根據Market Insights Report 數據,2026 年全球導電膠市場規模將達到 30 億美元。全球導電膠生產企業主要有德國漢高、日本住友、日本三鍵、日本日立、陶氏杜邦、美國 3M等,從競爭格局來看,全球導電膠市場呈現較高的集中度,CR3 高達 78%,其中漢高占比就高達 60%。我國導電膠產量約占全球總量的 40%左右,銷售額占比約26%,但是我國導電膠行業產品主要集中在中低端領域,在部分中高端產品細分市場,國產導電膠正在逐步替代進口產品。底部填充底部填充膠膠是倒裝是倒裝,2.5D/3D 封裝的關鍵材料封裝的關鍵材料,填充在芯片和基板,填充在芯片和基板、芯片和芯片、芯片和芯片的的
115、縫隙中??p隙中。底部填充膠的原料以環氧樹脂為主,加入球形硅微粉、固化劑、促進劑等,能緩解芯片、焊料和基板三者因熱膨脹系數不匹配產生的內應力,分散芯片正面承載應力,起到提高芯片抗跌落與熱循環可靠性和保護焊球的作用。根據新思界產業研究中心統計,受益于 CSP/BGA 市場的普及率上漲,2022 年全球底部填充材料市場規模約 6.1 億美元,同比增長 8.9%。目前全球主流的底部填充膠供應商有納美仕、昭和電工、漢高等,高端應用國產底部填充劑尚未導入。高端應用國產底部填充劑尚未導入。圖67.底部填充膠 2.5D 使用場景示意圖 數據來源:半導體材料與工藝設備公眾號,財通證券研究所 3.5 核心無機填充
116、物:核心無機填充物:硅微粉硅微粉 硅微粉是以結晶石英、熔融石英等為原料,經研磨、精密分級、除雜等多道工藝硅微粉是以結晶石英、熔融石英等為原料,經研磨、精密分級、除雜等多道工藝加工而成的二氧化硅粉體材料加工而成的二氧化硅粉體材料,按形狀可分為角形和球形硅微粉。球形硅微粉主要有以下優點:1)表面流動性好,填充率高,熱膨脹系小,使得導熱系數低,接近單晶硅的熱膨脹系數,因此提高電子元器件使用性能;2)應力集中最小、強度最高,提高微電子器件成品率,并且便于運輸和安裝;3)摩擦系數小,提高模具使用壽命;因此球形硅微粉在大規模集成電路封裝和 IC 基板行業應用較多。謹請參閱尾頁重要聲明及財通證券股票和行業評
117、級標準 45 行業專題報告/證券研究報告 圖68.球形硅微粉掃描圖 數據來源:聯瑞新材官網,財通證券研究所 球形硅微粉是球形硅微粉是 IC 載板、環氧塑封料載板、環氧塑封料、底部填充膠、底部填充膠的主要無機填充物。的主要無機填充物。IC 載板中球形硅微粉添加比例(重量比)超過 40%,賦予覆銅板較好電性能,如理想介電常數和極低介質損耗。硅微粉是環氧塑封料最主要的填料劑,占比約為 70%-90%。硅微粉質量決定環氧塑封料的性質。中低端環氧塑封料多采用角形硅微粉,高端器件封裝用的環氧塑封料多以球形硅微粉為主,其填充量最高可達 90.5%。底部填充膠中硅微粉的含量在 50%70%,塑封底部填充的含量
118、更高,可達 80%。圖69.覆銅板中微硅粉應用場景 數據來源:錦藝新材招股說明書,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 46 行業專題報告/證券研究報告 球形硅微粉價格較高,龍頭企業市占率高球形硅微粉價格較高,龍頭企業市占率高,技術封鎖導致,技術封鎖導致高端球形硅微粉長期依高端球形硅微粉長期依賴進口賴進口。目前球形硅微粉,生產工藝復雜,價格較高,約 15000 元/噸,其中供給覆銅板廠商的小粒徑、表面改性球形硅微粉,均價約 30000 元/噸。國內自給率偏低,高端產品主要依賴于進口。根據粉體技術網數據,目前全球球形硅微粉主要由日企占據,日本電化、日本龍森、日本新日鐵
119、三家公司占據全球 70%左右的市場份額,而日本雅都瑪公司則壟斷了 1 微米以下的球形硅微粉市場。國內的聯瑞新材、華飛電子、壹石通積極布局高性能球形硅微粉和球形氧化鋁粉體等產品產能,有望在未來 2-3 年集中建成投產,進一步實現高端芯片封裝填充粉體的國產替代。3.6 光刻材料:光刻材料:光刻膠光刻膠、PSPI 及及掩膜版掩膜版 光刻技術通過曝光將掩膜版上的圖形轉移到襯底,是一種光刻技術通過曝光將掩膜版上的圖形轉移到襯底,是一種電路圖案繪制工藝電路圖案繪制工藝。具體工藝流程如下:先在襯底上涂覆光刻膠;然后光刻膠通過掩膜版照射到光刻膠上,被曝光的光刻膠發生化學反應;接著進行顯影將曝光區域或者未曝光區
120、域的光刻膠溶解去除;最后使用刻蝕工藝,未被光刻膠覆蓋的區域被刻蝕掉,從而把掩膜版的圖形轉移到襯底上。隨著圖形線條的縮小,光刻技術向高分辨力、高深寬比、更快顯影速度、完整剝離圖形輪廓等方向發展。圖70.光刻工藝步驟 數據來源:龍圖光罩招股說明書,財通證券研究所 光刻膠光刻膠、PSPI 和和掩膜版掩膜版是是主要的主要的光刻工藝的輔材或耗材。光刻工藝的輔材或耗材。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 47 行業專題報告/證券研究報告(1)光刻膠光刻膠是由感光樹脂、增感劑和溶劑組成的光敏混合液體。是由感光樹脂、增感劑和溶劑組成的光敏混合液體。按照化學反應機理和顯影原理光刻膠可分為負性光刻膠
121、和正性光刻膠。正性光刻膠曝光后,曝光部分溶于顯影液;負性光刻膠曝光后,曝光部分不可溶解并硬化生成圖形,未曝光部分溶解。正性光刻膠在分辨率和對比度方面表現出色,可用于處理更小尺寸的圖形。按照光刻波長,光刻膠可分為紫外光譜、g 線(436nm)、i 線(365nm)、KrF(248nm)、ArF(193nm)、EUV(13.5nm)等材料。封裝用光刻膠分辨率要求為微米級的厚膠、紫外光譜、g 線、i 線即可。(2)聚酰亞胺(PI)是一種具有良好介電性能、高力學強度和強耐熱性的高分子材料,但其不具備光敏性,需要搭配光刻膠使用。光敏聚酰亞胺(光敏聚酰亞胺(PSPI)兼具)兼具 PI的優良綜合性能及光刻膠
122、的優良綜合性能及光刻膠的的光敏感特性光敏感特性,在使用時,在使用時可以省去傳統光刻工藝中光刻可以省去傳統光刻工藝中光刻膠涂覆、刻蝕和去膠步驟膠涂覆、刻蝕和去膠步驟,能提高生產效率,能提高生產效率,并在光刻結束后并在光刻結束后留存在特定區域形留存在特定區域形成器件所需的介電絕緣層成器件所需的介電絕緣層。根據光化學反應機理的不同,PSPI 也可分為正性 PSPI和負性 PSPI。目前負性 PSPI 易得到厚膜,是市場主流產品。但正性 PSPI 具有更高分辨力及在堿性溶液下即可顯影,對環境影響小,未來需求量更多。除光刻用除光刻用外,外,在封裝中在封裝中 PSPI 還還可可用作用作應力緩沖層、絕緣層和
123、層間絕緣材料應力緩沖層、絕緣層和層間絕緣材料。(3)掩膜版掩膜版是是圖形信息的載體,通過曝光過程,將圖形轉移到基體材料上,從而圖形信息的載體,通過曝光過程,將圖形轉移到基體材料上,從而實現圖形的轉移實現圖形的轉移。掩膜版連接工業設計和工藝制造,其精度和質量會直接影響下游制品的良品率。按應用領域來看,掩膜版可分為半導體芯片、平板顯示、電路板和觸控等類型。半導體掩膜版在最小線寬、CD 精度、位置精度、套刻層數等重要參數方面,均顯著高于平板顯示、PCB 等領域掩膜版產品。半導體掩膜版可用于 IC 制造、IC 封裝、器件制造、LED 芯片外延片制造等;按生產廠商分,半導體掩膜版廠商分為晶圓廠自建配套工
124、廠和獨立第三方掩膜廠商兩大類。圖71.正膠和負膠的顯影工藝 圖72.封裝用石英掩膜版產品圖 數據來源:黃埔材料院公眾號,財通證券研究所 數據來源:龍圖光罩官網,財通證券研究所 隨著集成電路的邏輯、功能、復隨著集成電路的邏輯、功能、復雜性、集成度不斷提高,封裝需要的引腳數量增雜性、集成度不斷提高,封裝需要的引腳數量增多,封裝形式更加復雜化,需要使用多,封裝形式更加復雜化,需要使用光刻技術光刻技術進行封裝圖形的大批量復刻進行封裝圖形的大批量復刻。光刻技術滿足先進封裝中線條圖形的高精度要求,可用在高密度基板及中介轉接層、高密度 Bumping 成型、RDL 制造、TSV 制造及晶圓級封裝等先進封裝中
125、。光刻圖 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 48 行業專題報告/證券研究報告 形的分辨力及光刻膠的厚度在微米級,結合光刻和電鍍可以制造節距為數微米至數十微米的銅凸點。在 RDL 制造、TSV 制造和晶圓級封裝中,光刻工藝先在絕緣層上繪制圖案,再根據圖案使用電鍍、刻蝕形成金屬線路。圖73.凸點成型中光刻使用階段 圖74.RDL 制造中光刻使用階段 數據來源:SK 海力士官網,財通證券研究所 數據來源:SK 海力士官網,財通證券研究所 國內光刻膠起步較晚,與國外先進光刻膠技術相比,產品落后國內光刻膠起步較晚,與國外先進光刻膠技術相比,產品落后 23 代,目前集成代,目前集成電路用光
126、刻膠等高端產品仍需大量依賴進口電路用光刻膠等高端產品仍需大量依賴進口。根據智研咨詢數據,全球高端半導體光刻膠主要被日本和美國壟斷。2022 年日企全球市占率約 80%,處于絕對領先地位。主要廠商包括東京應化、JSR、富士、信越化學、住友化學等。根據中國電子材料行業協會,2022 年中國集成電路 g/i 線光刻膠市場規??傆?9.14 億元,其中封裝用 g/i 線光刻膠市場規模 5.47 億元,預計 2025 年將增長至 5.95 億元。當前我國 g/i 線光刻膠的國產化率約為 20%,KrF 光刻膠整體國產化率不足 2%,ArF光刻膠整體國產化率不足 1%,EUV 仍暫處于空白狀態。國內國內
127、PSPI 處于起步階段,需求高度依賴進口。處于起步階段,需求高度依賴進口。根據新思界產業研究中心,2022年全球 PSPI 市場規模達到 4.2 億美元,同比增長 19.6%。全球光敏聚酰亞胺 PSPI的核心廠商包括 Toray,HD Microsystems 等。根據恒州博智數據,2022 年全球前三大 PSPI 廠商占有大約 93.0%的市場份額。我國 PSPI 光刻膠行業尚處于起步階段,部分企業已經掌握生產技術,如鼎龍股份,明士新材料等。掩膜版進口受限,但中國半導體掩膜版國產化率僅掩膜版進口受限,但中國半導體掩膜版國產化率僅 10%左右,高端掩膜版國產化左右,高端掩膜版國產化率率 3%,
128、國產替代空間廣闊,國產替代空間廣闊。根據 Semi 數據,2022 年全球半導體光掩膜版市場規模 52.36 億美元,其中第三方掩膜版廠商規模占比 30%。2022 年第三方掩膜版市場被美國 Photronics、日本 Toppan、日本 DNP 三家公司占據 80%以上市場規模。根據前瞻產業研究院數據統計,2022 年我國半導體光掩膜版市場規模約為 74 億元。當年國內清溢光電和路維光電分別占比 6%、5%。但 2022 年美國已經將 250nm制程節點以下的掩膜版納入限制清單,我國進口國外先進制程掩膜版將受阻,國產替代進程有望加速。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 49 行
129、業專題報告/證券研究報告 3.7 CMP 材料:材料:拋光液和拋光墊拋光液和拋光墊 化學機械拋光(Chemical mechanical polishing,CMP)是在一定的壓力及拋光液的作用下,被拋光的晶圓對拋光墊做相對運動,通過納米磨料的機械研磨作用與化學試劑的化學作用結合,使被拋光的晶圓表面達到高度平坦化、低表面粗糙度和低缺陷的結果。CMP 材料包括拋光液、拋光墊、調節劑、清洗劑和其他材料,其中拋光液和拋光墊占據成本主要部分,價值占比分別為 49%、33%,是 CMP 工藝的核心材料。圖75.CMP 工作原理示意圖 數據來源:安集科技招股說明書,財通證券研究所 先進封裝中硅通孔硅通孔需
130、要對硅進行減薄以顯露出 TSV,會應用 CMP 工藝。而倒裝倒裝,晶圓級封裝,晶圓級封裝,2.5D/3D 封裝封裝等技術,對引線尺寸要求更小更細,因此會應用大量光刻和干法刻蝕工藝,對晶圓的全局平坦化程度要求非常高,也會用到大量 CMP 工藝。圖76.TSV 工藝流程 數據來源:華海清科招股說明書,財通證券研究所 根據硅通孔化學機械拋光對晶圓背面的和正面的不同要求,硅通孔化學機械拋光硅通孔化學機械拋光液主要分為正面阻擋層液主要分為正面阻擋層 CMP 和晶圓背面和晶圓背面 CMP,分別用于硅通孔銅淀積后的正面拋光和晶圓背面硅通孔結構的銅暴露及平坦化。:(1)阻擋層能解決襯底銅污染、銅與 SiO2粘
131、附性差及形成的高阻銅硅化物等問題,覆蓋在通孔以外的阻擋層需要通過 CMP 去除。CMP 去除工藝主要包括三步,首先是對晶圓進行粗拋,去除覆蓋面銅 Cu;然后是去除表面殘余銅并停留在擴散 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 50 行業專題報告/證券研究報告 阻擋層,初步實現表面平坦化;最后是拋光阻擋層材料,去除介質層,在停止層結束拋光過程,完成整個平面的全局平坦化。(2)對于硅通孔的 Via-middle 技術,正面加工的 TSV 先在正面采用臨時鍵合工藝,再在背面進行進行減薄和拋光,以實現露孔處理和互聯引出。背面處理有硅/銅晶圓背面 CMP 和銅/絕緣層晶圓背面 CMP 兩種工藝
132、拋光液可以選擇。硅/銅晶圓背面 CMP 直接使用對硅和銅有相近拋光速率的拋光液進行直接研磨,對拋光液的要求較高:銅/絕緣層晶圓背面 CMP 是使用減薄工藝將銅柱顯露出,然后化學氣象沉積絕緣材料進行鍍膜,最后進行化學機械拋光,平坦化表面銅柱。圖77.TSV 阻擋層去除過程原理圖 圖78.晶圓背面 CMP 工藝示意圖 數據來源:硅通孔阻擋層拋光液的研究現狀和發展趨勢,作者:劉彬等,財通證券研究所 數據來源:集成電路先進封裝材料,作者:王謙等,財通證券研究所 拋光墊的合理選擇對于控制和優化拋光墊的合理選擇對于控制和優化 CMP 過程有重要作用過程有重要作用。拋光墊能把存儲拋光液及輸送拋光液至拋光區域
133、,將氧化產物、拋光碎屑等副產物帶出拋光區域,并形成一定厚度的拋光液層為化學反應和機械去除提供發生場所。拋光墊可分為硬質和軟質兩類,硬質拋光墊保證工件表面的平面度,軟質拋光墊獲得表面損傷層薄和表面粗糙度低的拋光表面。隨著 CMP 過程的進行,拋光墊的物理及化學性能出現變化,會發生表面殘留物質、微孔體積縮小和數量減少、表面粗糙度降低及表面分子重組等問題,降低拋光效率和拋光質量。因此拋光墊的使用壽命較短,僅為 45-75 小時,屬于高性能拋光耗材。圖79.拋光墊作業示意圖 數據來源:華海清科招股說明書,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 51 行業專題報告/證券研究報告
134、 根據智研咨詢數據,2022 中國 CMP 拋光行業市場規模約為 45.45 億元,其中拋光液市場規模 20 億元,拋光墊市場規模 15.48 億元。全球 CMP 拋光液市場主要被卡博特、日立、FUJIMI、慧瞻材料等壟斷,而全球拋光墊市場主要被陶氏(Dow)壟斷。國內拋光液龍頭安集科技是國內唯一一家能提供 12 英寸 IC 拋光液的本土供應商。拋光墊龍頭鼎龍股份是國內唯一一家全制程拋光墊供應商。3.8 臨時鍵合材料臨時鍵合材料:臨時臨時鍵合膠鍵合膠 臨時鍵合膠是把晶圓和臨時載板黏接在一起的中間層材料臨時鍵合膠是把晶圓和臨時載板黏接在一起的中間層材料,是晶圓減薄的關鍵材,是晶圓減薄的關鍵材料料
135、。臨時鍵合膠可用于需要在減薄晶圓上制造再布線層的晶圓級封裝或需要在減薄晶圓上進行 CMP 等 TSV 相關工藝的 2.5D/3D 封裝。臨時鍵合膠由基礎黏料加入助劑混合配比形成,其材料性能由基礎黏料的性質決臨時鍵合膠由基礎黏料加入助劑混合配比形成,其材料性能由基礎黏料的性質決定定?;A黏料包括熱塑性樹脂、熱固性樹脂、光刻膠等。臨時鍵合膠需要熱和化學穩定性高、黏接強度高、機械穩定性好、均一性好、操作性好等重要性能。根據物理根據物理形態形態不同不同,臨時鍵合膠分為蠟狀物、,臨時鍵合膠分為蠟狀物、復合膠帶、和復合膠帶、和旋轉旋轉涂涂敷黏合劑敷黏合劑。蠟狀物鍵合膠是最早使用的臨時鍵合材料,但是其復雜的
136、解鍵合和清洗過程影響大規模使用。復合膠帶鍵合膠采用雙面結構,熱釋放層與晶圓結合,黏結層與臨時載板表面結合,經加熱后,可以解鍵合。復合膠帶的工藝簡單,普遍運用在超薄晶圓加工。旋轉涂敷黏合劑是目前最常用的臨時鍵合膠,其材料可以通過旋轉涂敷的方法在物體表面形成圖層。根據恒州博智統計,2022 年全球臨時鍵合膠市場銷售額達到了 13 億元,預計 2029年將達到 23 億元,CAGR 約 8.2%。全球核心廠商包括 3M、Daxin Materials 等,行業 CR3 超過 40%。而亞太地區是全球最大的市場,占有超過 70%的市場份額。中國大陸臨時鍵合膠行業起步時間較晚,目前實現規?;慨a的企業數
137、量較少,國內鼎龍股份、飛凱材料等公司均有涉及。4 相關標的相關標的 4.1 IC 載板:載板:4.1.1 興森科技興森科技 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 52 行業專題報告/證券研究報告 興森科技興森科技專注于印制電路板,圍繞傳統專注于印制電路板,圍繞傳統 PCB 和半導體開展和半導體開展業務業務。PCB 業務聚焦于樣板、快件、批量板的研發、設計、生產、銷售和表面貼裝和銷售的一站式服務;半導體業務包括 IC 封裝基板及半導體測試板;IC 封裝基板(含 CSP 封裝基板和 FCBGA 封裝基板)采用設計、生產、銷售的經營模式,廣泛應用于存儲芯片、應用處理器芯片、射頻芯片、傳感
138、器芯片、CPU、GPU、FPGA、ASIC 等。半導體測試板采用設計、制造、表面貼裝和銷售的一站式服務,涵蓋晶圓測試到封裝后測試的各流程,產品類型包括測試負載板、探針卡、老化板、轉接板。2023 年 Q1-3,公司實現收入 39.88 億元,同比減少 3.93%,實現歸母凈利潤 1.9億元,同比減少 63.26%。根據 2023 年業績預告,公司預計經營業績同比下降。實現歸母凈利潤 2.10-2.40 億元,同比下降 54.34%-60.05%,主要系 CSP 封裝基板項目尚處于產能爬坡階段,產能利用率較低及 FCBGA 封裝基板項目持續推進投資擴產,2023 年尚處于客戶認證、打樣和試產階段
139、,研發、測試及認證費用投入高。圖80.興森科技營業收入及增速 圖81.興森科技歸母凈利潤及增速 數據來源:Choice,公司公告,財通證券研究所 數據來源:Choice,公司公告,財通證券研究所 公司于 2012 年開始布局 IC 載板。目前 ABF 載板(用于 FCBGA)產能約 2200 萬顆/月,其中廣州產能 2000 萬顆/月,珠海產能 200 萬顆/月(約 6000 平方米/月)?,F有 BT 載板(用于 CSP)產能 3.5 萬/平方米月,其中廣州產能 2 萬平方米/月,珠海產能 1.5 萬平方米/月。ABF 載板:載板:(1)項目進度:珠海項目已通過部分客戶的技術評級、體系認證及可
140、靠性驗證,預計在 2024 年 1 季度進入小批量生產,目前已有少量樣品訂單收入;廣州項目基本完成設備安裝調試,進入內部制程測試階段。(2)產品層數和尺寸:具備 80 x80mm 以下的 16 層板(7-2-7)的量產能力,擁有 9-2-9 和 110 x110mm 的打樣能力。(3)產品密度:具備 12/12m 線路的量產能力,能支持 9/12m 的設計需求。(3)產品凸點間距:具備 130m 的量產能力。BT 載板:載板:廣州基地的產能滿產,珠?;禺a能利用率超過 50%。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 53 行業專題報告/證券研究報告 4.1.2 深南電路深南電路 深南
141、電路圍繞深南電路圍繞電子互聯領域電子互聯領域開展開展印制電路板、電子裝聯、封裝基板三項業務印制電路板、電子裝聯、封裝基板三項業務。印制電路板方面,公司從事中高端印制電路板的設計、研發及制造等相關工作,產品下游應用以通信設備為核心,重點布局數據中心(含服務器)、汽車電子等領域,深耕工控、醫療等領域。公司電子裝聯產品按照產品形態可分為 PCBA 板級、功能性模塊、整機產品/系統總裝等,業務主要聚焦通信、醫療電子、汽車電子等領域。封裝基板方面,公司產品包括模組類封裝基板、存儲類封裝基板、應用處理器芯片封裝基板等,應用于移動智能終端、服務器/存儲等領域。2023 年 Q1-3,公司實現收入 94.61
142、 億元,同比減少 9.77%,實現歸母凈利潤 9.08億元,同比減少 23.18%。單季度來看,公司 Q3 實現營業收入 34.28 億元,同比減少 2.45%,但環比增加 5.50%,實現歸母凈利潤 4.34 億元,同比增加 1.05%,且環比增加 62.31%。圖82.深南電路營業收入及增速 圖83.深南電路歸母凈利潤及增速 數據來源:Choice,財通證券研究所 數據來源:Choice,財通證券研究所 公司于 2008 年率先開始研發封裝基板,在部分細分市場擁有領先的競爭優勢。技術能力突破技術能力突破:FC-CSP 產品在 MSAP 和 ETS 工藝的樣品能力達到行業內領先水平;RF 射
143、頻產品成功導入部分高階產品類別;FC-BGA 中階產品在客戶端完成認證,部分中高階產品已進入送樣階段,高階產品技術研發順利進入中后期階段,現已初步建成高階產品樣品試產能力。新項目建設新項目建設:無錫基板二期工廠建設穩步推進,產線能力持續驗證與提升,目前處于產能爬坡階段。廣州封裝基板項目建設推進順利,一期廠房及配套設施建設和機電安裝工程基本完工,生產設備陸續進廠安裝,項目于 2023 年第四季度連線投產。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 54 行業專題報告/證券研究報告 表10.深南電路封裝基板業務擴產規劃 工廠名稱 項目 募資日期 投資金額 投產日期 目標產能 進度 無錫基板一
144、期 半導體高端高密IC 載板產品制造項目 2017 10.15 億元 2019 年 60 萬平/年的封裝基板 已完成 無錫基板二期 高階倒裝芯片用IC 載板產品制造項目 2021 20.16 億元 2022 年 9月 高階 FC-CSP 類產品 正處于產能爬坡階段-廣州封裝基板生產基地項目 2021 60 億元 2023 年四季度 2 億顆 FC-BGA、300 萬 panel RF/FC-CSP 一期預計將于 2023年第四季度連線投產 數據來源:公司公告,財通證券研究所 4.2 天承科技天承科技 天承科技主要從事電子電路所需要的功能性濕電子天承科技主要從事電子電路所需要的功能性濕電子化學品
145、的研發、生產和銷售?;瘜W品的研發、生產和銷售。公司產品包括水平沉銅專用化學品、電鍍專用化學品、銅面處理專用化學品、垂直沉銅專用化學品、SAP 孔金屬化專用化學品(ABF 載板除膠沉銅)、其他專用化學品等。公司產品使用在沉銅、電鍍、棕化、粗化、退膜、微蝕、化學沉錫等多個生產環節中,可應用于單雙面板、多層板、高頻高速板、HDI、軟硬結合板、類載板、半導體測試板、載板等 PCB 產品上。根據 2023 年業績預告,公司實現營業收入約 3.39 億元,同比減少 9.7%,主要由于原材料硝酸鈀價格下降明顯。實現歸母凈利潤 5930.53 萬元,同比增加 8.54%,主要受益于新客戶開拓、產品配方優化、產
146、品結構調整等因素。圖84.天承科技營業收入及增速 圖85.天承科技歸母凈利潤及增速 數據來源:Choice,公司公告,財通證券研究所 數據來源:Choice,公司公告,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 55 行業專題報告/證券研究報告 公司在中國大陸高端 PCB 市場中份額位居第二,市占率約為 20%。2015 年天承科技開始研發封裝載板沉銅專用化學品,并從 PCB 電鍍液進一步研發至先進封裝和晶圓級電鍍液。封裝載板封裝載板:用于載板圖形電鍍配套的銅面處理專用化學品在奧特斯重慶載板工廠已使用 5 年以上;載板除膠沉銅專用化學品已應用于中國科學院微電子研究所、華進
147、半導體封裝先導技術研發中心有限公司、江陰芯智聯電子科技有限公司等公司的產線進行生產;目前正在和某著名 OEM 合作,進一步開發載板生產所需要的孔金屬化、電鍍銅、棕化等專用化學品。電鍍液:電鍍液:研發出先進封裝和晶圓級電鍍液,RDL 和 bumping 的基礎液和添加劑已經研發完成。其中 RDL 電鍍液進入終端客戶最終驗證階段。此外還有 TSV 相關的基礎液和添加劑,大馬士革電鍍液正在研發過程中。4.3 鼎龍股份鼎龍股份 鼎龍股份在半導體鼎龍股份在半導體 CMP 制程工藝材料、半導體顯示材料、半導體先進封裝材料制程工藝材料、半導體顯示材料、半導體先進封裝材料等半導體創新材料積極布局等半導體創新材
148、料積極布局,同時也是傳統打印復印通用耗材全產業鏈布局的龍頭。在半導體 CMP 制程工藝材料板塊,公司圍提供拋光墊、拋光液、清洗液等的一站式 CMP 材料及服務;在半導體顯示材料板塊,公司圍繞柔性 OLED 顯示屏幕制造用的 YPI、PSPI、INK 等上游核心“卡脖子”材料產品進行布局;在半導體先進封裝材料板塊,公司產品包括臨時鍵合膠、封裝光刻膠(PSPI)等產品。2023 年 Q1-3,公司實現收入 18.73 億元,同比減少 4.24%,實現歸母凈利潤 1.76億元,同比減少 40.21%。根據 2023 年業績預告,公司實現營業收入約 27 億元,剔除合并報表范圍減少因素影響后,同比基本
149、持平。實現歸母凈利潤 2.15-2.54 億元,同比下降 35%-45%,主要系半導體創新材料新項目等方面的研發投入力度加大、貸款利息支出增加及匯兌收益下降、股權激勵及子公司新三板上市中介費用等因素。圖86.鼎龍股份營業收入及增速 圖87.鼎龍股份歸母凈利潤及增速 數據來源:Choice,財通證券研究所 數據來源:Choice,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 56 行業專題報告/證券研究報告 公司于 2021 年 7 月開始布局半導體先進封裝材料領域,受益于公司已有的 CMP業務和面板顯示材料業務的相關技術平臺和成熟技術體系,公司開發經驗豐富,項目快速推進。C
150、MP 拋光材料拋光材料:成功研發多款應用于先進封裝工藝中的 CMP 拋光材料,且相關產品已陸續通過客戶的測試驗證并取得量產訂單。封裝光刻膠封裝光刻膠:(1)平臺:研發和量產出貨用的先進封裝量產型光刻機及配套涂膠顯影平臺搭建完成。(2)產品及產能:可對標多款國外廠商主流型號的負性 PSPI光刻膠于 2023 年上半年竣工并成功投產,具備每月噸級的量產能力。臨時鍵合膠臨時鍵合膠:(1)平臺:引進了與客戶端相同的 SUSS 旋涂、鍵合、激光解鍵合及清洗平臺,建成并擁有高端鍵合平臺。(2)產品及產能:某款臨時鍵合產品基本完成國內某主流集成電路制造客戶端的驗證及量產導入工作,預計 2024 年一季度有望
151、獲得首張訂單;擁有臨時鍵合膠(鍵合膠+解鍵合膠)合計 110 噸/年的產能。4.4 路維光電路維光電 路維光電致力于掩膜版的研發、生產和銷售,產品主要應用于平板顯示、半導體、路維光電致力于掩膜版的研發、生產和銷售,產品主要應用于平板顯示、半導體、觸控和電路板等行業。觸控和電路板等行業。在顯示面板方向:公司內擁有中國首條 G11 高世代掩膜版生產線,是國內唯一一家可以配套平板顯示廠商所有世代產線(G2.5-G11)的本土掩膜版企業。目前,全球僅 DNP、福尼克斯、SKE、LG-IT 及路維光電等 5 家企業擁有 G11 高世代線。半導體方面,公司具備 180nm 及以上制程節點半導體掩膜版量產能
152、力,并儲備 150nm 制程節點半導體掩膜版制造關鍵核心技術,可以滿足國內先進封裝和半導體器件等應用需求。根據 2023 年業績預告,公司實現營業收入約 6.72 億元,同比增加 5.06%。實現歸母凈利潤 1.52 億元,同比增加 26.51%,主要受益于募集資金投資項目逐步建設并投產,促使產能水平及產品結構優化及財務費用下降。圖88.路維光電營業收入及增速 圖89.路維光電歸母凈利潤及增速 數據來源:Choice,公司公告,財通證券研究所 數據來源:Choice,公司公告,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 57 行業專題報告/證券研究報告 公司的半導體掩膜版
153、包括半導體封裝掩膜版和半導體器件掩膜版,先進封裝用封裝掩膜版具體包括 8 寸和 12 寸倒裝(FlipChip)、凸塊(Bumping)、晶圓級封裝(WLP)、3D 封裝(TSV)等。4.5 安集科技安集科技 安集科技主要產品為包括不同系列的化學機械拋光液、功能性濕電子化學品和電安集科技主要產品為包括不同系列的化學機械拋光液、功能性濕電子化學品和電鍍液及添加劑系列產品,應用于集成電路制造和先進封裝領域鍍液及添加劑系列產品,應用于集成電路制造和先進封裝領域。CMP 方面,公司致力于實現全品類產品線的布局和覆蓋,提供一站式解決方案,產品涵蓋銅及銅阻擋層拋光液、介電材料拋光液、鎢拋光液、基于氧化鈰磨
154、料的拋光液、襯底拋光液等多個產品平臺。同時提供定制開發服務。在功能性濕電子化學品板塊,公司產品主要包括刻蝕后清洗液、晶圓級封裝用光刻膠剝離液、拋光后清洗液、刻蝕液等,可用于前道晶圓制造用及后道晶圓級封裝。在電鍍液及添加劑產品板塊,公司開始量電鍍高端產品系列戰略供應。2023 年 Q1-3,公司實現收入 8.98 億元,同比增長 13.15%,主要系公司市場開拓進展順利,研發成果產品轉化符合預期??蛻舳藢敫黝惍a品的進度和部分產品逐步放量的速度均按計劃在進行;實現歸母凈利潤 3.15 億元,同比增長 52.71%,主要是因為營收增長、匯兌損益減少及政府補助到位。圖90.安集科技營業收入及增速 圖
155、91.安集科技歸母凈利潤及增速 數據來源:Choice,財通證券研究所 數據來源:Choice,財通證券研究所 公司技術及產品涵蓋集成電路制造中“拋光、清洗、沉積”三大環節公司技術及產品涵蓋集成電路制造中“拋光、清洗、沉積”三大環節,其中 2020-2022 年化學機械拋光液收入占比均超過 85%,并在全球市場占有率分別為 3%,5%,7%,逐年穩步提升。公司已成為國內領先的 CMP 拋光液企業,成功打破國外廠商對集成電路領域化學機械拋光液和部分功能性濕電子化學品的壟斷,實現進口替代。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 58 行業專題報告/證券研究報告 公司加強研發,不斷推出新產
156、品,提升產品覆蓋面和客戶數量。公司加強研發,不斷推出新產品,提升產品覆蓋面和客戶數量。公司客戶包括長江存儲、中芯國際、臺積電、華虹集團、華潤微、長鑫存儲等均為領先的集成電路制造廠商,并開拓盛合晶微、蘇州科陽半導體有限公司等先進封裝領域的客戶領域客戶。表11.2023 年安集科技新產品進展 板塊 產品 進展 CMP 拋光液 銅及銅阻擋層拋光液 部分領先技術產品在重要客戶端完成驗證;與成熟制程芯片制造廠保持合作,持續推進產品迭代升級 介電材料拋光液 首款氮化硅拋光液在客戶端上量順利;多款先進技術節點產品已在客戶端測試驗證;高倍稀釋氧化物拋光液成功實現量產 鎢拋光液 多款鎢拋光液在邏輯芯片成熟制程和
157、先進制程進行測試驗證,進展順利,部分客戶已通過驗證,開啟量產階段 基于氧化鈰磨料的拋光液產品 在在 3D NAND 先進制程中實現量產并逐步上量;先進制程中實現量產并逐步上量;在國內領先的存儲客戶持續突破,多款新產品完成論證測試并實現量產銷售,部分產品已成為主流;襯底拋光液 硅精拋液系列產品在國內領先硅片生產廠完成論證并實現量產,部分產品獲得中國臺灣客戶的訂單;用于用于三維集成的拋光液實現多個客戶銷售;三維集成的拋光液實現多個客戶銷售;用于第三代半導體襯底材料的拋光液進展順利,部分產品已獲得海外客戶的訂單。新材料新工藝用拋光液 多款用于三維集成工藝的拋光液如混合鍵合拋光液、多款用于三維集成工藝
158、的拋光液如混合鍵合拋光液、聚合物拋光液等在多個客戶端測試驗證,并逐步實現聚合物拋光液等在多個客戶端測試驗證,并逐步實現銷售。銷售。功能性濕電子化學品 堿性銅拋光后清洗液 在客戶先進技術節點驗證進展順利,進入量產階段 刻蝕后清洗液 用于先進技術節點的產品持續驗證擴大銷售,新技術需求產品研發驗證中。光刻膠剝離液 批量應用于晶圓級封裝批量應用于晶圓級封裝中中,新技術需求產品持續迭代中。電鍍液及添加劑 電鍍液 完善集成電路大馬士革工藝及先進封裝電鍍產品線,開完善集成電路大馬士革工藝及先進封裝電鍍產品線,開始量產。始量產。電鍍液添加劑 研發產品覆蓋多種電鍍液添加劑;多種電鍍液添加劑多種電鍍液添加劑在先進
159、封裝領域實現量產銷售;在先進封裝領域實現量產銷售;原材料 高端納米磨料 部分產品通過客戶端測試驗證,進入量產階段。數據來源:公司 2023 年半年度報告,公司可轉換公司債券募集說明書,財通證券研究所 4.6 聯瑞新材聯瑞新材 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 59 行業專題報告/證券研究報告 聯瑞新材專注先進無機非金屬粉體材料領域的研發、制造,在功能性陶瓷粉體填聯瑞新材專注先進無機非金屬粉體材料領域的研發、制造,在功能性陶瓷粉體填料領域料領域有有近近 40 年的研發經驗和技術積累年的研發經驗和技術積累。公司包括產品微米級、亞微米級角形粉體,微米級球形無機粉體,亞微米級球形粒子,
160、及各種超微粒子、功能性顆粒以及漿料產品,可應用于半導體封測、電子電路基板、新能源車動力電池、熱界面材料、環保節能及光伏和其他領域。根據 2023 年業績預告,公司實現營業收入約 7.12 億元,同比增加 7.51%,主要受益于下半年下游需求穩步復蘇,公司產品結構升級,高端產品占比提升。實現歸母凈利潤 1.74 億元,同比減少 7.57%,主要是因為匯兌收益減少、折舊費用增加、研發費用增加等因素。圖92.聯瑞新材營業收入及增速 圖93.聯瑞新材歸母凈利潤及增速 數據來源:Choice,財通證券研究所 數據來源:Choice,財通證券研究所 公司公司根據根據下游應用領域的先進技術下游應用領域的先進
161、技術,對應對應推出多種規格推出多種規格產品產品并并通過海內外客戶的通過海內外客戶的認證認證與與批量出貨批量出貨??捎糜谥圃煨酒庋b用環氧塑封材料(EMC)、液態塑封材料(LMC)和底部填充材料(Underfill)、電子電路用覆銅板(CCL)、積層膠膜、熱界面材料(TIM)及其他領域膠黏劑。根據 2023 年 11 月 30 日公司披露的投資者調研紀要,2023 年 Q1-3,公司銷售至半導體封裝料(EMC)、覆銅板(CCL)領域的產品合計占收入 70%左右,且均實現環比正增長。銷售至熱界面材料等其它領域的產品占收入 30%左右。表12.2023 年聯瑞新材核心產品及應用領域 應用領域 下游產
162、品 公司產品 半導體封測 高端芯片(AI、5G、HPC 等)封裝、異構集成先進封裝(Chiplet、HBM 等)低 CUT 點 Low 微米/亞微米球形硅微粉、球形氧化鋁粉 電子電路基板 新一代高頻高速覆銅板(M7、M8 等)高頻高速覆銅板用低損耗/超低損耗球形硅微粉 數據來源:公司 2023 年半年度報告,財通證券研究所 4.7 雅克科技雅克科技 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 60 行業專題報告/證券研究報告 雅克科技是以以雅克科技是以以電子材料為核心,電子材料為核心,LNG 保溫絕熱板材為補充,阻燃劑業務為輔助保溫絕熱板材為補充,阻燃劑業務為輔助的戰略新興材料平臺型公司
163、的戰略新興材料平臺型公司。電子材料方面,公司涉及半導體前驅體材料、光刻膠及配套試劑、電子特氣、硅微粉和半導體材料輸送系統(LDS)等。前驅體用于半導體薄膜沉積工藝,可應用在集成電路存儲、邏輯芯片的制造。光刻膠主要是面板用正性 TFT 光刻膠、RGB 彩色光刻膠、CNT 防靜電材料以及光刻膠配套試劑。電子特氣主要產品為六氟化硫和四氟化碳。LDS 輸送系統用于半導體和顯示面板制造商的前驅體材料等化學品的輸送。公司公司半導體半導體客戶資源優異,與國內外大廠均有合作??蛻糍Y源優異,與國內外大廠均有合作。公司是全球領先的前驅體供應商之一,在國際領先的半導體客戶量產供應多年,主流產品國內進入放量階段。面板
164、用光刻膠供應于三星電子、LG Display、京東方、華星光電、惠科等知名面板供應商。SK 海力士、三星電子、東芝存儲器、英特爾和臺積電等半導體制造商以及 LG、京東方等顯示面板生廠商與公司購買使用電子特氣。硅微粉業務在海外有住友電木、日立化成、德國漢高等客戶。LDS 輸送系統也進入長江存儲、中芯國際、合肥長鑫和上海華虹等國內主流集成電路生產商。2023 年 Q1-3,公司實現收入 35.42 億元,同比增長 11.84%;實現歸母凈利潤 4.81億元,同比提高 3.73%。分季度來看,2023Q3 公司實現營業收入 12.19 億元,同比增加 10.01%,環比減少 2.59%;歸母凈利潤
165、1.39 億元,同比減少 23.45%。圖94.雅克科技營業收入及增速 圖95.雅克科技歸母凈利潤及增速 數據來源:Choice,財通證券研究所 數據來源:Choice,財通證券研究所 公司向先進封裝做出以下布局:前驅體:前驅體:公司在DRAM領域滿足全球最先進存儲芯片制程1b、200X層以上NAND、邏輯芯片 3 納米的量產供應。HBM 將多個 DRAM 堆疊在一起,帶動前驅體需求。光刻膠:光刻膠:先進封裝 RDL 層用 l-Line 光刻膠等產品正在客戶端測試。其他如 OLED用低溫 RGB 光刻膠、CMOS 傳感器用 RGB 光刻膠也正在客戶測試。謹請參閱尾頁重要聲明及財通證券股票和行業
166、評級標準 61 行業專題報告/證券研究報告 電子特氣電子特氣:公司子公司銷售的四氟化碳主要用于半導體等離子刻蝕,進入臺積電、三星電子、Intel、中芯國際、海力士等供應鏈。六氟化硫可用于腐蝕硅襯底以制造TSV。硅微粉硅微粉:公司新一代大規模集成電路封裝專用材料國產化項目已有 4 條中高端EMC 球形硅微粉生產線投產,LOW-球形硅微粉產線建設完成,另有覆銅板用球形硅微粉產線及 MUF 用球形硅微粉產線在建設中。4.8 金宏氣體金宏氣體 金宏氣體是專業從事氣體的研發、生產、銷售和服務一體化解決方案的環保集約金宏氣體是專業從事氣體的研發、生產、銷售和服務一體化解決方案的環保集約型氣體綜合供應商。型
167、氣體綜合供應商。公司主要產品包括特種氣體、大宗氣體和燃氣三大類百余種氣體產品,涵蓋電子半導體、醫療健康、節能環保、新材料、新能源、高端裝備制造等領域。在集成電路行業,公司生產的超純氨、正硅酸乙酯、高純氧化亞氮、八氟環丁烷、高純二氧化碳、高純氫、硅烷混合氣等特種氣體以及電子級氧、氮大宗氣體是半導體行業不可或缺的關鍵原材料。根據公司可轉債募集說明書,電子特氣和電子大宗氣體各占集成電路成本的 50%。公司在集成電路行業為 SK 海力士、中芯國際、聯芯集成、矽品科技、華天科技等國內外廠商供應產品。2023 年 Q1-3,公司實現收入 17.80 億元,同比增長 23.87%;實現歸母凈利潤 2.59億
168、元,同比上升 53.31%,主要系公司加大市場開發力度,優化產品結構的同時原材料采購價相對平穩,產品毛利率增加;分季度來看,2023Q3 公司實現營業收入6.46 億元,同比增加 27.45%,環比提高 4.91%;歸母凈利潤 0.97 億元,同比增長37.72%。圖96.金宏氣體營業收入及增速 圖97.金宏氣體歸母凈利潤及增速 數據來源:Choice,財通證券研究所 數據來源:Choice,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 62 行業專題報告/證券研究報告 公司集成電路業務增速顯著,新產品導入順利:表13.2023 年金宏氣體新產品及應用領域 應用領域 產品
169、用途 電子特氣 優勢產品超純氨、高純氧化亞氮等產品正式供應中芯國際、海力士、鎂光、聯芯集成、積塔、華潤微電子、華力集成等知名半導體客戶 超純氨主要應用于新型光電子材料領域,是 MOCVD 技術制備 GaN 的重要基礎材料;高純氧化亞氮用于半導體光電器件研制生產的介質膜工藝。新品電子級正硅酸乙酯、高純二氧化碳積極導入集成電路客戶,其中高純二氧化碳實現小批量供應;正硅酸乙酯作主要用于化學氣相沉積法構建半導體襯底表面的二氧化硅絕緣層,是重要的前驅體材料之一;高純二氧化碳用于氧化、擴散、化學氣相淀積,還可用于支持先進的浸沒光刻,專用低溫清洗應用以及 DI(去離子水)處理。新品全氟丁二烯、一氟甲烷、八氟
170、環丁烷、二氯二氫硅、六氯乙硅烷、乙硅烷、三甲基硅胺等 7 款產品產業化過程中。八氟環丁烷可作為蝕刻氣;四氟化碳是微電子工業中用量最大的等離子體蝕刻氣體之一;硅烷是世界上唯一的大規模生產粒狀高純度硅的中間產物。電子大宗載氣 集成電路客戶提供 9N 以上純度的超高純氣體制氣服務,包含高純氮氣、氧氣、氬氣、氦氣、氫氣、二氧化碳和壓縮空氣等。數據來源:公司 2023 年半年度報告,財通證券研究所 4.9 其他標的:其他標的:艾森股份艾森股份:具有電鍍液及配套試劑、光刻膠及配套試劑兩大產品板塊,產品廣泛應用于集成電路、新型電子元件及顯示面板等行業。電鍍電鍍板塊板塊:先進封裝用電鍍銅基液(高純硫酸銅)在華
171、天科技正式供應;先進封裝用電鍍錫銀添加劑通過長電科技的認證,尚待終端客戶認證通過;先進封裝用電鍍銅添加劑處于研發及認證階段。光刻光刻板塊板塊:自研先進封裝用 g/i 線負性光刻膠通過長電科技、華天科技認證并實現批量供應;光刻膠配套試劑實現附著力促進劑、顯影液、去除劑、蝕刻液等產品在下游封裝廠商的規?;?。上海新陽上海新陽:主要包括兩類業務,首先是集成電路制造及先進封裝用關鍵工藝材料及配套設備的研發、生產、銷售和服務,然后是環保型、功能性涂料的研發、生 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 63 行業專題報告/證券研究報告 產及相關服務業務。公司晶圓制造及先進封裝用電鍍液和添加劑系
172、列包括大馬士公司晶圓制造及先進封裝用電鍍液和添加劑系列包括大馬士革銅互連、革銅互連、TSV、Bumping 電鍍液及配套添加劑電鍍液及配套添加劑,已實現已實現 90-14nm 技術節點全覆技術節點全覆蓋蓋,應用規模持續擴大,應用規模持續擴大。華正新材華正新材:主要從事覆銅板及粘結片、半導體封裝材料、復合材料和膜材料等產品的設計、研發、生產及銷售,產品廣泛應用于服務器、數據中心、5G 通訊、半導體封裝、儲能、新能源汽車等領域。半導體封裝材料包括 BT 封裝材料和 CBF積層絕緣膜,適用于 Chiplet、FC-BGA 等先進封裝工藝。BT 封裝材料:封裝材料:在Mini&Micro LED 等應
173、用場景已通過多家行業頭部企業驗證,形成批量穩定訂單;在 Memory 和 MEMS 等應用場景通過多家下游客戶驗證,進入小批量訂單交付階段。CBF 積層絕緣膜積層絕緣膜:公司與深圳先進電子材料國際創新研究院合作開發的半導體封裝材料,在 ECP 及 FC-BGA 等高端半導體封裝應用場景已形成系列產品,并在重要終端客戶及下游客戶中開展驗證,取得階段性良好成果。德邦科技德邦科技:專注于高端電子封裝材料的研發及產業化,產品形態為電子級粘合劑和功能性薄膜材料,應用于晶圓加工、芯片級封裝、功率器件封裝、板級封裝、模組及系統集成封裝等不同封裝工藝環節和應用場景。公司主要的在晶圓 UV 膜材料、芯片固晶材料
174、、導熱界面材料等多領域實現國產化,持續批量出貨。其中芯片固晶膠,可以適用于多種封裝形式,覆蓋 MOS、QFN、QFP、BGA 和存儲器等封裝材料。晶圓晶圓 UV 膜膜應用于晶圓級封裝應用于晶圓級封裝,公司擁有從制膠、基材膜到涂覆的,公司擁有從制膠、基材膜到涂覆的完全自主知識產權,目前在華天科技、長電科技、日月新等國內著名集成電路封完全自主知識產權,目前在華天科技、長電科技、日月新等國內著名集成電路封測企業批量供貨。測企業批量供貨。此外,公司目前正在與多家國內領先芯片半導體企業合作,對芯片級底部填充膠、Lid 框粘接材料、芯片級導熱界面材料、DAF 膜等產品進行驗證測試。其中 Lid 框粘接材料
175、已通過國內頭部客戶驗證,獲得小批量訂單并實現出貨;芯片級底部填充膠、芯片級導熱界面材料、DAF 膜材料部分型號獲得關鍵客戶驗證通過。華海誠科華海誠科:從事半導體封裝材料的研發及產業化,主要產品為環氧塑封料和電子膠黏劑。在先進封裝領域,公司應用于公司應用于 QFN 的的 700 系列產品通過長電科技及通系列產品通過長電科技及通富微電等知名客戶驗證,實現小批量生產與銷售;富微電等知名客戶驗證,實現小批量生產與銷售;應用于應用于 FC、SiP、FOWLP/FOPLP 等相關產品正逐步通過客戶的考核驗證,有望逐步實現產業化。等相關產品正逐步通過客戶的考核驗證,有望逐步實現產業化。公司公司 GMC 產品
176、在客戶端通過考核;產品在客戶端通過考核;和客戶協同開發的高導熱底部填充膠正在認和客戶協同開發的高導熱底部填充膠正在認證考核;證考核;LMC 產品正在優化工藝和原材料來提高量產穩定性。產品正在優化工藝和原材料來提高量產穩定性。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 64 行業專題報告/證券研究報告 清溢光電清溢光電:公司產品聚焦于低溫多晶硅(LTPS)、金屬氧化物(IGZO)、有源矩陣有機發光二極體(AMOLED)、Micro LED 顯示、Micro OLED 顯示、半導體芯片、Chiplet 先進封裝技術等領域,為客戶提供品類多樣的平板顯示和半導體芯片掩膜版。在半導體芯片掩膜版方面
177、,公司實現 180nm 工藝節點半導體芯片掩膜版的客戶測試認證及量產。公司具有公司具有豐富的半導體集成電路凸塊(豐富的半導體集成電路凸塊(IC Bumping)掩膜版、)掩膜版、集成電路載板(集成電路載板(IC Substrate)掩膜版)掩膜版經驗經驗,與國內重點的先進封裝領域企業建立深度的合作關系。華特氣體華特氣體:公司以特種氣體的研發生產及銷售為核心,輔以普通工業氣體和相關氣體設備與工程業務,提供氣體一站式綜合應用解決方案。公司自主研發的氟碳公司自主研發的氟碳類、光刻稀混氣類、氫化物、氮氧化合物等系列產品主要應用在泛半導體制程工類、光刻稀混氣類、氫化物、氮氧化合物等系列產品主要應用在泛半
178、導體制程工藝中的刻蝕、清洗、光刻、外延、沉積藝中的刻蝕、清洗、光刻、外延、沉積/成膜、離子注入等核心環節成膜、離子注入等核心環節。公司的光刻公司的光刻氣(氣(Ar/Ne/Xe、Kr/Ne、F2/Kr/Ne、F2/Ar/Ne)通過了荷蘭)通過了荷蘭 ASML 和日本和日本GIGAPHOTON 株式會社的認證株式會社的認證,也是國內唯一一家通過兩家認證的氣體公司。5 投資建議投資建議 先進封裝是未來半導體制造主要技術路徑,各大芯片廠商均需通過先進封裝手段先進封裝是未來半導體制造主要技術路徑,各大芯片廠商均需通過先進封裝手段提升芯片性能提升芯片性能。建議關注興森科技、天承科技、鼎龍股份、德邦科技、金
179、宏氣體、深南電路、艾森股份、上海新陽、華海誠科、路維光電、清溢光電、華正新材、安集科技、聯瑞新材、雅克科技、華特氣體。6 風險提示風險提示 國內先進封裝需求不及預期國內先進封裝需求不及預期:受經濟環境影響,若 AI 或電子產品等終端需求不及預期,將傳導到上游原材料,影響相關公司的盈利。海外先進封裝產能擴充不及預期海外先進封裝產能擴充不及預期:若海外晶圓廠擴產或新建項目延期,無法在預計時間投產,將影響先進封裝材料需求。國內先進封裝材料客戶導入不及預期國內先進封裝材料客戶導入不及預期:先進封裝材料的國產率較低,國產化是國內先進封裝材料行業增長的主要因素。若相關企業在產品研發與客戶導入不及預期,將影
180、響對應需求放量節奏。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 65 行業專題報告/證券研究報告 分析師承諾分析師承諾 作者具有中國證券業協會授予的證券投資咨詢執業資格,并注冊為證券分析師,具備專業勝任能力,保證報告所采用的數據均來自合規渠道,分析邏輯基于作者的職業理解。本報告清晰地反映了作者的研究觀點,力求獨立、客觀和公正,結論不受任何第三方的授意或影響,作者也不會因本報告中的具體推薦意見或觀點而直接或間接收到任何形式的補償。資質聲明資質聲明 財通證券股份有限公司具備中國證券監督管理委員會許可的證券投資咨詢業務資格。公司評級公司評級 以報告發布日后 6 個月內,證券相對于市場基準指數的
181、漲跌幅為標準:買入:相對同期相關證券市場代表性指數漲幅大于 10%;增持:相對同期相關證券市場代表性指數漲幅在 5%10%之間;中性:相對同期相關證券市場代表性指數漲幅在-5%5%之間;減持:相對同期相關證券市場代表性指數漲幅小于-5%;無評級:由于我們無法獲取必要的資料,或者公司面臨無法預見結果的重大不確定性事件,或者其他原因,致使我們無法給出明確的投資評級。A 股市場代表性指數以滬深 300 指數為基準;香港市場代表性指數以恒生指數為基準;美國市場代表性指數以標普 500 指數為基準。行業評級行業評級 以報告發布日后 6 個月內,行業相對于市場基準指數的漲跌幅為標準:看好:相對表現優于同期
182、相關證券市場代表性指數;中性:相對表現與同期相關證券市場代表性指數持平;看淡:相對表現弱于同期相關證券市場代表性指數。A 股市場代表性指數以滬深 300 指數為基準;香港市場代表性指數以恒生指數為基準;美國市場代表性指數以標普 500 指數為基準。免責聲明免責聲明 。本公司不會因接收人收到本報告而視其為本公司的當然客戶。本報告的信息來源于已公開的資料,本公司不保證該等信息的準確性、完整性。本報告所載的資料、工具、意見及推測只提供給客戶作參考之用,并非作為或被視為出售或購買證券或其他投資標的邀請或向他人作出邀請。本報告所載的資料、意見及推測僅反映本公司于發布本報告當日的判斷,本報告所指的證券或投
183、資標的價格、價值及投資收入可能會波動。在不同時期,本公司可發出與本報告所載資料、意見及推測不一致的報告。本公司通過信息隔離墻對可能存在利益沖突的業務部門或關聯機構之間的信息流動進行控制。因此,客戶應注意,在法律許可的情況下,本公司及其所屬關聯機構可能會持有報告中提到的公司所發行的證券或期權并進行證券或期權交易,也可能為這些公司提供或者爭取提供投資銀行、財務顧問或者金融產品等相關服務。在法律許可的情況下,本公司的員工可能擔任本報告所提到的公司的董事。本報告中所指的投資及服務可能不適合個別客戶,不構成客戶私人咨詢建議。在任何情況下,本報告中的信息或所表述的意見均不構成對任何人的投資建議。在任何情況下,本公司不對任何人使用本報告中的任何內容所引致的任何損失負任何責任。本報告僅作為客戶作出投資決策和公司投資顧問為客戶提供投資建議的參考??蛻魬敧毩⒆鞒鐾顿Y決策,而基于本報告作出任何投資決定或就本報告要求任何解釋前應咨詢所在證券機構投資顧問和服務人員的意見;本報告的版權歸本公司所有,未經書面許可,任何機構和個人不得以任何形式翻版、復制、發表或引用,或再次分發給任何其他人,或以任何侵犯本公司版權的其他方式使用。信息披露信息披露