《電子行業深度:先進封裝引領“后摩爾時代”國產供應鏈新機遇-230405(50頁).pdf》由會員分享,可在線閱讀,更多相關《電子行業深度:先進封裝引領“后摩爾時代”國產供應鏈新機遇-230405(50頁).pdf(50頁珍藏版)》請在三個皮匠報告上搜索。
1、 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 證券研究報告|行業深度 2023 年 04 月 05 日 電子電子 先進封裝先進封裝引領“后摩爾時代”,國產供應鏈引領“后摩爾時代”,國產供應鏈新機遇新機遇 Chiplet:“后摩爾時代”半導體技術發展“后摩爾時代”半導體技術發展重要方向。重要方向。Chiplet 作為后摩爾時代的關鍵芯片技術,其具有 1)小面積設計有利于提升芯片良率,2)3D 等先進封裝方式提升性能降低功耗,3)IP 快速復用降低設計成本和復雜度有助于產品快速迭代,4)針對性選取制程工藝降低制造成本等優勢。先進制程及超大芯片最受益 Chiplet 技術,我們看到近年以 AM
2、D、三星、臺積電、Intel 為代表的龍頭廠商持續推出 Chiplet 相關產品。中國集成電路行業高端產品受到海外制裁限制背景下,Chiplet 有望成為國產芯片“破局”重要途徑?!俺侥柖伞?,先進封裝崛起?!俺侥柖伞?,先進封裝崛起。隨著摩爾定律不斷進步,當前最小線寬已達到幾納米,進一步縮小特征尺寸變得非常困難?!俺侥柖伞敝铝τ谠谥澳柖裳葸M過程中未完全開發的部分提升系統集成度。先進封裝是實現“超越摩爾定律”的重要方式,根據 Yole,2021 年全球先進封裝市場規模374 億美金,到 2027 年有望達到 650 億美金,2021-2027 年 CAGR 9.6%。從整個
3、封裝行業的占比來看,先進封裝有望在 2027 年超過 50%。先進封裝中嵌埋式、2.5D/3D、倒裝技術都將實現高復合增速。海外龍頭先進封裝布局如火如荼。海外龍頭先進封裝布局如火如荼。AMD 多年來始終走在封裝技術革新前沿,其于 2015 年在 GPU 市場推出高帶寬內存(HBM)和 2.5D 硅中介層技術,引領業界以小尺寸獲得最佳內存帶寬。2021 年宣布與臺積電合作推出 3D Chiplet(3D V-Cache),首款采用該技術的產品為 Ryzen 7 5800X3D,其使用臺積電的 SoIC 將銅對銅直接鍵合,使連接密度達到 2D 封裝的 200 倍,互聯密度是微凸塊的 15 倍,集成
4、度大大提高。臺積電臺積電于 2011 年開始布局先進封裝,目前其 3DFabric 系列包含前端 SoIC 技術和后端 CoWoS、InFO 封裝技術。INTEL 推出 EMIB 引領低成本 2.5D 異構封裝,Foveros 提供高性能 3D堆疊解決方案。三星三星除了已經在 HBM 中使用 3D 堆疊之外,其代工目前主要的先進封裝方案包括 I-Cube、X-Cube、R-Cube、H-Cube 四種。重視先進封裝重視先進封裝關鍵環節關鍵環節供應鏈機遇。供應鏈機遇。我們總結先進封裝四大要素,分別為 RDL(Re-distributed layer,重布線層)、TSV(Through Silic
5、on Via,硅通孔)、Bump(凸點)和 Wafer(晶圓)。RDL 起到 XY 平面電氣延伸的作用,TSV 起到 Z 軸電氣延伸的作用,Bump 起到界面互聯和應力緩沖的作用,Wafer 作為集成電路的載體以及 RDL 和 TSV 的介質和載體。我們認為圍繞這些環節的設備、材料供應鏈有望受益先進封裝市場增長帶來的增量需求。貿易摩擦背景下,貿易摩擦背景下,封裝產業鏈封裝產業鏈本土化勢在必行。本土化勢在必行。封測廠封測廠(含獨立第三方測試(含獨立第三方測試公司)公司)方面,方面,中國大陸封測廠營收規模位居全球前列,結構上仍然在不斷向先進封裝演進,以長電科技、通富微電、甬矽電子、偉測科技為代表的
6、公司持續加大先進封裝研發投入,緊密合作國內外知名客戶,有望率先受益先進封裝帶來的收入利潤貢獻。設備供應商方面,設備供應商方面,華峰測控、長川科技、新益昌等公司分別在測試機、分選機、探針臺、固晶機、焊線機等關鍵測試封裝設備領域實現國產化突破,并不斷完善產品品類,替代空間廣闊。材料供應商方面,材料供應商方面,IC 載板作為集成電路核心封裝材料,全球產能集中于日本、韓國和中國臺灣地區,國內興森科技 IC 封裝基本已獲得三星認證通過,公司乘勝追擊,進軍 FCBGA封裝基板,宣布擬投資 72 億元用于擴張 FCBGA 載板產能,其中珠海項目已于 2022 年 12 月成功試產。風險提示風險提示:需求不及
7、預期,中美貿易摩擦帶來的地緣政治風險。增持增持(維持維持)行業行業走勢走勢 作者作者 分析師分析師 鄭震湘鄭震湘 執業證書編號:S0680518120002 郵箱: 分析師分析師 佘凌星佘凌星 執業證書編號:S0680520010001 郵箱: 分析師分析師 劉嘉元劉嘉元 執業證書編號:S0680522120004 郵箱: 相關研究相關研究 1、電子:AI 帶動存算需求提升,存儲國產化有望持續推進2023-04-03 2、電子:衛星通信:推動智能終端設備技術創新升級2023-03-21 3、電子:算力革命,電子受益幾何2023-03-20 -32%-16%0%16%2022-042022-08
8、2022-112023-03電子滬深300 2023 年 04 月 05 日 P.2 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 內容目錄內容目錄 一、“超越摩爾定律”,先進封裝崛起.5 1.1 Chiplet:“后摩爾時代”半導體技術發展重要方向.5 1.2 海外龍頭先進封裝布局如火如荼.9 二、RDL 重布線晶圓級封裝關鍵工藝.14 三、TSV 硅通孔2.5D/3D 封裝關鍵工藝.16 四、臨時鍵合超薄晶圓支撐系統.22 五、微凸點、底部填充與混合鍵合技術.26 5.1 凸點技術間距縮小、密度提升.26 5.2 底部填充工藝分散應力提升可靠性.28 5.3 混合鍵合技術賦能 3D 堆
9、疊.30 六、IC 載板集成電路核心封裝材料.32 七、核心公司.34 7.1 華峰測控:國內測試設備龍頭,新品發力進行時.34 7.2 長川科技:測試新品厚積薄發,內生外延鑄平臺龍頭.35 7.3 新益昌:國產固晶設備龍頭,Mini LED、半導體雙輪驅動成長.37 7.4 長電科技:國產封測龍頭,先進封裝注入成長新動力.43 7.5 通富微電:AMD 加持,產品結構持續優化.44 7.6 偉測科技:內資第三方集成電路測試領先廠商.45 7.7 甬矽電子:封測界后起之秀,聚焦中高端業務.46 7.8 興森科技:IC 載板國產替代拓荒者.47 八、風險提示.49 圖表目錄圖表目錄 圖表 1:A
10、MD Chiplet 架構演進.5 圖表 2:裸芯(Die)面積越小,整體良率越高.6 圖表 3:芯片面積減小,更多有效芯片可用.6 圖表 4:3D 堆疊封裝顯著降低成本.6 圖表 5:先進封裝提升性能及效率.6 圖表 6:AMD IOChiplet 的復用.7 圖表 7:Chiplet 成本分析.7 圖表 8:不同制程 Chiplet 降本場景.8 圖表 9:Chiplet 市場規模(億美元).8 圖表 10:2021-2027 年全球先進封裝市場預測(十億美金).9 圖表 11:AMD 多年來始終走在封裝技術革新前沿.9 圖表 12:AMD 使用 3D CHIPLET 封裝架構.9 圖表
11、13:臺積電 3DFabric 技術平臺.10 圖表 14:臺積電 2.5D 封裝 CoWoS 技術總結.11 圖表 15:臺積電 InFO 技術.11 圖表 16:FC、2.5D/3DIC、SoIC 等封裝方式密度依次提升凸塊間距依次降低.12 圖表 17:英特爾 EMIB,Foveros 技術總結.12 圖表 18:三星先進封裝技術總結.13 圖表 19:采用 RDL 技術的 2.5D 轉接板示意圖.14 圖表 20:臺積電 CoWos-R 示意圖.14 OXhViXVYlZiXtQtQtRaQ9R9PtRoOsQpMiNoOnRiNnNqO6MrRwPNZoPtQNZoPoN 2023
12、年 04 月 05 日 P.3 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 21:臺積電 CoWoS-S 結構圖.15 圖表 22:大馬士革 RDL 工藝流程.15 圖表 23:常見 2.5D 封裝結構.16 圖表 24:2.5D 結構示意圖.16 圖表 25:2.5D 封裝和 3D 封裝結構的區別.17 圖表 26:龍頭廠商 2.5D 封裝和 3D 封裝系統名稱.17 圖表 27:3 種 TSV 通孔生成方式.18 圖表 28:3 種 TSV 通孔生成各環節方式優劣勢對比.18 圖表 29:TSV 主要工藝流程示意圖.19 圖表 30:先進封裝及內部互聯用金屬電鍍材料市場規模(百
13、萬美金).21 圖表 31:超薄晶圓市場規模.22 圖表 32:臨時鍵合與解鍵合工藝主要特性對比.22 圖表 33:EVG 標準臨時鍵合/解鍵合工藝流程示意圖.23 圖表 34:SUSS 標準臨時鍵合/解鍵合工藝流程示意圖.23 圖表 35:“超越摩爾定律”相關的鍵合設備市場規模.24 圖表 36:2021 年臨時鍵合設備市場格局.24 圖表 37:臨時鍵合膠中的主要基礎黏料.24 圖表 38:主要臨時鍵合膠的產品對比.25 圖表 39:超薄晶圓支撐與保護技術.25 圖表 40:三維封裝焊點中凸點截面圖.26 圖表 41:凸點間距發展歷程.26 圖表 42:電鍍錫球凸點的工藝流程.27 圖表
14、43:Cu/焊料凸點結構.27 圖表 44:不同材料熱膨脹系數不同帶來的問題.28 圖表 45:倒裝芯片封裝的結構示意圖.28 圖表 46:底部填充料的分類.29 圖表 47:CUF 工藝與圓片級 NCF 工藝對比.29 圖表 48:底部填充料參數的發展方向.29 圖表 49:微凸點和混合鍵合對比.30 圖表 50:Sony BI-CIS 異質接合接點橫截面.30 圖表 51:TSMC 系統整合芯片 SoIC 示意圖.30 圖表 52:異質接合流程圖.31 圖表 53:ABF 基板制造流程.32 圖表 54:2021-2027 年全球先進封裝基板市場規模(十億美金).33 圖表 55:2020
15、 年和 2021 年 ABF 載板市場份額.33 圖表 56:華峰測控營業收入及增速(億元).34 圖表 57:華峰測控歸母凈利潤及增速(億元).34 圖表 58:長川科技營收及增速(億元).35 圖表 59:長川科技歸母凈利潤及增速(億元).35 圖表 60:長川科技毛利率及凈利率.36 圖表 61:長川科技分業務毛利率.36 圖表 62:長川科技分產品營收(億元).36 圖表 63:長川科技研發投入及占比(億元).36 圖表 64:新益昌營業收入.38 圖表 65:新益昌歸母凈利潤.38 圖表 66:新益昌營收結構(億元).38 2023 年 04 月 05 日 P.4 請仔細閱讀本報告末
16、頁聲明請仔細閱讀本報告末頁聲明 圖表 67:新益昌分產品毛利率.38 圖表 68:全球封裝設備市場規模及增速(億美元、%).39 圖表 69:各類封裝設備占比.39 圖表 70:封測各環節設備國產化率.39 圖表 71:封裝設備海外龍頭公司.40 圖表 72:全球固晶機市場規模及增速(百萬美元、%).40 圖表 73:新益昌半導體封裝設備營收及同比增速(億元、%).41 圖表 74:新益昌 HAD816-A 自動高速固晶機.41 圖表 75:全球焊線機市場規模及增速(億美元、%).41 圖表 76:半導體焊線機競爭格局.41 圖表 77:開玖自動化焊線機產品矩陣完善.42 圖表 78:長電科技
17、營收及增速(億元).43 圖表 79:長電科技歸母凈利潤情況(億元).43 圖表 80:通富微電營業收入及增速(億元).44 圖表 81:通富微電歸母凈利潤及增速(億元).44 圖表 82:偉測科技營業收入及增速(億元).45 圖表 83:偉測科技歸母凈利潤及增速(億元).45 圖表 84:偉測科技 IPO 募投項目情況(萬元).46 圖表 85:甬矽電子營業收入及增速(億元).47 圖表 86:甬矽電子歸母凈利潤(億元).47 圖表 87:甬矽電子 IPO 募投項目情況(萬元).47 圖表 88:興森科技主要在建項目(億元).48 圖表 89:興森科技營業收入情況(億元).48 圖表 90:
18、興森科技歸母凈利潤情況(億元).48 圖表 91:興森科技盈利水平情況(%).49 圖表 92:興森科技研發投入情況(億元).49 2023 年 04 月 05 日 P.5 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 一、一、“超越摩爾定律”“超越摩爾定律”,先進封裝先進封裝崛起崛起 1.1 Chiplet:“后摩爾時代”半導體技術發展重要方向“后摩爾時代”半導體技術發展重要方向 后摩爾時代經濟效能提升出現瓶頸,Chiplet 技術應運而生。隨著半導體制程節點的持續演進,短溝道效應以及量子隧穿效應帶來的發熱、漏電等問題愈發嚴重,追求經濟效能的摩爾定律日趨放緩。在此背景下,產業開始思考將不
19、同工藝的模塊化芯片,像拼接樂高積木一樣的方式用先進封裝技術整合在一起,成為一個異構集成芯片,在提升性能的同時實現低成本和高良率,這就是芯粒(Chiplet)技術。Chiplet 的概念源于 Marvell 創始人周秀文博士在 ISSCC 2015 上提出的 Mochi(Modular Chip,模塊化芯片)架構,伴隨著 AMD 第一個將小芯片架構引入其最初的 Epyc 處理器Naples,Chiplet 技術快速發展。2022 年 3 月,Chiplet 的高速互聯標準UCIe(Universal Chiplet Interconnect Express,通用芯?;ヂ摷夹g)正式推出,旨在芯片封
20、裝層面確立互聯互通的統一標準。圖表 1:AMD Chiplet 架構演進 資料來源:Cool 3C,國盛證券研究所 顯著降本優勢延續摩爾定律。顯著降本優勢延續摩爾定律。Chiplet 技術迅速發展的原因得益于其在降低成本并提升芯片性能方面的獨特優勢,主要體現在以下幾個方面:1)小面積設計提升芯片良率:小面積設計提升芯片良率:傳統的良率模型假設缺陷在晶圓上隨機散布,并且芯片上任何地方的缺陷都會使其無法使用,所以大面積芯片比小面積芯片更可能包含缺陷,造成芯片良率與芯片面積直接相關。一般來說,裸芯(Die)的面積越小,在缺陷概率一定的情況下,整體的良率就越高。從下圖可以看到,裸芯面積是40mm 40
21、mm 的良率只有 35.7%;如果面積減少到 20mm 20mm,良率便上升到75.7%;如果進一步減小到 10mm 10mm,良率可以提升至 94.2%。Chiplet 設計可以將超大型芯片按照不同的功能模塊切割成獨立的小芯片進行分開制造,從而有效改善良率,同時降低生產成本。2023 年 04 月 05 日 P.6 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 2:裸芯(Die)面積越小,整體良率越高 資料來源:wikiMidia Commons,國盛證券研究所 圖表 3:芯片面積減小,更多有效芯片可用 資料來源:AMD,國盛證券研究所 2)更低能耗更更低能耗更高高性能:性能:在速
22、度方面,采取 3D 封裝技術的 chiplet 縮短了線路傳輸距離,指令的響應速度得到大幅提升,寄生性電容和電感也得以降低,此外,用更多更密集的 I/O 接點數,電路密度提升即提高功率密度。3D 封裝由于采用更細小、更密集的電路,信號傳輸不需要過多的電信號,從而功耗也會相應降低。圖表 4:3D 堆疊封裝顯著降低成本 圖表 5:先進封裝提升性能及效率 資料來源:AMD,國盛證券研究所 資料來源:AMD,國盛證券研究所 3)IP 快速復用降低設計成本和復雜度,有助于產品快速迭代:快速復用降低設計成本和復雜度,有助于產品快速迭代:隨著先進工藝的不斷推進,基于越先進的工藝來設計芯片,其面臨的復雜度和設
23、計難度也將大幅提升,同 2023 年 04 月 05 日 P.7 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 時設計成本也將直線上升。如果在芯片設計階段,就將大規模的 SoC 按照不同的功能模塊分解為一個個的芯粒,那么部分芯粒則可以做到類似模塊化的設計,而且可以重復運用在不同的芯片產品當中。這樣可以極大降低芯片設計的難度和設計成本,同時也有利于后續產品的迭代,加速產品的上市周期。例如,AMD 在第三代銳龍(Ryzen)處理器上復用了第二代霄龍(EPYC)處理器的 IOChiplet,這種復用不但可以將“老舊制程”生產的 Chiplet 繼續應用到下一代產品中以節約成本,更能極大地節約設計
24、、驗證和生產周期并降低失敗風險。圖表 6:AMD IOChiplet 的復用 資料來源:半導體行業觀察,國盛證券研究所 4)針對性選取制程工藝降低制造成本:針對性選取制程工藝降低制造成本:將 SoC 進行 Chiplet 化之后,不同的芯??梢愿鶕枰x擇合適的工藝來分開制造,然后再通過先進封裝技術進行組裝,不需要全部都采用相同制程的工藝在一塊晶圓上進行一體化制造,這樣可以極大地降低芯片的制造成本。對于密集封裝的邏輯和存儲器,7nm 晶體管比 16nm 晶體管便宜,但 I/O 接口通常具有模擬電路和其他無法從較小節點中受益的大型功能。因此,許多小芯片設計將 I/O 功能隔離到在舊節點中制造的單
25、獨芯片中。一些邏輯電路(例如加速器)可能不需要以與主處理器相同的最大時鐘速率運行,因此可以在中間節點中制造,使用較舊的工藝技術可以將這些小芯片的制造成本降低多達 50%。圖表 7:Chiplet 成本分析 Monolithic Diff Chiplet Wafer Cost(7nm)$9,350 1x$9,350 Total Die Size 600mm2 1.1x 660mm2 Single Die Size 600mm2 165mm2 Gross Die per Wafer 96 387 Defect Rate(percm2)0.2 1x 0.2 Effective Area 80%1x
26、80%Estimated Yield 43%35%78%NetDieper Wafer 42 300 Single Die Cost$224$31 Total Die Cost$224 45%$124 Total Test Cost$10 2%$12 Package and Packaging$160 25%$200 Packaging Loss 1%4x 4%Total Manufacturing Cost$398 13%$347 資料來源:TheLinleyGroup,國盛證券研究所 2023 年 04 月 05 日 P.8 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 先進制程先進制
27、程及超大芯片及超大芯片最受益最受益 Chiplet 技術。技術。綜合考慮以上幾點優勢,The Linley Group對 Chiplet 技術的經濟效益進行過模擬分析,其案例對比中包括一個幾乎沒有冗余面積的大芯片(600mm,80%有效面積)和一個大的有機 BGA 封裝(60mm 60mm)被分成四個相同的小芯片。從表中我們可以看出,小芯片的良率幾乎是大型單片芯片的兩倍(78%VS 43%),從而節省了 100 美元的總芯片成本。雖然芯片數量的提升會帶來較高的測試成本,但 Chiplet 技術仍然降低了 13%的總制造成本。將此成本模型擴展到其他示例,Chiplet 技術對于幾乎沒有冗余的大芯
28、片最具成本效益,即有效面積越大,降本效果越顯著。根據成本模型,5nm 的凈成本節省比 7nm 高約 10%,這意味著小芯片可以降低小至 200mm 的裸片成本。即使對于有效面積為 50%的處理器,在 300mm 以上也能節省成本。目前盡管 3nm 的晶圓成本尚未確定,但成本節約肯定會再次上升,將小芯片的盈虧平衡點推到 150mm 以下。圖表 8:不同制程 Chiplet 降本場景 資料來源:The Linley Group,國盛證券研究所 摩爾定律減緩帶來了小芯片的設計需求,性能提升、成本降低以及大芯片的缺陷問題是Chiplet 設計成為趨勢的三大推動因素??傮w來說,Chiplet 是“后摩爾
29、時代”半導體技術發展重要方向,國外各大廠商持續布局,且均已形成一定規模和應用。據 Omdia 數據,2018 年全球 Chiplet 市場規模約為 8 億美元,預計未來隨著行業的不斷發展,Chiplet 市場規模有望迎來加速增長。圖表 9:Chiplet 市場規模(億美元)資料來源:Omdia,國盛證券研究所 先進封裝市場有望實現高增長。先進封裝市場有望實現高增長。先進封裝是實現 Chiplet 的重要方式,根據 Yole,2021 年全球先進封裝市場規模 374 億美金,到 2027 年有望達到 650 億美金,2021-2027 858570010020030040050060020182
30、024E2035EChiplet全球市場規模(億美元)2023 年 04 月 05 日 P.9 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 CAGR 10%。從整個封裝行業的占比來看,先進封裝有望在 2027 年超過 50%,即超過傳統封裝的市場規模。先進封裝中嵌埋式、2.5D/3D、倒裝技術都將實現高復合增速。圖表 10:2021-2027 年全球先進封裝市場預測(十億美金)資料來源:Yole,國盛證券研究所 1.2 海外海外龍頭龍頭先進封裝先進封裝布局如火如荼布局如火如荼 AMD 多年來始終走在封裝技術革新前沿。多年來始終走在封裝技術革新前沿。AMD 于 2015 年在 GPU 市場
31、推出高帶寬內存(HBM)和 2.5D 硅中介層技術,引領業界以小尺寸獲得最佳內存帶寬。在 2017 年引入MCM 封裝技術。2019 年推出了業界首創的基于小芯片的技術,在同一封裝內對內核和IO 使用不同的工藝節點,從而顯著提高性能和功能。2021 年宣布與臺積電合作開發 3D Chiplet。AMD 的的 3D Chiplet 技術名為技術名為 3D V-Cache,實現的關鍵技術包括硅通孔(,實現的關鍵技術包括硅通孔(TSV)和混)和混合鍵合(合鍵合(Hybrid Bonding)。)。3D V-Cache 使得 AMD 能夠在 CPU 上堆疊緩存,首款采用該技術的產品為 Ryzen 7
32、5800X3D。其中混合鍵合技術來自于臺積電的 SoIC,使用銅對銅直接鍵合,沒有任何類型的焊料凸點。因此其連接密度為 2D 封裝的 200 倍,互聯密度是微凸塊(Micro Bump)的 15 倍,集成度大大提高。圖表 11:AMD 多年來始終走在封裝技術革新前沿 圖表 12:AMD 使用 3D CHIPLET 封裝架構 資料來源:AMD,國盛證券研究所 資料來源:AMD,國盛證券研究所 2023 年 04 月 05 日 P.10 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 臺積電臺積電入局先進封裝,入局先進封裝,3DFabric 技術平臺技術平臺勢頭正盛。勢頭正盛。臺積電于 2011
33、 年開始布局先進封裝,當前其 3DFabric 包含前端 SoIC 技術和后端 CoWoS、InFO 封裝技術。圖表 13:臺積電 3DFabric 技術平臺 資料來源:臺積電,國盛證券研究所 前端芯片堆疊技術,如前端芯片堆疊技術,如 chip-on-wafer 和晶圓和晶圓 wafer-on-wafer,統稱為“,統稱為“SoIC”?!?。其特點是在不實用后段集成中的凸塊的情況下,將芯片堆疊在一起。SoIC 的設計實際上是在創造鍵合界面,這樣芯片就可以直接疊在芯片上面。SoIC 是臺積電異構小芯片封裝的關鍵,具有高密度垂直堆疊性能,與 CoWoS 和 InFO 技術相比,SoIC 可以提供更高
34、的封裝密度和更小的鍵合間隔。此外,SoIC 還可以與 CoWoS/InFO 共用,基于 SoIC 的CoWoS 或 InFO 封裝將會帶來更小的芯片尺寸,實現多個小芯片集成。CoWoS 發展勢頭不減,中介層迭代組合助推成本與性能兼具。發展勢頭不減,中介層迭代組合助推成本與性能兼具。臺積電的 CoWoS 平臺包含 CoWoS-S/R/L,為高性能計算應用提供最佳性能和最高集成密度,提供了廣泛的硅中介層尺寸、HBM 數量和封裝尺寸。CoWoS-S 采用硅中介層,可以為高性能計算應用提供最佳的性能和最高的晶體管密度;CoWoS-R 利用InFO 技術,利用RDL中介層進行互連,更強調小芯片間的互連;
35、CoWoS-L 結合了 CoWoS-S 和 InFO 技術的優點,使用夾層與LSI(局部硅互連)芯片進行互連,使用 RDL 層進行電源和信號傳輸,提供了最靈活的集成。英偉達、博通、谷歌、亞馬遜、NEC、AMD、賽靈思、Habana 等公司已廣泛采用 CoWoS技術。2023 年 04 月 05 日 P.11 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 14:臺積電 2.5D 封裝 CoWoS 技術總結 CoWoS-S CoWoS-R CoWoS-L 圖像 應用 HBM,HPC HBM 和 SoC 的異質性集成,HPC HPC 特點 2.5D 的 Si interposer 技術實現
36、超高互連密度,可以包含有源或無源電路,帶來更好的表現、設計靈活度。已經在 10年內迭代了 5 次,具備高良率及質量。CoWoS-R 可以將芯片、HBM和被動元器件等組件集成到有機中介層中,并具有較好的良率和可靠性。CoWoS-R 提供低 RC 互聯、良好的信號隔離和設計可擴展性。新的有機中介層技術 CoWoS-R+成功地集成了大量高密度 IPD(集成被動器件)和細間距基于硅的連接塊,方便 IP 遷移。IPD 作為解耦(去電容)電容器,在先進邏輯電路的高速數據操作中是至關重要的,需要穩定的電壓供應。SOC 器件和電容器之間的距離被最小化,以確??焖夙憫?。1)LSI 芯片用于高路由密度的片內互連,
37、通過多層亞微米 Cu 線路連接。LSI 芯片可以在每個產品中采用各種連接架構(例如 SoC 到 SoC、SoC 到芯片集、SoC 到 HBM 等),并且還可以重復用于多個產品。對應的金屬類型、層數和間距與 CoWoS-S 能提供一致。2)基于模塑法(Molding)的中介層,在正面和背面都具有寬間距的 RDL 層和 TIV 用于信號和電源傳輸,提供了高速傳輸中低損耗的高頻信號。3)能夠集成其他元素,例如獨立的IPD,位于 SoC 芯片正下方,支持其信號通信,提供更好的 PI/SI。資料來源:臺積電,國盛證券研究所 臺積電的 InFO 技術使用 polyamide film 代替 CoWoS 中
38、的硅中介層,從而降低成本和封裝高度,這兩個因素都是其實現大規模應用的重要條件。InFO 具有高密度的 RDL,適用于移動、高性能計算等需要高密度互連和性能的應用。臺積電的 InFO 分為 InFO_PoP 和InFO_oS,前者是行業中首款 3D 晶圓級扇出封裝,可應用在移動手機的AP 和DRAM 上;后者具有更高密度的 RDL,可集成多個用于 5G 網絡的邏輯芯片。相對來說,CoWoS 的性能更好,但成本較高;InFO 則采用 RDL 代替硅中介層,無須 TSV,性價比更高。圖表 15:臺積電 InFO 技術 資料來源:臺積電,國盛證券研究所 2023 年 04 月 05 日 P.12 請仔
39、細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 16:FC、2.5D/3DIC、SoIC 等封裝方式密度依次提升凸塊間距依次降低 資料來源:臺積電,國盛證券研究所 INTEL EMIB 引領低成本引領低成本 2.5D 異構封裝,異構封裝,Foveros 提供高性能提供高性能 3D 堆疊解決方案。堆疊解決方案。英特爾的嵌入式多管芯互聯橋接封裝技術(EMIB)是 2.5D 硅中介層的替代方案,異構集成模擬設備、內存、CPU、ASIC 芯片以及單片 FPGA 架構,提供了更簡單的制造流程、更高的性能、更強的信號完整性以及更低的復雜性。Foveros 技術是高于 EMIB 技術的3D 芯片堆疊技術
40、,利用晶圓級封裝能力,適用于小尺寸、低功率或有極端內存帶寬要求的情況,包含 Omni 和 Direct 兩代擴展。2020 年英特爾發布的 Lakefield 芯片,是首款基于 Foveros 3D 立體封裝技術的芯片,采用 1 個大核+4 個小核的混合 CPU 設計。Intel預計 Foveros Omni 技術將在 2023 年規模量產。圖表 17:英特爾 EMIB,Foveros 技術總結 技術技術 種類種類 EMIB Foveros Foveros Omni Foveros Direct 圖像 凸點 間距 50-40m 50-36m 約 25m 10m 優點 1)首個 2.5D 嵌入式
41、橋接解決方案。2)于 2017 年開始出貨。1)晶圓級封裝能力。2)首創的 3D 堆疊解決方案。1)采用高性能的 3D 堆疊技術,實現芯片與芯片之間的互連和模塊化設計,具有無限制的靈活性。2)預計 2023 年規模量產。1)用銅與銅的直接接合實現低電阻互連。2)模糊了晶圓制造和封裝之間的界限。資料來源:新浪,Intel,國盛證券研究所 三星目前主要的先進封裝三星目前主要的先進封裝方案方案包括包括 I-Cube、X-Cube、R-Cube、H-Cube 四種四種。a)Cube:2.5D 硅中介層技術,可將邏輯設備水平連接到 HBM 模塊。根據硅中介層的形式分為兩種組裝工藝:基板-芯片 CoS(C
42、hip on Substrate)和晶圓-芯片 CoW(Chip on Wafer)。CoS 主要優勢可以中間測試,中間測試可以避免在 HBM 模塊安裝之前安裝任何無效的硅中介層或邏輯芯片。CoW 主要優勢是尺寸更大,可以選用較大的硅中介層。CoS 適用于開發低成本的 2.5D 封裝方案,CoW 適用于多 HBM 模塊方 2023 年 04 月 05 日 P.13 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 案。b)R-Cube:低成本 2.5D RDL 中介層技術,通過高密度 RDL 將邏輯與邏輯、邏輯與HBM 模塊連接,具有更快的周轉時間和更好的信號/電源完整性,設計靈活性較好。c)
43、H-Cube:2021 年 11 月最新推出的 2.5D 封裝解決方案,基板整合 ABF 和 HDI,用于開發大型和低成本的封裝。d)X-Cube:2020 年 8 月推出的 3D 封裝方案,包括晶圓-芯片(CoW)、晶圓-晶圓(WoW)和硅通孔(TSV)技術,實現高密度高性能封裝。圖表 18:三星先進封裝技術總結 I-Cube R-Cube H-Cube X-Cube 圖像 類型 2.5D 2.5D 2.5D 3D 結構 硅中介層 基板-芯片(CoS)或晶圓-芯片(CoW)硅中介層+TSV RDL 中介層 邏輯與邏輯、邏輯與 HBM 模塊連接+少量 TSV 硅中介層 整合ABF和HDI基板的
44、低成本封裝 邏輯中介層 晶圓-芯片(CoW)+晶圓-晶圓(WoW)硅中介層+TSV 模塊 1)4HBM 2)1 邏輯模塊 1)4HBM 2)2 邏輯模塊 1)7LPP(低 功 耗 增 強版)邏輯芯片 2)7LPPSRAM 芯片 1)6HBM 模塊 2)1 邏輯模塊 優點 1)細間距 2)CoS:低成本中間測試 3)ISC,MIM 在硅中介層 4)CoW:更多的 HBM 模塊 1)成本低 2)快速的周轉時間 3)更大的設計靈活性 4)無 TSV 5)更好的信號和電源完整性 1)更高密度的集成 2)更低的延時 3)焊接 CoW 4)更大的尺寸擴展 5)更高的帶寬 1)成本效益高 2)更大的尺寸擴展
45、性 3)更大的封裝尺寸 4)更大的集成靈活性 5)整合 ABF 和 HDI 資料來源:三星官網,國盛證券研究所 先進封裝作為 Chiplet 的重要部分,其四大要素分別為 RDL(Re-distributed layer,重布線層)、TSV(Through Silicon Via,硅通孔)、Bump(凸點)和 Wafer(晶圓),RDL 起到 XY 平面電氣延伸的作用,TSV 起到 Z 軸電氣延伸的作用,Bump 起到界面互聯和應力緩沖的作用,Wafer 作為集成電路的載體以及 RDL 和 TSV 的介質和載體。接下來我們圍繞這四大要素,討論關鍵工藝相關的設備、材料供應鏈。2023 年 04
46、月 05 日 P.14 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 二、二、RDL 重布線重布線晶圓級封裝關鍵工藝晶圓級封裝關鍵工藝 RDL(Re-distributed layer,重布線層,重布線層)技術技術是是晶圓級封裝關鍵技術晶圓級封裝關鍵技術。由于在設計芯片時只有極少數芯片的 I/O 端口是按照面陣列形式來進行設計的,因此需要重布線技術,在晶圓表面利用金屬層與介質層形成相應的金屬布線圖形,將原來設計的芯片線路焊盤重新布線到新的、間距更寬的位置,使芯片能適用于更有效的封裝互連形式。RDL 可以改變線路 I/O 端口原有的設計,加大 I/O 端口間距,提供較大的凸塊焊接面積,減小基
47、板與元器件間的應力,提高元器件的可靠性。此外封裝工藝 RDL 可取代部分芯片線路,以縮短芯片開發時間。圖表 19:采用 RDL 技術的 2.5D 轉接板示意圖 資料來源:集成電路系統級封裝,國盛證券研究所 圖表 20:臺積電 CoWos-R 示意圖 資料來源:臺積電,國盛證券研究所 在晶圓級封裝中,RDL 是最為關鍵的技術,通過 RDL 將 IO Pad 進行扇入 Fan-In 或者扇出 Fan-Out,形成不同類型的晶圓級封裝。在 2.5D IC 集成中,除了硅基板上的 TSV,2023 年 04 月 05 日 P.15 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 RDL 同樣不可或缺
48、,以臺積電 CoWoS-S 為例,其在中間層上下都布有寬間距的 RDL 層,通過 TIV(Through interposer Via)進行信號和電氣傳遞,在高速傳輸中提供低損耗的高頻信號。圖表 21:臺積電 CoWoS-S 結構圖 資料來源:臺積電,國盛證券研究所 在 3D IC 集成中,對于上下堆疊是同一種芯片,通常 TSV 就可以直接完成電氣互聯功能了,而堆疊上下如果是不同類型芯片,則需要通過 RDL 重布線層將上下層芯片的 IO 進行對準,從而完成電氣互聯。隨著工藝技術的發展,通過 RDL 形成的金屬布線的線寬和線間距也會越來越小,從而提供更高的互聯密度。RDL 工藝流程:工藝流程:R
49、DL 的制作方式包括電鍍、大馬士革、金屬蒸鍍+金屬剝除等,其中利用前道晶圓制造里面的大馬士革原理的 RDL 工藝可以滿足低線寬/間距(Line/Space,L/S)的 RDL 結構。圖表 22:大馬士革 RDL 工藝流程 資料來源:集成電路系統級封裝,國盛證券研究所 2023 年 04 月 05 日 P.16 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 三、三、TSV 硅通孔硅通孔2.5D/3D 封裝關鍵工藝封裝關鍵工藝 由于當前不同廠商集成技術路線存在差異,2.5D 封裝工藝和技術其實并沒有一個統一的標準,通??梢詫?2.5D 封裝理解為,多芯片之間通過中介層、硅橋、高密度 RDL 等
50、方式進行互連的封裝方式。其核心包括 1)多芯片集成;2)互連部分引入高 I/O 密度的介質而不是在依靠載板上走線。圖表 23:常見 2.5D 封裝結構 資料來源:Globalfoundries,國盛證券研究所 中介層中介層是是 2.5D 封裝封裝關鍵關鍵特點特點之一。之一。中介層用來連接多個芯片,目前中介層主要是硅基材質。DRAM 和 CPU、CPU、SoC 等芯片通過硅中介層實現高速的運算和數據交流,降低功耗,提升效率。常見的 2.5D 封裝技術在硅中介層有 TSV 集成,芯片通常通過MicroBump(微凸塊)和中介層相連接,作為中介層的硅基板采用 Bump 和基板相連,硅基板表面通過 R
51、DL 布線,TSV 作為硅基板上下表面電氣連接的通道,這種 2.5D 集成適合芯片規模比較大,引腳密度高的情況,芯片一般以 FlipChip 形式安裝在硅基板上。圖表 24:2.5D 結構示意圖 資料來源:EETimes,國盛證券研究所 3D 封裝和 2.5D 封裝的主要區別在于,2.5D 封裝是在中介層上進行布線和打孔,而 3D集成是直接在芯片上打孔(TSV)和重布線(RDL),電氣連接上下層芯片。從物理結構上看,所有芯片和無源器件均位于 XY 平面上方,芯片堆疊在一起,在 XY 平面的上方有穿過芯片的 TSV,在 XY 平面的下方有基板的布線和過孔。整個系統通過 TSV 和 RDL 將 2
52、023 年 04 月 05 日 P.17 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 芯片直接電氣連接。圖表 25:2.5D 封裝和 3D 封裝結構的區別 資料來源:知乎,國盛證券研究所 圖表 26:龍頭廠商 2.5D 封裝和 3D 封裝系統名稱 臺積電臺積電 英特爾英特爾 三星三星 2.5D CoWoS EMIB I-Cube 3D SoIC FOVEROS X-Cube 資料來源:知乎,國盛證券研究所 TSV 技術技術是是 2.5D/3D 封裝的關鍵封裝的關鍵工藝之一工藝之一。硅通孔技術(TSV,Through Silicon Via)是通過在芯片和芯片之間、晶圓和晶圓之間制作垂直導
53、通,實現芯片之間互連的技術。TSV 技術通過銅、鎢和多晶硅等導電物質的填充,實現硅通孔的垂直電氣互連。硅通孔技術的優勢是可以通過垂直互連減小互連長度、信號延遲,降低電容、電感,實現芯片間的低功耗、高速通訊,增加帶寬和實現器件集成的小型化。Via-Middle 和和 Via-Last 是較為常見的通孔方式。是較為常見的通孔方式。依據 TSV 通孔生成的階段 TSV 工藝可以分為:1)Via-First;2)Via-Middle;3)Via-Last。1)Via-First 指的是 TSVs 在 FEOL 工藝(例如晶體管)之前制造。Via-First 由于是在器件制造之前進行通孔工藝,因此可以使
54、用高溫工藝來制造絕緣層,其劣勢在于填充通孔的材料受限,由于后續晶體管制造過程中會有高溫的環節,此時如果填充材料為銅的時候,銅會很容易擴散到硅材料中。2)Via-Middle 指的是 TSVs 在 FEOL 之后,BEOL(例如金屬層)之前制備,這種工藝由于晶圓廠在設備能力方面具備優勢,晶圓廠通常也會制造,但也有部分 OSAT廠商可以完成這一工藝。Via-Middle 的優勢在于可以實現較小的 TSV 結構間距,再布線層通道阻塞小以及 TSV 結構電阻也會較小,其劣勢主要在于它必須適合產品器件性能要求這樣才不會干擾器件,并且也不會干擾相鄰的布線層。3)Via-Last 指的是 TSVs 在 FE
55、OL,MOL 和 BEOL 工藝之后制造 TSV,Via-Last(從晶圓正面)的方式由于在刻蝕的時候除了刻蝕硅之外,還需刻蝕整個電介質層,以及會阻塞布線通道,因此較少被使用。Backside Via-Last 從晶圓背面進行通孔,可以簡化工藝流程,背面后通孔工藝被廣泛用于圖像傳感器和 MEMS 器件。2023 年 04 月 05 日 P.18 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 27:3 種 TSV 通孔生成方式 資料來源:知乎,國盛證券研究所 圖表 28:3 種 TSV 通孔生成各環節方式優劣勢對比 資料來源:TSV:via first?via middle?or vi
56、a last?,國盛證券研究所 TSV 工藝主要包括深硅刻蝕形成微孔,再進行絕緣層、阻擋層、種子層的沉積,深孔填充,退火,CMP 減薄,Pad 的制備疊加等工藝技術。2023 年 04 月 05 日 P.19 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 29:TSV 主要工藝流程示意圖 資料來源:高密度 2.5D TSV 轉接板關鍵技術研究,國盛證券研究所 1)孔成型:孔成型:孔成型的方式有激光打孔、干法刻蝕、濕法刻蝕多種。隨著 TSV 的空徑減小、深寬比增加,基于深硅刻蝕(Deep Reactive Ion Etching,DRIE)的 Bosch工藝是目前應用最廣泛工藝。反應離
57、子刻蝕(Reactive Ion Etching,RIE)工藝是采用物理轟擊和化學反應雙重作用的刻蝕,Bosch 工藝通過刻蝕和保護兩個步驟交替進行來提高 TSV 的各向異性,保證 TSV 通孔的垂直度。設備設備及材料及材料:深硅刻蝕需要的設備是感應耦合高密度等離子體干法刻蝕機(Inductively Coupled Plasma Etcher,ICP),深硅刻蝕的發展方向是精細深槽、高深寬比微納通孔的高精度,目前全球主流的深硅刻蝕設備由應用材料、泛林集團等廠商壟斷,目前國內中微公司、北方華創等在這一領域進步迅速。Bosch 工藝過程中主要需要的氣體是氟基氣體,全球供應商包括法液空、默克、林德
58、等。2)沉積沉積絕緣層絕緣層:TSV 孔內絕緣層用于實現硅村底與孔內傳輸通道的絕緣,防止 TSV通孔之間漏電和串擾。TSV 孔內絕緣層的質量將直接影響 TSV 硅轉接板的信號完整性和電源完整性,是保證 2.5D TSV 轉接板性能的關鍵工藝之一。在 TSV 孔刻蝕和深孔清洗完畢后,在 TSV 孔壁沉積絕緣材料形成孔壁介質絕緣層,孔壁絕緣介質層需要完全覆蓋 TSV 孔的內壁和 TSV 硅轉接基板表面以達到良好的絕緣性能。TSV 孔壁絕緣介質材料選用無機介質材料,如二氧化硅、氮化硅、或二氧化硅和氮化硅構成的復合材料。設備:設備:目前 TSV 孔壁無機絕緣介質材料的常用制各方法包括 PECVD、SA
59、CVD、ALD 和熱氧化法。PECVD 可以實現較低的沉積溫度,如 200以下,但對于孔徑較小且深寬比較大的垂直 TSV 孔的孔璧合階覆蓋率不足;SACVD 的孔壁臺階覆蓋率優于 PECVD,但沉積溫度較高,通常在 400及以上;ALD 的孔壁臺階覆蓋率能達到 80%以上,但沉積速度較慢;熱氧化法制備的二氧化硅層結構致密、孔壁臺階覆蓋率高,但熱氧化工藝溫度通常都在 1000以上,此外熱氧化工藝可以在一爐中同時氧化多片,成本較低。海外 KLA(2019 年收購 Orbotech,Orbotech 2014 年并購 SPTS,SPTS 在先進封裝領域的 PVD、CVD 設備領域綜合技術實力領先)、
60、應用材料等供應商技術領先,國內拓荊科技等公司在這一領域進展亮眼。2023 年 04 月 05 日 P.20 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 3)沉積阻擋層沉積阻擋層/種子層:種子層:在 2.5D TSV 中介層工藝中,一般使用銅作為 TSV 通孔內部金屬互聯材料。在電鍍銅填充 TSV 通孔前,需要在 TSV 孔內制備電鍍阻擋/種子層,一般選用 Ti、Ta、TiN、TaN 等材料。TSV 電鍍種子層起著與電鍍電極電連接并實現 TSV 孔填充的作用。設備:設備:通常用物理氣相沉積(PVD)法制作阻擋層和種子層絕緣層,為后續的銅填充做好準備。后續的電鍍銅填充要求 TSV 側壁和底部
61、具有連續的阻擋層和種子層。種子層的連續性和均勻性被認為是 TSV 銅填充最重要的影響因素。根據硅通孔的形狀、深寬比及沉積方法不同,種子層的特點也各有不同,種子層沉積的厚度、均勻性和粘合強度是非常重要的指標。海外 KLA(SPTS)同樣在先進封裝領域的 PVD 全球市占率較高,北方華創憑借強勁實力,國內份額不斷提升。4)電鍍電鍍填充工藝:填充工藝:TSV 深孔的填充技術是 3D 集成的關鍵技術,也是難度較大的一個環節,TSV 填充效果直接關系到后續器件的電學性能和可靠性。從填充材料角度,可以填充的材料包括銅、鎢、多晶硅等,目前電鍍銅工藝是主流的先進封裝中硅通孔填充材料。硅通孔電鍍銅工藝目前主要有
62、大馬士革電鍍和掩模電鍍兩種。設備:設備:深孔金屬化電鍍設備用于新一代高頻組件高深寬比通孔填孔電鍍銅工藝,解決高深寬比微孔內的金屬化問題,提高互聯孔的可靠性。由于電鍍銅在 TSV 工藝中的重要性非常高,對設備的要求比較高,成熟的用于 TSV 填孔鍍銅的設備價格昂貴。目前電鍍設備主要有德國安美特(Atotech,全球領先的化學品和電鍍解決方案供應商,2022 年正式被美國 MKS Instruments 萬機儀器收購),東京電子、Ebara、應用材料、泛林集團等廠商壟斷。電鍍液:電鍍液:在硅通孔電鍍中,大馬士革電鍍、掩模電鍍或其他電鍍方式所需的電鍍液材料體系都基本相同。硅通孔電鍍液主要的成分包含電
63、鍍原液(或稱為基礎鍍液)和添加劑。硅通孔電鍍液的主要作用是為硅通孔的電鍍填充提供充足的銅離子和良好的電鍍環境,通過在電鍍液中加入各種添加劑可以改善硅通孔的電鍍質量,從而提高電鍍填充的效果。目前海外主要的硅通孔電鍍液材料供應商包括陶氏化學、樂思化學(Enthone Chemical)、上村(Uyemura)、安美特(Atotech)、羅門哈斯(Rohmhaas)等,國內上海新陽在這一領域亦有突破。5)CMP(化學機械拋光)(化學機械拋光)工藝工藝和背面露頭工藝和背面露頭工藝:由于 TSV 中介層還需要高密度多層再布線,CMP 技術引入到 TSV 制程中,用于去除硅表面的二氧化硅介質層、阻擋層和種
64、子層。TSV 背面露頭技術也是 2.5DTSV 轉接基板的關鍵工藝,包括晶圓減薄、干/濕法刻蝕工藝。隨著晶圓厚度越來越小,散熱性提升,與此同時TSV 深度隨之減小,帶來互聯延遲和損耗的減少。然而晶圓厚度變薄的同時,材料內部的應力會隨著減薄工序的進行而增大使得硅片產生翹曲、粗糙和斷裂等缺陷。2.5D TSV 轉接基板背面減薄之后,一般還需要通過干法或者濕法刻蝕工藝,從背面露出 TSV 銅柱,從而實現后續晶圓背面的電信號連接。設備及設備及材料:材料:考慮應力等問題,目前業界多采用一體機的思路,將晶圓的磨削、拋光、貼片等工序集合在一臺設備中。海外龍頭包括應用材料、Ebara 等。材料方面 CMP 材
65、料全球主要供應商包括陶氏、FujiFilm、卡博特等,國內鼎龍股份、安集科技已經在 CMP 拋光墊、拋光液領域實現國產化突破。TSV、FOWLP 等先進封裝技術帶來對 CMP 步驟的增加,進而增加了 CMP 耗材需求量。6)晶圓減?。壕A減?。涸?via first 和 via middle 工藝中,晶圓表面平坦化后,還需要進行晶圓背面的減薄使 TSV 露出,via last 工藝中,晶圓在進行 Bosch 刻蝕工藝前就會進行減薄。晶圓減薄的目的是使 TSV 露出,在晶圓級多層堆疊技術中,需要將多片晶圓進行堆疊鍵合,同時總厚度還必須滿足封裝設備的要求。目前較為先進的 2023 年 04 月 0
66、5 日 P.21 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 多層堆疊使用的芯片厚度均在 100m 以下。未來如果需要疊加更多層,芯片的厚度需減薄至 25m 甚至更小。傳統的晶圓減薄技術包括機械磨削、CMP 和濕法腐蝕等。由于晶圓經過減薄后容易產生變形或翹曲,目前業界主流的解決方案是采用一體機的思路,將晶圓的磨削、拋光、保護膜去除和劃片膜粘貼等工序集合在一臺設備內。晶圓從始至終都被吸在真空吸盤上,始終保持平整狀態,從而防止了晶圓在工序間搬運時產生變形或翹曲。先進封裝驅動先進封裝驅動 CVD 沉積、電鍍及濺射靶材市場持續增長。沉積、電鍍及濺射靶材市場持續增長。根據 TECHCET,2022
67、 年濺射靶材、ALD/CVD 前驅體和金屬化學品等沉積材料全球市場規模年接近 39 億美元,預計2023 年超過 41 億美元,到 2026 年市場規模達到 47 億美金。其中 2022 年金屬電鍍市場規模超過 11 億美金,預計到 2026 年將超過 13 億美金。市場規模的持續增長主要得益于使用 RDL、TSV 和銅凸點結構的先進封裝需求提升。圖表 30:先進封裝及內部互聯用金屬電鍍材料市場規模(百萬美金)資料來源:TECHCET,國盛證券研究所 2023 年 04 月 05 日 P.22 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 四、四、臨時鍵合臨時鍵合超薄晶圓超薄晶圓支撐系統支
68、撐系統 超薄晶圓具有降低封裝整體厚度、增強散熱、增強電學性能、提高集成度等優勢,在先進封裝中被廣泛使用。根據 Yole,2025 年全球超薄晶圓市場規模有望超過 1.35 億片(等效 8 英寸)。然而由于超薄晶圓柔性較差且易碎,容易產生翹曲,需要一套支撐系統來防止這些損傷。通常在封裝前使用某種特定的中間層材料,將超薄晶圓臨時鍵合到一個晶圓載板上,這種工藝稱為臨時鍵合工藝(Temporary Bonding)。圖表 31:超薄晶圓市場規模 資料來源:Yole,國盛證券研究所 按照工藝流程來分,目前主要有熱/機械滑移式臨時鍵合與解鍵合、熱/機械滑移式臨時鍵合與解鍵合、激光式臨時鍵合與解鍵合三種工藝
69、。其中激光臨時鍵合與激光臨時鍵合與解鍵合工藝最解鍵合工藝最大工藝溫度高,抗化學性好,是最新一代的臨時鍵合大工藝溫度高,抗化學性好,是最新一代的臨時鍵合/解鍵合技術方案解鍵合技術方案。圖表 32:臨時鍵合與解鍵合工藝主要特性對比 工藝類型工藝類型 載板載板材料材料 最大工藝溫度最大工藝溫度/極極限耐受溫度限耐受溫度/抗化學抗化學性性 可搭配特可搭配特定緩沖層定緩沖層 高溫機高溫機械強度械強度 熱/機械滑移式臨時鍵合與解鍵合 任選 180/220 一般 是 低 化學浸泡式臨時鍵合與解鍵合 任選 200/250 好 是 高 激光式臨時鍵合與解鍵合 玻璃 300/350 好 是(不需要特定)高 資料來
70、源:集成電路系統級封裝,國盛證券研究所 臨時鍵合臨時鍵合/解鍵合解鍵合常見常見工藝流程:工藝流程:首先在臨時載板或功能晶圓上通過壓合、粘貼或旋涂等方法制造一層中間層材料作為鍵合黏接劑,然后翻轉功能晶圓,使其正面與臨時載板對準,然后將二者轉移至鍵合腔進行鍵合,臨時鍵合完成后,對功能晶圓進行減薄,一般包括機械研磨、化學拋光等步驟。減薄后再進行深硅刻蝕、擴散阻擋層及種子層沉積、電鍍、機械化學拋光、光刻、刻蝕、金屬化等背面加工,形成再布線層、TSV 等結構。最后可以采用不同方式的解鍵合工藝將功能晶圓與臨時載板分離,對二者分別進行清洗 2023 年 04 月 05 日 P.23 請仔細閱讀本報告末頁聲明
71、請仔細閱讀本報告末頁聲明 后,將功能晶圓轉移到劃片膜或其他支撐系統中,以便進行下一步工藝,臨時載板則可以馬上進行再次利用。在這一工藝流程中,僅添加了臨時鍵合機與解鍵合機兩臺設備,其他步驟均可采用與標準晶圓制造相同的設備與工藝完成。目前全球臨時鍵合設備主要目前全球臨時鍵合設備主要供應商有供應商有 EV Group、SUSS MicroTec 等公司。等公司。圖表 33:EVG 標準臨時鍵合/解鍵合工藝流程示意圖 資料來源:集成電路先進封裝材料,國盛證券研究所 圖表 34:SUSS 標準臨時鍵合/解鍵合工藝流程示意圖 資料來源:集成電路先進封裝材料,國盛證券研究所 根據 Yole,2020 年“超
72、越摩爾定律”相關的鍵合設備市場規模達到 17 億美金,預計到2027 年將達到 28 億美金。其中 2020 年臨時鍵合設備市場規模為 1.13 億美金,預計2027 年將達到 1.76 億美金,SUSS 在全球占據主導地位。2023 年 04 月 05 日 P.24 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 35:“超越摩爾定律”相關的鍵合設備市場規模 圖表 36:2021 年臨時鍵合設備市場格局 資料來源:Yole,國盛證券研究所 資料來源:SUSS,國盛證券研究所 臨時鍵合膠:臨時鍵合膠:是把功能晶圓和臨時載板黏接在一起的中間層材料。不同工藝對應的臨時鍵合在鍵合方法、鍵合工
73、藝和材料選擇上有所不同。對于臨時鍵合膠的選擇,需要關注熱穩定性、化學穩定性、粘接強度、機械穩定性、均一性等因素。臨時鍵合膠的材料性能主要是由基礎黏料的性質決定的,因此基礎黏料的選擇至關重要??捎米骰A黏料的高分子聚合物材料包括熱塑性樹脂、熱固性樹脂、光刻膠等。圖表 37:臨時鍵合膠中的主要基礎黏料 基礎黏料基礎黏料 分離方式分離方式 橡膠類的塑料 熱塑性樹脂,以熱剪切或溶劑溶解方式分離 丙烯酸類型 激光輔助方式分離 聚酰亞胺類(PI)化學溶劑溶解或激光輔助 有機硅膠 縱向拉伸分離 氨基甲酸乙酯類塑料 化學溶劑溶解 苯并環丁烯(BCB)化學溶劑溶解 資料來源:集成電路先進封裝材料,國盛證券研究所
74、 目前全球臨時鍵合膠產品主要有海外供應商壟斷,主要有 Brewer Sciences 的 WaferBond和 ZoneBond 系列產品、3M 的 LTHC 系列產品、DuPont 的 HD-3000 系列產品、Thin Materials 的 T-MAT 系列產品、Dow Corning 的 WL 系列產品、東京應化工業株式會社(TOK)的 Zero Newton 系列產品和 Dow Chemical 的 Cyclotene 系列產品。2023 年 04 月 05 日 P.25 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 38:主要臨時鍵合膠的產品對比 供應商供應商 Brewe
75、r Science 3M Thin Materials Dow Corning TOK Dow Chemical DuPont 材料型號 WaferBond ZoneBond LTHC T-MAT WL Zero Newton Cyclotene HD-300 化學系統 橡膠/樹脂 橡膠/樹脂 丙烯酸類 硅膠 硅膠 氨基甲酸乙酯 BCB 聚酰亞胺 鍵合溫度()約 180 160-180 室溫 180 180-250 250 高溫穩定溫度()220 200 250 250 250 250 300 350 解鍵合方法 高溫熱剪切 邊緣拉力分離 激光 邊緣拉力分離 邊緣拉力分離 化學溶劑 化學溶劑
76、激光/溶劑 解鍵合溫度()220 220 室溫 室溫 室溫 室溫 室溫 室溫 化學抗腐蝕能力 好 好 好 好 好 好 好 好 250下的穩定性 不穩定 不穩定 穩定 穩定 穩定 穩定 穩定 穩定 資料來源:集成電路先進封裝材料,國盛證券研究所 圖表 39:超薄晶圓支撐與保護技術 資料來源:集成電路先進封裝材料,國盛證券研究所 2023 年 04 月 05 日 P.26 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 五、五、微凸點、微凸點、底部填充底部填充與與混合鍵合混合鍵合技術技術 5.1 凸點技術凸點技術間距縮小、密度提升間距縮小、密度提升 凸點作為封裝結構中的重要一環,為堆疊芯片及固定
77、裝配提供所需的機械支撐,并實現芯片與中介層,芯片與芯片間的電氣互連。凸點的發展趨勢是尺寸不斷縮小,從球柵陣列焊球(Ball-Grid-Array Solder Ball,BGA ball),其直徑范圍通常在 0.25-0.76mm,到倒裝凸點(Flip-Chip Solder Bump,FC Bump),也被稱為可控塌陷芯片焊點(Controlled Callapse Chip Connection solder joint,C4 solder joint),其直徑范圍通常在 100-150m,再到微凸點(micro bump),其直徑可小至 2m。微凸點可以通過光刻電鍍的方法在整片晶圓上進行
78、大規模制備,生產效率高,并且降低批量封裝成本。按照凸點的結構,微凸點可以分為焊料凸點、銅柱凸點和鍵合銅凸點。圖表 40:三維封裝焊點中凸點截面圖 資料來源:窄節距微凸點制備及可靠性研究,國盛證券研究所 圖表 41:凸點間距發展歷程 資料來源:艾邦半導體網,國盛證券研究所 焊料凸點焊料凸點是是目前目前倒裝封裝互連凸點倒裝封裝互連凸點的主流選擇的主流選擇。焊料凸點(Solder Ball Bump,SBB)一般為錫基的焊料形成的凸點,材料成分包括純 Sn 及 Sn-Pb、Sn-Cu、Sn-Ag、Sn-Zn 和Sn-Bi 等體系的合金。由于組裝工藝非常簡單,目前焊料凸點應用非常廣泛。由于近年來人們環
79、保意識提高,元器件無鉛化趨勢顯著,無鉛焊料被越來越多的使用,由于無鉛焊料在鋪展能力和潤濕性方面有不足,助焊劑作為輔助材料,與無鉛焊料配套使用,需求量持續增長。2023 年 04 月 05 日 P.27 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 銅柱凸點銅柱凸點將將成為成為高密度、窄節距集成電路封裝市場高密度、窄節距集成電路封裝市場主流主流方式方式。隨著先進封裝對凸點間距要求越來越小,為了避免橋接現象的發生,實現更高 I/O 密度,IBM 公司于 21 世紀初首次提出了銅柱凸點,申請了銅柱凸點結構的相關專利。在焊料互連過程中,銅柱凸點能夠保持一定的高度,既可以防止焊料的橋接現象發生,又可
80、以掌控堆疊層芯片的間距高度,銅柱凸點的高徑比不再受到陣列間距的限制,在相同的凸點間距下,可以提供更大的支撐高度,大大改善了底部填充膠的流動性。電鍍法是凸塊制作電鍍法是凸塊制作使用使用最廣泛的方式。最廣泛的方式。凸塊制作技術包括電鍍法、化學鍍法、蒸發法和錫膏印刷法等,但以電鍍的方法應用最為廣泛,因為其可以做到更小的尺寸,達到更高的生產效率及更好的可靠性。借助光刻掩膜技術的電鍍法則具有更高的制備精度,可實現凸點在晶圓上的直接制備,適合銅柱凸點的制備。圖表 42:電鍍錫球凸點的工藝流程 圖表 43:Cu/焊料凸點結構 資料來源:集成電路系統級封裝,國盛證券研究所 資料來源:窄節距微凸點制備及可靠性研
81、究,國盛證券研究所 回流焊仍為凸點鍵合主流方式,回流焊仍為凸點鍵合主流方式,TCB 潛力大。潛力大。根據銅柱凸點的節距不同,銅柱凸點的鍵合方法可以分為回流焊和熱壓鍵合(TCB)兩種方式。對于節距較大的銅柱凸點,可采用回流焊方式完成凸點鍵合?;亓骱傅姆绞叫矢?,成本低,其缺點跟熱膨脹系數(CTE)有關,由于整個封裝由不同的材料組成,在回流爐中加熱會導致這些不同的材料以不同的速度膨脹。當芯片和基板膨脹和冷卻時,CTE 的差異會導致翹曲。此外還會有芯片間隙變化等問題導致最終產品電氣性能差。2023 年 04 月 05 日 P.28 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 44:不同材
82、料熱膨脹系數不同帶來的問題 資料來源:semianalysis,國盛證券研究所 采用熱壓鍵合的方式,通過 Bond Head 和 Bond Stage 的結構完成待鍵合芯片之間的高精度對準,并可在鍵合過程中施加一定的壓力以輔助鍵合。熱壓鍵合在高精度鍵合領域表現更為出色,使用 TCB 可以封裝更薄的芯片,也可以使 I/O 間距更小。因此 HBM 的制造通常會用 TCB。TCB 的缺點在于設備成本高,當前全球做當前全球做 TCB 設備的廠商主要是設備的廠商主要是ASM Pacific、庫力索法(、庫力索法(K&S)以及)以及 Besi 等。等。5.2 底部填充底部填充工藝工藝分散應力提升可靠性分散
83、應力提升可靠性 底部填充是芯片倒裝于基板封裝結構中的重要工藝。其作用是 1)將芯片凸點位置的集中應力分散到底部填充體和塑封料中;2)可阻止焊料蠕變,并增加倒裝芯片連接的強度與剛度;3)保護芯片免受環境的影響,如濕氣、離子污染等;4)使芯片抗機械振動與沖擊;5)極大改善焊點的熱疲勞可靠性。圖表 45:倒裝芯片封裝的結構示意圖 資料來源:集成電路系統級封裝,國盛證券研究所 底部填充工藝利用的是材料的毛細現象。底部填充工藝利用的是材料的毛細現象。倒裝鍵合后芯片與基板的間隙較小,用針管將液態的底部填充料沿芯片邊緣涂布,在毛細作用下,填充液會滲透到整個芯片底部。除了毛細填充方法,底部填充還可以將非流動型
84、下填料在芯片倒裝前涂布在基板上,并在 2023 年 04 月 05 日 P.29 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 芯片倒裝時施加壓力。涂布后在一定溫度下使填充膠固化,完成底部填充工藝。底部填充工藝及其相應材料主要包括毛細作用底部填充(Capillary Underfill,CUF)、塑封底部填充(Molded Underfill,MUF)、非導電膠熱壓型(Non-Conductive Paste,NCP)底部填充和非導電膜熱壓型(Non-Conductive Film,NCF)底部填充。隨著新型高密度封裝結構出現,傳統毛細管底部填充料在其中的流動能力受限,可靠性降低。因此芯片
85、間的互連方式從使用“毛細管底部填充料+回流”向使用“NCP/NCF 材料+熱壓工藝”轉變,后者更加適應緊湊空間條件下封裝保護的要求。圖表 46:底部填充料的分類 資料來源:集成電路先進封裝材料,國盛證券研究所 圖表 47:CUF 工藝與圓片級 NCF 工藝對比 圖表 48:底部填充料參數的發展方向 資料來源:集成電路先進封裝材料,國盛證券研究所 資料來源:集成電路先進封裝材料,國盛證券研究所 目前,全球 NCP 的主要供應商有漢高(Henkel)、納美仕(Namics)、長瀨產業株式會社(Nagase)、日立化成(Hitachi Chemical)、松下(Panasonic)。NCF 的供應商
86、主要包括漢高(Henkel)、日立化成(Hitachi Chemical)、日東電工(Nitto Denko)、納美仕(Namics)、住友(Sumitomo)。2023 年 04 月 05 日 P.30 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 5.3 混合鍵合技術混合鍵合技術賦能賦能 3D 堆疊堆疊 當凸點儲存進一步縮小到小于1020um時,焊錫球成為了工藝難點及缺陷的主要來源。業界相應提出了 Hybrid Bonding 工藝,可以解決 bump 間距小于 10 微米芯片間的鍵合問題,以實現更高的互連密度,此外 Hybrid Bonding 信號丟失率幾乎可以忽略不計,在高吞吐量
87、,高性能計算領域優勢明顯。圖表 49:微凸點和混合鍵合對比 資料來源:AMD,國盛證券研究所 混合鍵合(Hybrid Bonding)也稱為 DBI(Direct Bond Interconnect,直接鍵合連接),Sony 最早在 CIS 中運用了混合鍵合技術,公司 2016 年將這一技術用于 Samsung Galaxy S7 的背照式 CIS(BI-CIS)中,大幅提高了鏡頭分辨率。臺積電的 SoIC 也使用了混合鍵合,從下右圖可以看到,在高頻率下,混合鍵合的插入損耗表現大幅優于傳統 FC 鍵合方式,且凸點密度大幅提升。Intel 和三星分別在 Foveros Direct 和 X-Cu
88、be 使用混合鍵合。圖表 50:Sony BI-CIS 異質接合接點橫截面 圖表 51:TSMC 系統整合芯片 SoIC 示意圖 資料來源:Matek,國盛證券研究所 資料來源:Matek,國盛證券研究所 2023 年 04 月 05 日 P.31 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 混合鍵合中晶圓到晶圓(W2W)的工藝從晶圓加工到最終的 BEOL 互連級別開始。沉積合適的電介質(SiON、SiCN 或 SiO2),然后將其蝕刻以在下面的金屬上形成通孔。沉積阻隔層和種子層,然后鍍銅。銅 CMP 拋光覆蓋層,這樣使得后續在退火時銅膨脹時,表面微小的間隙可以被填補。緊接著清洗晶圓去除
89、所有污染物,然后通過等離子體活化,在電介質上產生活性位點。兩個晶圓在鍵合機精確對齊,之后在退火爐中,銅熔合在一起,進行電接觸。最后,晶圓邊緣修整之后是背面晶圓研磨減薄圓,清潔和 CMP 拋光等,并用表面聲學顯微鏡(SAM)檢查粘合晶圓中的空隙。圖表 52:異質接合流程圖 資料來源:Matek,國盛證券研究所 Hybrid Bonding 技術優勢顯著,各大頭部廠商重視布局,但其生產成本仍然非常昂貴。Cu 的熔點(1083)高、自擴散速率低,難以實現低溫鍵合,Cu-Cu 直接鍵合需要在400的高溫下才能充分發生原子擴散,高溫會降低對中精度、損傷器件性能、增加設備要求等問題。目前實現 Cu-Cu
90、低溫鍵合的方式主要為熱壓鍵合(TCB),混合鍵合工藝、納米材料燒結工藝等??傮w來講各項工藝仍處于不斷發展進步階段。2023 年 04 月 05 日 P.32 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 六、六、IC 載板載板集成電路核心封裝材料集成電路核心封裝材料 IC 載板:載板:簡而言之,是 IC 的載體,建立起 IC 與 PCB 之間的訊號鏈接;同時可以保護電路、固定線路、并起到一定的散熱能力。根據材料及應用的不同,封裝基板可以分為陶瓷基板、金屬基板、有機基板及硅/玻璃基板(中間層)等。其中有機基板具有厚度薄、線路密、對位精度要求高、電氣結構更復雜等特點,在高功能集成電路 I/O
91、端口數不斷增加,對散熱性要求不斷提高的背景下,有機基板逐漸向多層化、薄型化和高密度化發展,廣泛用于計算機、通信產品、消費類電子及汽車電子產品等領域。剛性有機基板按照制板工藝分類,剛性有機基板可分為層壓(Lamination)基板和積層(Build-up)基板兩大類。I/O 端口數較多的高密度封裝器件需要采用積層基板,其關鍵工藝是微孔技術,先在芯板兩側對稱制造絕緣層,然后通過光刻或激光鉆孔的方式在絕緣層上形成微孔,后續通過鍍銅填充微孔,并在絕緣層表明形成電路圖形,重復這些積層步驟可以制造多積層板。另外,在基板制造過程中需要用到的絕緣層材料目前主流是日本味之素精細化學品公司生產的 ABF(Ajin
92、omoto Build-up Film)。圖表 53:ABF 基板制造流程 資料來源:semiengineering,國盛證券研究所 高端封裝基板海外壟斷,國產進展迅速。高端封裝基板海外壟斷,國產進展迅速。目前全球封裝基板供應商主要來自日本、韓國和中國臺灣地區。其中以揖斐電株式會社(Ibiden)、新光電氣工業株式會社(Shinko)、京瓷集團(Kyocera)等為代表的日本公司技術實力非常強,占據有機基板主要市場,的三星電機(SEMCO)、信泰(Simmtech)和中國臺灣的南亞科技(Nanya Technology)、欣興電子(Unimicron)等公司由于具有產業鏈的優勢,占據著市場中的
93、重要份額。中國大陸地區封裝基板產業由于起步較晚,加之在關鍵原材料、設備及工藝等方面的差距,因此目前在技術水平、工藝能力及市場占有率上相較日本、韓國和中國臺灣地區的知名封裝基板產業仍然處于落后地位。在內資企業中,興森科技、深南電路、珠海越亞、安捷利等公司技術實力強勁。根據根據 Yole,2021 年全球先進封裝基板市場規模年全球先進封裝基板市場規模 157 億美金,預計億美金,預計 2027 年有望增長年有望增長到到 296 億美金。億美金。2021 年 ABF 載板全球市場規模 48 億美金,前五大廠商揖斐電、欣興電子、南亞科技、新光電氣和 AT&S 占據了全球接近 75%的份額。2023 年
94、 04 月 05 日 P.33 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 54:2021-2027 年全球先進封裝基板市場規模(十億美金)圖表 55:2020 年和 2021 年 ABF 載板市場份額 資料來源:Yole,國盛證券研究所 資料來源:Yole,國盛證券研究所 2023 年 04 月 05 日 P.34 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 七、核心公司七、核心公司 7.1 華峰測控華峰測控:國內測試設備龍頭,新品發力進行時國內測試設備龍頭,新品發力進行時 華峰測控是一家聚焦模擬和混合信號測試設備企業,主要面向集成電路封測、晶圓制造和集成電路設計企業等客戶
95、。公司主要產品為半導體自動化測試系統和測試系統配件,公司的測試系統包括 STS8200 系列、STS8250 系列和 STS8300 系列等;測試系統配件主要包括浮動 V/I 源表、時間測量、數字測量、及電器控制、交流 V/I 源表等關鍵測試模塊。華峰測控發布 2022 年業績快報。公司預計 2022 年全年實現營收 10.71 億元,yoy+21.89%,歸母凈利潤 5.25 億元,yoy+19.67%,扣非歸母凈利潤 5.06 億元,yoy+16.38%。2022 年受大環境影響,疊加半導體市場景氣度持續低迷,給公司業績增長帶來挑戰,華峰測控堅持既定的發展策略,不斷優化產品結構,同時加強新
96、產品研發和市場開拓,提高產品市占率,保證了業務的持續穩定增長。圖表 56:華峰測控營業收入及增速(億元)圖表 57:華峰測控歸母凈利潤及增速(億元)資料來源:Wind,國盛證券研究所 資料來源:Wind,國盛證券研究所 公司研發效率及產品力較強。公司研發效率及產品力較強。公司在傳統模擬混合領域國內領先,產品競爭力強,具有較高的裝機存量和客戶服務基礎;在新興應用領域(GaN、PIM)獲取先機,具有較強競爭力;在更大的 SoC 測試市場持續發力,迭代測試板卡增加覆蓋目標市場,打開 soc 測試國產替代空間。新品新品客戶客戶拓展順利拓展順利,持續迭代鞏固核心競爭力,持續迭代鞏固核心競爭力。公司 20
97、22 年下半年訂單在設計公司和封測廠均有較好表現,且訂單以 8300 產品為主。STS8200 已內部迭代多次,隨著裝機量的不斷提升,應用范圍的不斷拓展,已經成為了模擬、混合和功率器件測試的經典平臺,未來還將不斷迭代;STS8300 從推出至今已有 3 年,客戶拓展順利,裝機量也在不斷增加,客戶生態圈構建進展順利,內部資源板卡也在加速迭代,應用范圍也在不斷拓展。下一代 SoC 測試設備基本系統已經完成,資源板卡也在研發中。風險提示:行業景氣下滑風險,市場競爭加劇。0%20%40%60%80%100%120%140%02468101220182019202020212022營業收入yoy0%20
98、%40%60%80%100%120%140%012345620182019202020212022歸母凈利潤yoy 2023 年 04 月 05 日 P.35 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 7.2 長川科技長川科技:測試新品厚積薄發,內生外延鑄平臺龍頭測試新品厚積薄發,內生外延鑄平臺龍頭 長川科技成立于 2008 年 4 月,并于 2017 年 4 月在深交所創業板上市,主要從事集成電路專用設備的研發、生產和銷售,主要產品包括測試機、分選機、探針臺、AOI 設備和自動化設備。公司自成立以來始終專注于集成電路測試設備領域,行業深耕多年,掌握集成電路測試設備相關核心技術,技術水
99、平領先。公司致力于提升我國半導體裝備技術水平、積極推動行業升級,長川科技先后被認定為軟件企業、國家級高新技術企業、浙江省重點企業研究院、省級高新技術企業研究開發中心、杭州市企業高新技術研究開發中心。營營收收延續延續增長,盈利水平穩步攀升。增長,盈利水平穩步攀升。長川科技 2022 年前三季度實現營收 17.54 億元,yoy+64.09%,歸母凈利潤 3.25 億元,yoy+151.33%,扣非歸母凈利潤 2.62 億元,yoy+134.44%,前三季度綜合毛利率 54.06%,同比+2.63%,歸母凈利率 18.56%,同比+6.44%。公司 2022Q3 單季度實現營收 5.65 億元,y
100、oy+42.91%,歸母凈利潤 0.8 億元,yoy+101.13%,單季度毛利率 50.38%,歸母凈利率 14.22%。此外,公司預計 2022年全年實現歸母凈利潤 4.5-5.2 億元,同比增長 106.2%-138.3%。公司持續研發并積極拓展新品及市場、與業內知名客戶的深度合作,有效提升公司市場競爭力,業務規模穩步擴大。此外,公司持續優化客戶結構,高端品類收入占比持不斷上升,營業收入和凈利潤的增長率始終維持在較高水平。圖表 58:長川科技營收及增速(億元)圖表 59:長川科技歸母凈利潤及增速(億元)資料來源:Wind,國盛證券研究所 資料來源:Wind,國盛證券研究所 分產品來看,2
101、021 年和 2022H1,公司測試機分別實現收入 4.89 億元和 4.79 億元,同比增長 174.3%和 90.2%,2022 年上半年銷售收入就接近 2021 年全年水平。分選機方面,公司生產的分選機包括重力式分選機、平移式分選機、測編一體機等。2021 年和2022H1 分別實現營收 9.4 億元和 6.4 億元,同比增長 67.6%和 68.4%。此外公司其他業務(設備相關配件銷售及設備維護等)2021 年和 2022H1 分別實現營收 8,566.8 萬元和 6,711.1 萬元,同比增長 28.3%和 68.4%。0%20%40%60%80%100%120%0246810121
102、416182020182019202020212022Q1-Q3營業收入yoy-200%-100%0%100%200%300%400%500%600%700%00.511.522.533.520182019202020212022Q1-Q3歸母凈利潤yoy 2023 年 04 月 05 日 P.36 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 60:長川科技毛利率及凈利率 圖表 61:長川科技分業務毛利率 資料來源:Wind,國盛證券研究所 資料來源:Wind,國盛證券研究所 圍繞市場需求推進研發創新,圍繞市場需求推進研發創新,研發研發投入持續投入持續增長。增長。長川科技 2022
103、 年前三季度研發費用4.5 億元,同比增長 95%,占營收比重 25.7%,公司研發費用率始終保持較高水平。圍繞數字測試機、分選機等產品,公司拓展中高端市場,實現營收高速增長,產品結構持續改善。截至 2021 年底,公司研發人員 925 人,研發人員數量占比 54.9%,人均創收89.7 萬元。圖表 62:長川科技分產品營收(億元)圖表 63:長川科技研發投入及占比(億元)資料來源:Wind,國盛證券研究所 資料來源:Wind,國盛證券研究所 半導體測試系統市場趨勢向上,半導體測試系統市場趨勢向上,SoC 類和數字集成電路測試設備占比較高。類和數字集成電路測試設備占比較高。根據 SEMI,20
104、22 年全球 IC 測試設備市場規模預計為 87.8 億美金,分產品來看,根據 SEMI,2018年國內 IC 測試設備市場規模約 57.0 億元,測試機/分選機/探針臺分別占比63.1%/17.4%/15.2%。2020 年中國大陸 IC 測試設備市場規模 91.4 億元,2015-2020年 CAGR 達 29.3%,高于同期全球水平。隨著我國集成電路產業規模不斷擴大以及全球產能向我國大陸地區加快轉移,集成電路各細分行業對測試設備需求還將不斷增長,國內 IC 測試設備市場需求上升空間較大。海外廠商主導全球半導體測試設備市場,國產替代空間廣闊。海外廠商主導全球半導體測試設備市場,國產替代空間
105、廣闊。集成電路檢測在測試精度、0%10%20%30%40%50%60%20182019202020212022Q1-Q3綜合毛利率歸母凈利率41.1%42.1%42.4%42.7%74.8%71.3%69.9%67.7%59.3%62.7%61.5%61.7%30%35%40%45%50%55%60%65%70%75%80%2018201920202021分選機測試機其他57.6%66.2%69.5%62.0%54.1%024681012141620182019202020212022H1其他主營業務測試機分選機15.0%17.0%19.0%21.0%23.0%25.0%27.0%29.0%3
106、1.0%00.511.522.533.544.5520182019202020212022Q1-Q3研發費用研發費用率 2023 年 04 月 05 日 P.37 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 速度、效率和可靠性等方面要求高。全球先進測試設備制造技術基本掌握在美國、日本等集成電路產業發達國家廠商手中,市場格局呈現泰瑞達、愛德萬、科休等廠商寡頭壟斷。根據 SEMI,泰瑞達、愛德萬兩家公司半導體測試設備合計占全球測試機市場份額超過 66%。通過打入國內測試龍頭企業,長川科技、華峰測控等實現了部分半導體測試設備國產替代,但營收體量相比海外龍頭泰瑞達、愛德萬近年來年收入規模超過 2
107、5 億美金,長川科技收入規模小于 5 億美金,仍有較大替代空間。內生外延打造半導體測試設備綜合供應商。內生外延打造半導體測試設備綜合供應商。長川科技經過多年研發和積累,目前已成為國內領先的集成電路專用測試設備供應商,產品獲得了長電科技、華天科技、通富微電、士蘭微、華潤微電子、日月光等多個一流集成電路企業的使用和認可,已在國內已具備較大規模和一定品牌知名度。公司在鞏固和發展現有業務的同時,重點開拓了探針臺、高端測試機產品、三溫分選機、AOI 光學檢測設備等相關封測設備,不斷拓寬產品線,積極開拓中高端市場。外延方面,外延方面,長川科技于 2019 年完成收購 STI,收購完成后整體經營情況良好,2
108、019 年和 2020 年分別實現凈利潤 313.20 萬新元和 4,460.1 萬元。2022 年長川科技擬收購長奕科技 97.6687%股權至持股 100%,長奕科技主要經營性資產為 EXIS,EXIS 核心產品為轉塔式分選機,下游客戶包括博通、MPS、NXP、比亞迪半導體、通富微電、華天科技等國內外知名廠商。長川科技與 EXIS 在銷售渠道、技術研發等領域具有較強的協同效應,此次收購將進一步完善公司產品品類,提升公司盈利能力的同時鞏固核心競爭力。長川科技公司當前多維度拓寬業務布局,內生有機增長與外延收購并舉,成長可期。風險提示:新產品客戶導入進展不及預期,行業周期下行風險。7.3 新益昌
109、新益昌:國產固晶設備龍頭,國產固晶設備龍頭,Mini LED、半導體雙輪驅動成長、半導體雙輪驅動成長 深耕十六年,深耕十六年,LED 固晶龍頭。固晶龍頭。新益昌成立于 2006 年,現為國內 LED 固晶機、鋁電解電容器老化測試智能制造裝備領域的領先企業。至 2019 年,公司從單一的電子測試設備和元器件的加工生產發展成擁有 LED 固晶機、半導體固晶機、電容器老化測試設備、鋰電池設備等系列產品的大型智能制造裝備企業。公司 2018 年全球固晶設備市場的占有率為 6%,位列全球第三,國內 LED 固晶機市占率約 28%,是國內 LED 固晶機領域的領跑者。新益昌 2022 年前三季度實現營收
110、10.1 億元,yoy+26.4%,歸母凈利潤 2.1 億元,yoy+32.8%,扣非歸母凈利潤 1.9 億元,yoy+27.8%,前三季度綜合毛利率 44.2%,同比+1.1%,凈利率 20.7%,同比+1.0%,公司 22Q3 單季度實現營收 3.6 億元,yoy+19.5%,qoq+22.5%,歸母凈利潤 0.86 億元,yoy+50.2%,qoq+68.4%;單季度毛利率 46.2%,yoy+3.3%,qoq+0.6%,凈利率 23.9%,yoy+4.8%,qoq+6.5%。2023 年 04 月 05 日 P.38 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 64:新益昌
111、營業收入 圖表 65:新益昌歸母凈利潤 資料來源:Wind,國盛證券研究所 資料來源:Wind,國盛證券研究所 LED 固晶機和電容器老化設備是收入主要來源,半導體和鋰電池收入大幅提升。固晶機和電容器老化設備是收入主要來源,半導體和鋰電池收入大幅提升。2022H1,公司營業收入 6.45 億元,其中 LED 固晶機收入 5.21 億元和電容器老化設備收入 1.02 億元,LED 固晶機和電容器老化設備占比 61.93%。2021 年,半導體封裝收入 2.15 億元,同比增速達 877%;鋰電池收入 0.31 億元,同比增速為 63.16%。圖表 66:新益昌營收結構(億元)圖表 67:新益昌分
112、產品毛利率 資料來源:Wind,國盛證券研究所 資料來源:Wind,國盛證券研究所 終端應用推進超預期,奠定終端應用推進超預期,奠定 Mini LED 商用元年。商用元年。Mini LED 背光是液晶顯示技術路徑的重要創新方向,Mini LED 顯示是繼 LED 戶內外顯示屏、LED 小間距之后 LED 顯示技術升級的新產品。當前,蘋果、三星等多家品牌廠商都已開始推出 Mini LED 背光相關產品,行業風向標的入局,推動 Mini LED 商業化加速。當前 Mini LED 背光方案已經進入爆發期,預計 2023 年開始將有更多的相關產品出現。Mini RGB 直顯注重商用顯示器等市場需求,
113、在商業顯示、電子產品裝飾燈、車尾燈或氣氛燈等領域具有優勢,亦逐漸替代傳統的小間距等超大尺寸顯示方案。超級電容器持續滲透,鋰電池帶來成長新動能。超級電容器持續滲透,鋰電池帶來成長新動能。超級電容器作為新型高效儲能器件,廣泛應用于國防軍工、軌交、發電、消費電子等重要領域。新益昌在電容器設備領域已成為國內知名電容器廠商首選設備品牌之一。2020 年國內超級電容器市場規模為 155 億元,公司有望受益國內超級電容持續滲透率帶來的設備需求增長。受新能源汽車帶動,鋰電池尤其是動力鋰電池產量保持高速增長態勢,公司自 2017 年開始切入鋰電池設備-20-100102030405060708002468101
114、2142019202020212022Q1-Q3營收(億元)同比(%)毛利率(%)-2002040608010012014000.511.522.52019202020212022Q1-Q3歸母凈利潤(億元)同比(%)ROE凈利率024681012142019202020212022Q1-Q3其他業務配件及維修費Mini LED固晶機半導體封裝設備鋰電池設備電容器設備LED封裝設備0%10%20%30%40%50%60%70%80%201920202021LED封裝設備電容器設備鋰電池設備半導體封裝設備Mini LED固晶機配件及維修費其他業務 2023 年 04 月 05 日 P.39 請仔
115、細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 領域,產品已涵蓋卷繞機、制片機、及制片卷繞一體機等鋰電池設備,鋰電池設備或將增厚公司營收空間。切入半導體固晶機打開新成長空間。切入半導體固晶機打開新成長空間。Mini LED 對固晶設備的更高精度要求,拉動了傳統LED 封裝產線升級,新益昌作為國內 LED 固晶機龍頭,技術實力領先,且具備核心零部件自研自產能力,GS300、HAD8606 系列產品逐漸成為主流的 LED 轉移設備方案。三星、鴻利智匯、國星光電、瑞豐光電等均為公司客戶,預計將有更多封測廠商跟進封裝轉移設備升級,公司將直接受益。在 LED 固晶機基礎上,公司逐步向半導體固晶機躍遷,產品
116、已成功導入晶導微、燦瑞科技、揚杰科技、通富微、固锝電子等知名公司,短期受益固晶機國產替代,長期有望橫向拓展,擁抱更廣闊市場空間。固晶機和焊線機是封裝中占比較高的設備。固晶機和焊線機是封裝中占比較高的設備。封裝設備包括固晶機、焊線機、電鍍設備、減薄機、劃片機等。半導體封裝測試工藝流程包括磨片、劃片、裝片、固晶、塑封等多個環節,其中設備價值量占比最高的為固晶機和焊線機,占比各為 28%,兩者對芯片生產過程中的良率控制至關重要。圖表 68:全球封裝設備市場規模及增速(億美元、%)圖表 69:各類封裝設備占比 資料來源:VLSI,國盛證券研究所 資料來源:VLSI,國盛證券研究所 封裝市場國產化率低,
117、外資龍頭占據主導地位。封裝市場國產化率低,外資龍頭占據主導地位。封測設備市場龍頭先發優勢大,在該領域內積累了數十年的經驗。且下游客戶對精度、穩定性和一致性要求嚴格,認證壁壘極高,造就了行業內較高的集中度,龍頭均為外資公司,如 ASMPT、K&S、Advantest 等。根據 MIR DATABANK 統計,2021 年封測設備各環節綜合國產化率僅為 10%,其中焊線機、固晶機、劃片機環節的國產化率最低,為 3%。預計 2025 年末綜合國產化率有望達到 18%,國產化空間廣闊。圖表 70:封測各環節設備國產化率 設備類型 2017 2021 2025E 外資廠商 引線鍵合(焊線機)1%3%10
118、%ASMPT、K&S、Kaijo、Shinkawa 固晶機(貼片機)1%3%12%ASMPT、Besi、Canon、Shinkawa 劃片機 1%3%10%Disco、Accretech 測試機 5%15%25%Teradyne、Advantest、Cohu 分選機 10%21%35%Advantest、Cohu 探測臺 4%9%20%TEL、Accrertech、Formfacor 綜合國產化率 4%10%18%-資料來源:大族封測招股說明書,MIR DATABANK,國盛證券研究所 -40%-30%-20%-10%0%10%20%30%40%50%60%010203040506070201
119、72018201920202021E2022E2023E封測設備市場規模(億美元)yoy28%28%14%30%固晶引線鍵合電鍍&塑封檢驗、劃片及其他 2023 年 04 月 05 日 P.40 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 71:封裝設備海外龍頭公司 公司名稱 簡介 主要產品 2021 年營收(億元)2021 凈利潤(億元)ASMPT 成立于 1975 年,是一家為半導體封裝及電子產品生產的所有工藝步驟提供技術和解決方案的全球知名設備制造商,包括從半導體封裝材料和后段(芯片集成、焊接、封裝)到 SMT 工藝,是全球主要的后端半導體生產設備供應商。金線及鋁線焊接機、管
120、芯焊機、晶積度焊珠距陣分離系統、焊接機設備、高精準之激光二極管焊機等。180.53 25.91 K&S 成立于 1951 年,系全球領先的半導體及 LED 封裝設備商,其焊線機全球領先,球焊機市場占有率第一。線球焊線機,重型線楔形粘合機,晶圓級鍵合機等。98.43 23.81 Shinkawa 成立于 2019 年,為雅馬哈旗下公司,負責半導體制造設備的研究、開發、設計、制造、銷售和維修服務。焊線機、FC 焊線機、固晶機等。-Kaijo 成立于 1948 年,以超聲波技術為基礎,融入尖端的電子和機電一體化技術,在半導體、醫療、汽車、工業等領域提供整體解決方案。焊線機、超聲波清洗設備。-資料來源
121、:大族封測招股說明書,各公司官網,Wind,國盛證券研究所 2020-2023 年間,全球固晶機市場規模高速增長,CAGR 達 26.3%。預計 2022 年市場規模將達 19.12 億美元,同比增速為 20.9%。圖表 72:全球固晶機市場規模及增速(百萬美元、%)資料來源:Besi 官網,VLSI,國盛證券研究所 新益昌新益昌布局半導體固晶機,卡位國產替代歷史性機遇。布局半導體固晶機,卡位國產替代歷史性機遇。由于半導體封裝和 LED 封裝在流程上具有相似性,也同樣有“固晶”這道工序,公司憑借 LED 固晶領域深厚的研發實力和持續的技術創新能力,于 2017 年開展半導體封裝設備的研發,并成
122、功推出半導體固晶機設備。公司當前產品以功率封裝為主,現已成功導入晶導微、燦瑞科技、揚杰科技、通富微電、固锝電子等知名公司。2021 年公司半導體固晶機業務營收達 2.15 億元,同比增速達 877%。在當前國內半導體產業鏈加速推動國產替代的大趨勢下,公司有望深度受益,鞏固競爭優勢,進一步推動半導體固晶機國產替代。0%10%20%30%40%50%60%70%05001000150020002500202020212022E2023E固晶機市場規模(百萬美元)yoy 2023 年 04 月 05 日 P.41 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 73:新益昌半導體封裝設備營收
123、及同比增速(億元、%)圖表 74:新益昌 HAD816-A 自動高速固晶機 資料來源:Wind,國盛證券研究所 資料來源:新益昌官網,國盛證券研究所 焊線機技術門檻高,價值量占封裝設備市場焊線機技術門檻高,價值量占封裝設備市場 32%。引線鍵合作為封裝環節最關鍵的步驟之一,具有極高的技術壁壘,使用的焊線設備對速度、精度、穩定性有嚴格要求,核心難點在于控制引線在焊盤的鍵合質量以及引線在三維空間的線弧軌跡。根據 SEMI 研究統計,在半導體前道與后道工序的全生命周期制程中,封裝設備約占半導體設備市場規模的 6%,其中焊線機占封裝設備市場規模的 32%。按此測算,焊線機占半導體制程設備市場規模的比重
124、為 1.92%,全球焊線機市場規模由 2015 年的 7.01 億美元增長至2022 年的 21.95 億美元,2015-2022 年年均增速為 17.71%。焊線機市場高度集中,焊線機市場高度集中,CR3 超超 95%。焊線機市場被外資長期壟斷,市占率前三位 K&S、ASM 和 Kaijo,市占率分別為 60%、30%、8%。K&S 全稱為 Kulicke&Soffa,自動焊線機產品近五年的市場占有率都超過了 60%,近年來通過戰略收購和自主研發,增加了先進封裝、電子裝配、楔焊機等產品,同時配合其核心產品擴大其耗材的產品范圍,進一步鞏固了其焊線機龍頭地位。圖表 75:全球焊線機市場規模及增速
125、(億美元、%)圖表 76:半導體焊線機競爭格局 資料來源:大族封測招股說明書,國盛證券研究所 資料來源:鼎暉百孚平臺,國盛證券研究所 新益昌新益昌收購開玖自動化,切入焊線機領域。收購開玖自動化,切入焊線機領域。2021 年 7 月 2 日,公司為進一步延伸在半導體封裝設備領域的產品廣度,以合計 4,500 萬元的自有資金收購深圳市開玖自動化設備有限公司 75%的股權。開玖成立于 2010 年 7 月,專注于半導體封裝設備領域,其核心-200%0%200%400%600%800%1000%1200%00.511.522.520172018201920202021半導體封裝設備營收(億元)yoy-
126、20%-10%0%10%20%30%40%50%60%70%05101520252015 2016 2017 2018 2019 2020 2021 2022E全球焊線機市場規模(億美元)同比60%30%8%2%K&SASMKaijo其他 2023 年 04 月 05 日 P.42 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 技術研發人員有 1018 年的行業經驗,積累了六十多項發明創新成果。公司主導產品為全自動超聲波引線鍵合設備,是國內 TO56 焊線機(三維立體引線鍵合)行業的開拓者,K900 系列在 TO56 封裝的光通訊器件和激光顯示器件的引線鍵合設備市場上占有80%以上份額。協
127、同布局,半導體焊線機有望突破放量。協同布局,半導體焊線機有望突破放量。開玖自動化 2021 年 8 月 12 日至 2021 年底營業收入為 2366.81 萬元,凈利潤為-224.80 萬元;2022H1 營收為 792.95 萬元,凈利潤為-314.44 萬元。自收購以來,公司重點投入資金、人力和技術支持,加大開發力度,開玖在維持光通訊焊線機等設備銷售的同時,協同公司整體布局、研發 LED 和半導體的焊線機,2022H2 將推出半導體焊線機樣機。預計后續隨著公司協助進行市場開拓,幫助導入客戶,開玖自動化的半導體焊線機業務將迅速增長。圖表 77:開玖自動化焊線機產品矩陣完善 產品類型產品類型
128、 產品型號產品型號 產品圖示產品圖示 應用范圍應用范圍 核心優勢核心優勢 焊線機 K940 在光通訊領域(如 2.5G、10G、25G、40G 光器件)和激光顯示(如激光電視、激光投影等)領域應用廣泛。采用德國 HEIDNHAIN 光柵和音圈直驅電機,進口精密交叉滾針導軌,配合成熟穩定的 VCM 直驅控制系統、高速雙頻超聲系統,焊接動作高速穩定;配套工藝、技術服務完善。K930 可用于 TO46/TO39、VCSEL 等等TO 封裝的激光二極管、熱電堆溫度傳感器、光電探測器、MEMS 等半導體器件封裝。K950 主要用于光通訊領域高速率40G/100G 光模塊封裝生產。粗鋁絲壓焊機 K550
129、可用于新能源車的電池封裝,如特斯拉電動車電池模塊的封裝就是使用的這種超聲波鋁絲引線鍵合技術。XYZ 三軸和壓力調節均采用線性直驅電機,精度高、速度快、耐磨損,長期使用后不會產生機械間隙;配備焊接質量監控系統。K530 可用于 TO-220、TO-263、TO-252、TO-247、TO-3P 等功率半導體器件的引線鍵合。非標焊線機 F900 應用于光器件、光模塊、光電探測器、熱電堆溫度傳感器、微波器件、SENSOR、MEMS、IC 等等半導體器件封裝。高度定制化,在系統級混合封裝、特殊封裝、異形封裝、特殊引線鍵合、SIP封裝等等領域應用廣泛。資料來源:開玖自動化官網,國盛證券研究所 風險提示:
130、新產品研發進展不及預期,下游客戶拉貨節奏不及預期。2023 年 04 月 05 日 P.43 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 7.4 長電科技長電科技:國產封測龍頭,先進封裝注入成長新動力:國產封測龍頭,先進封裝注入成長新動力 長電科技是國內封裝測試龍頭廠商,主營業務為集成電路、分立器件的封裝與測試。長電科技是國內封裝測試龍頭廠商,主營業務為集成電路、分立器件的封裝與測試。為海內外客戶提供涵蓋封裝設計、焊錫凸塊、針探、組裝、測試、配送等一整套半導體封裝測試解決方案。目前公司產品主要有 QFN/DFN、BGA/LGA、FCBGA/LGA、FCOL、SiP、WLCSP、Bumpi
131、ng、MEMS、Fan-out eWLB、POP、PiP 及傳統封裝 SOP、SOT、DIP、TO等多個系列。八大基地布局,全面覆蓋高中低端產品。八大基地布局,全面覆蓋高中低端產品。公司目前可以分為長電本部及旗下子公司星科金朋與長電韓國。長電本部包括江陰基地、滁州廠、宿遷廠與長電先進四個生產基地長電本部包括江陰基地、滁州廠、宿遷廠與長電先進四個生產基地,星科金朋包括星科金朋江陰、星科金朋新加坡與星科金朋韓國星科金朋包括星科金朋江陰、星科金朋新加坡與星科金朋韓國,此外長電韓國(JSCK)為長電科技在韓國新設立的 SIP 封裝廠,主要是為了配合星科金朋韓國(SCK),共同開拓國內外客戶。長電科技發
132、布長電科技發布 2022 年報。年報。公司 2022 年全年實現營收 337.62 億元,yoy+10.69%,歸母凈利潤 32.31 億元,yoy+9.20%,扣非歸母凈利潤 28.30 億元,yoy+13.81%,全年綜合毛利率 17.04%,同比-1.37%,凈利率 9.57%,同比-0.13%。面對半導體市場周期下行,公司通過積極靈活調整訂單結構和產能布局,推進產品結構優化,加速從消費類向市場需求快速增長的汽車電子,5G 通信,高性能計算、存儲等高附加值市場的戰略布局,持續聚焦高性能封裝技術高附加值應用,實現了穩健的增長。分下游應用領域來看,公司 2022 年營收中通訊電子占比 39.
133、3%、消費電子占比 29.3%、運算電子占比 17.4%、工業及醫療電子占比 9.6%、汽車電子占比 4.4%,與去年同期相比消費電子下降 4.5 個百分點,運算電子增長 4.2 個百分點,汽車電子增長 1.8 個百分點。測試領域,公司引入 5G 射頻,車載芯片,高性能計算芯片等更多的測試業務,相關收入同比增長達到 25%。圖表 78:長電科技營收及增速(億元)圖表 79:長電科技歸母凈利潤情況(億元)資料來源:Wind,國盛證券研究所 資料來源:Wind,國盛證券研究所 聚焦關鍵應用領域,面向全球市場,提供高端定制化封裝測試解決方案和配套產能長電聚焦關鍵應用領域,面向全球市場,提供高端定制化
134、封裝測試解決方案和配套產能長電科技聚焦關鍵應用領域科技聚焦關鍵應用領域。公司在 5G 通信類、高性能計算、消費類、汽車和工業等重要領域擁有行業領先的半導體先進封裝技術(如 SiP、WL-CSP、FC、eWLB、PiP、PoP 及XDFOI系列等)以及混合信號/射頻集成電路測試和資源優勢,并實現規模量產。長期看長電科技通過與全球客戶深入合作磨練出的工藝技術核心能力,形成差異化競爭優勢,有望持續受益焦高性能封裝技術高附加值應用占比提升。風險提示:封測行業景氣度不及預期,新技術研發及導入客戶進展不及預期。-4.0%-2.0%0.0%2.0%4.0%6.0%8.0%10.0%12.0%14.0%16.
135、0%18.0%05010015020025030035040020182019202020212022營業收入yoy-15-10-50510152025303520182019202020212022歸母凈利潤 2023 年 04 月 05 日 P.44 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 7.5 通富微電通富微電:AMD 加持加持,產品結構持續優化,產品結構持續優化 國內集成電路封測領軍企業之一,涵蓋先進封測國內集成電路封測領軍企業之一,涵蓋先進封測技術。技術。通富微電成立于 1997 年,2007年深交所上市。公司主營業務為集成電路封裝測試,封裝方面目前已擁有 Bumping
136、、WLCSP、FC、BGA、SiP 等先進封測技術,QFN、QFP、SO 等傳統封測技術以及汽車電子產品、MEMS 等封測技術;測試方面目前已覆蓋圓片測試、系統測試等測試技術。通富微電產品線更專注于 FC、Bumping 和存儲方向,受益下游客戶 AMD 和存儲客戶彈性大。通富微電發布通富微電發布 2022 年報。年報。公司 2022 年全年實現營收 214.29 億元,yoy+35.52%,公司積極調整產品業務結構,加大市場調研與開拓力度,持續服務好大客戶,憑借 7nm、5nm、FCBGA、Chiplet 等先進技術優勢,強化與 AMD 等行業領先企業的深度合作,鞏固和擴大先進產品市占率。公
137、司 2022 年實現歸母凈利潤 5.02 億元,yoy-47.53%,扣非歸母凈利潤 3.57 億元,yoy-55.21%,全年綜合毛利率 13.90%,同比-3.26%,凈利率2.34%,同比-3.71%。受匯率波動影響,公司產生匯兌損失,因此減少歸屬于母公司股東的凈利潤 2.11 億元,此外由于半導體周期下行,部分終端產品需求疲弱,對公司產能利用率和毛利率產生不利影響,與此同時公司持續加大 Chiplet 等先進封裝技術研發創新投入,短期研發費用增加對利潤有一定影響,長期公司高端產品占比有望持續提升。圖表 80:通富微電營業收入及增速(億元)圖表 81:通富微電歸母凈利潤及增速(億元)資料
138、來源:Wind,國盛證券研究所 資料來源:Wind,國盛證券研究所 先進封裝技術領先,多樣化布局。先進封裝技術領先,多樣化布局。公司提前布局多芯片組件、集成扇出封裝、2.5D/3D 等先進封裝技術方面,可為客戶提供多樣化的 Chiplet 封裝解決方案,并且已為 AMD 大規模量產 Chiplet 產品。FCBGA 封裝技術方面行業領先,已完成 5nm 制程的 FC 技術產品認證,逐步推進 13 顆芯片的 MCM 研發,FCBGA-MCM 高散熱技術方面具備了 Indium TIM 等行業前沿材料的穩定量產能力。Fanout 技術達到世界先進水平,高密度扇出型封裝平臺完成 6 層 RDL 開發
139、;2.5D/3D 先進封裝平臺取得突破性進展,BVR 技術實現通線并完成客戶首批產品驗證,2 層芯片堆疊的 CoW 技術完成技術驗證。定增落地,積極擴產迎接產業機會。定增落地,積極擴產迎接產業機會。公司 2022 年定增募集資金總額 26.9 億元,擬用于存儲器芯片封裝測試生產線建設項目、高性能計算產品封裝測試產業化項目、5G 等新一代通信用產品封裝測試項目、圓片級封裝類產品擴產項目、功率器件封裝測試擴產項目、補充流動資金及償還銀行貸款。募投項目均圍繞公司主營業務展開,產能釋放將助力公司更好的抓住市場機遇,滿足客戶持續增長的需求,同時規模優勢凸顯,持續提升公司核心競爭力,支撐公司長期增長。國內
140、封測行業龍頭,國內封測行業龍頭,先發優勢明顯。先發優勢明顯。通富微電在高性能計算、5G 通訊產品、存儲器和顯示驅動、汽車電子、功率 IC 等先進產品領域已有較為完善的產業生態鏈和深度合作的優0%5%10%15%20%25%30%35%40%45%50%05010015020025020182019202020212022營業收入yoy-200%0%200%400%600%800%1000%1200%1400%1600%1800%02468101220182019202020212022歸母凈利潤yoy 2023 年 04 月 05 日 P.45 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明
141、 質客戶。通富微電與 50%以上世界前 20 強半導體企業和絕大多數國內知名 IC 設計公司均有合作,客戶粘性強,將持續受益于先進封裝的產業趨勢和國產化需求的推進。風險提示:行業景氣不及預期,市場競爭加劇。7.6 偉測科技偉測科技:內資第三方集成電路測試內資第三方集成電路測試領先廠商領先廠商 偉測科技成立于 2016 年,是國內知名的第三方集成電路測試服務企業,主營業務包括晶圓測試、芯片成品測試以及與集成電路測試相關的配套服務。公司測試的晶圓和成品芯片在類型上涵蓋 CPU、MCU、FPGA、SoC 芯片、射頻芯片、存儲芯片、傳感器芯片、功率芯片等芯片種類,在工藝上涵蓋 6nm、7nm、14nm
142、 等先進制程和 28nm 以上的成熟制程。目前公司已布局 5G 通訊、智能穿戴、傳感器、存儲等芯片的測試解決方案產品,擁有國際先進水平的集成電路測試裝備,可以覆蓋市場上 80%的主流集成電路產品的檢測??蛻糍Y源優質??蛻糍Y源優質。公司堅持“以晶圓測試為核心,積極發展中高端芯片成品測試”的差異化競爭策略,成為第三方集成電路測試行業成長性最為突出的企業之一。公司的技術實力、服務品質、產能規模獲得了行業的高度認可,積累了廣泛的客戶資源。目前公司客戶數量超過 200 家,客戶類型覆蓋芯片設計、制造、封裝、IDM 等,其中包括紫光展銳、中興微電子、晶晨半導體、中穎電子、比特大陸、卓勝微、兆易創新、長電科
143、技、中芯國際等國內外知名廠商。偉測科技發布偉測科技發布2022年業績快報。年業績快報。公司預計2022年實現營收7.33億元,同比增長48.6%,實現規模凈利潤 2.50 億元,同比增長 89.1%,實現扣非歸母凈利潤 1.98 億元,同比增長 55.4%。2022 年下游集成電路測試需求尤其是高端測試需求旺盛,公司持續擴大測試產能,不斷提升測試品質及服務質量,同時加大對新老客戶產品的測試開發力度,使得集成電路測試收入保持較快增長。圖表 82:偉測科技營業收入及增速(億元)圖表 83:偉測科技歸母凈利潤及增速(億元)資料來源:Wind,偉測科技業績快報,國盛證券研究所 資料來源:Wind,偉測
144、科技業績快報,國盛證券研究所 IPO 募投加碼集成電路測試產能擴充及研發投入。募投加碼集成電路測試產能擴充及研發投入。公司上市募集資金約 6.1 億元,用于無錫偉測擴產及集成電路測試研發中心項目,及補充流動資金。公司持續投入研發,提升技術水平,增厚壁壘,保持核心競爭力,在強大的客戶資源基礎上,公司測試產能的擴充,為長期發展提供重要支撐。0%50%100%150%200%250%01234567820182019202020212022E營業收入yoy0%50%100%150%200%250%300%00.511.522.5320182019202020212022E歸母凈利潤yoy 2023
145、年 04 月 05 日 P.46 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 84:偉測科技 IPO 募投項目情況(萬元)項目名稱項目名稱 投資總額投資總額 募集資金投入募集資金投入 無錫偉測半導體科技有限公司集成電路測試產能建設項目 48,828.82 48,828.82 集成電路測試研發中心建設項目 7,366.92 7,366.92 補充流動資金 5,000.00 5,000.00 合計 61,195.74 61,195.74 資料來源:偉測科技招股說明書,國盛證券研究所 風險提示:擴產進度不及預期,客戶拓展及導入不及預期。7.7 甬矽電子甬矽電子:封測界后起之秀,聚焦封測界
146、后起之秀,聚焦中高端業務中高端業務 聚焦先進封裝,產品結構完善優質。聚焦先進封裝,產品結構完善優質。甬矽電子成立于 2017 年 11 月,主要聚焦集成電路封測中的先進封裝領域,主要終端包括消費類電子、汽車電子、工規產品等。公司在國內獨立封測企業中排名第 11,在內資獨立封測企業中排名第 6,技術實力和規模均在前列。且銷售收入主要來自于中高端封裝產品,并在射頻前端芯片封測、AP 類 SoC 芯片封測、觸控 IC 芯片封測、WiFi 芯片封測、藍牙芯片封測、MCU 等物聯網(IoT)芯片封測等新興應用領域具有良好的市場口碑和品牌知名度。堅持研發,技術獨立自主。堅持研發,技術獨立自主。截至 202
147、2 年 6 月 30 日,公司已經取得的專利共 186 項,其中發明專利 88 項、實用新型 96 項、外觀專利 2 項。2019 年-2022 年 6 月,公司研發投入金額分別為 2,826.50 萬元、4,916.63 萬元、9,703.86 萬元和 6,021.12 萬元,呈穩定上升趨勢。未來公司將根據自身發展戰略和市場需求情況,繼續加大研發投入力度,持續完善研發人員儲備戰略,提高研發人員的專業能力。公司在高密度細間距凸點倒裝產品(FC 類產品)、系統級封裝產品、4G/5G 射頻功放封裝技術、高密度大尺寸框架封裝產品、MEMS 封裝產品、IC 測試等領域均具擁有核心技術,且穩定量產??蛻?/p>
148、資源優秀??蛻糍Y源優秀。憑借穩定的封測良率、靈活的封裝設計實現性、不斷提升的量產能力和交付及時性,恒玄科技、晶晨股份、富瀚微、聯發科、北京君正、鑫創科技、全志科技、匯頂科技、韋爾股份、唯捷創芯、深圳飛驤、翱捷科技、銳石創芯、昂瑞微、星宸科技等行業內知名芯片企業建立了合作關系,并多次獲得客戶授予的最佳供應商等榮譽。甬矽電子發布甬矽電子發布 2022 年業績年業績快報快報。公司預計 2022 年全年實現營收 21.84 億元,同比增長 6.31%。實現歸母凈利潤 1.43 億元,同比下降 55.62%。預計實現扣非歸母凈利潤0.64 億元,同比減少 78.20%。受產業周期性波動及國內外大環境反復
149、等影響,以消費電子為代表的終端市場需求出現下滑,2022 年下半年公司部分產品銷售單價降低,產能利用率亦有所下滑;此外隨著募投項目和二期項目的實施及陸續開展,公司固定資產折舊等固定費用較上年同期有所增加,對公司毛利率產生不利影響。2023 年 04 月 05 日 P.47 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 85:甬矽電子營業收入及增速(億元)圖表 86:甬矽電子歸母凈利潤(億元)資料來源:Wind,甬矽電子業績快報,國盛證券研究所 資料來源:Wind,甬矽電子業績快報,國盛證券研究所 IPO 募集資金投資額募集資金投資額 15 億元,分別用于高密度億元,分別用于高密度 S
150、iP 射頻模塊封測項目、集成電路先進射頻模塊封測項目、集成電路先進封裝晶圓凸點產業化項目。封裝晶圓凸點產業化項目。一方面緩解產能瓶頸,提高市占率,另一方面可完善倒裝類封裝產品制程,補全公司生產工藝短板,為 Fan-Out、WLCSP 等擬開發的先進封裝產品提供工藝支持。公司現有的 SiP 等先進封裝技術是 Chiplet 模式的重要實現基礎,Chiplet模式的興起有望驅動先進封裝市場快速發展。公司在 SiP 領域具備豐富的技術積累,同時通過實施晶圓凸點產業化項目布局“扇入型封裝”(Fan-in)、“扇出型封裝”(Fan-out)、2.5D、3D 等晶圓級和系統級封裝應用領域,為進一步拓展異構
151、封裝領域打下基礎。圖表 87:甬矽電子 IPO 募投項目情況(萬元)項目名稱項目名稱 投資總額投資總額 擬投入募集資金金額擬投入募集資金金額 高密度 SiP 射頻模塊封測項目 143,162 110,000 集成電路先進封裝晶圓凸點產業化項目 55,908 40,000 合計 199,070 150,000 資料來源:甬矽電子招股說明書,國盛證券研究所 風險提示:產品升級迭代進展不及預期,市場競爭加劇,行業周期下行風險。7.8 興森科技興森科技:IC 載板國產替代載板國產替代拓荒者拓荒者 興森科技成立于 1999 年,目前主營業務圍繞 PCB 以及半導體這兩大業務主線開展。興森科技一直致力于國
152、內外高科技電子企業和科研單位的服務,產品下游應用領域十分之廣。另外公司也通過 PCB 樣板所積累下來的領先技術優勢,深入 IC 載板領域,并開拓半導體測試板業務;同時積極拓展 PCB 批量板產能,與 PCB 樣板業務實現協同,將自身打造成 PCB 一站式解決廠商;此外半導體測試板及 IC 封裝基板也幫助公司實現半導體封裝測試領域的國產替代領先者。興森科技:乘勝追擊,產能擴張正當時。興森科技:乘勝追擊,產能擴張正當時。目前公司主要在建項目包括珠海興科項目、宜興硅谷印刷板二期工程項目和廣州 FCBGA 封裝基板生產和研發基地項目。珠海興科項目中,第一條 IC 封裝基板的產線(1.5 萬平方米/月)
153、進展順利,預計年底實現單月 90%0%20%40%60%80%100%120%140%160%180%200%051015202520182019202020212022營業收入yoy-1-0.500.511.522.533.520182019202020212022歸母凈利潤 2023 年 04 月 05 日 P.48 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 以上的產能利用率。宜興生產基地二期工程完全達產后,將提供 96 萬平方米/年的產能,產品將應用于 5G 通信、Mini LED、服務器和光模塊等領域。廣州生產基地于 2021 年新增了月產 1.5 萬平方米的中、高端、多層樣板
154、的產線,目前,其中 7,000 萬平方米/月產能已達產。公司在 2022 年宣布了位語廣州和珠海兩個 FCBGA 項目投資,廣州項目預計于 2023 年底前后建成,目前正在進行前期建設準備工作和設備采購。圖表 88:興森科技主要在建項目(億元)項目名稱項目名稱 總投資額總投資額 規劃新建產能規劃新建產能 預計投產時間預計投產時間 珠海興科項目 16 3 萬平方米/月 IC 封裝基板、1.5 萬平方米/月類載板 已于 2022Q2 建成 1.5 萬平方米/月的新產能,2022Q3 開始量產爬坡。宜興硅谷印刷線路板二期工程項目 15.8 96 萬平方米/年 邊建設邊投產。廣州興森二期工程 6.46
155、 12.36 萬平方米/年剛性板、12 萬平方米/年封裝基板 已投產。廣州FCBGA封裝基板生產和研發基地項目 60 2,000 萬顆/月 2022 年 9 月實現廠房封頂,目前正進行廠房裝修,預計 2023 年第四季度完成產線建設,開始試產。珠海FCBGA封裝基板項目 12 200 萬顆/月 2022 年 12 月底建成并成功試產,預計 2023年第二季度開始啟動客戶認證、第三季度進入小批量試生產階段。資料來源:興森科技公司公告,國盛證券研究所 興森科技為國內為數不多的興森科技為國內為數不多的 IC 載板廠商,大力擴產載板產能用以滿足行業需求,進行載板廠商,大力擴產載板產能用以滿足行業需求,
156、進行國產替代及對新增市場的占領。國產替代及對新增市場的占領。根據公司公告,廣州興科 BT 載板、廣州和珠海 FCBGA封裝基板項目的整體投資規模為 102 億,有望看到公司未來實現 IC 載板產品線的全覆蓋,隨著公司新增載板產能逐步爬坡投產,以及行業當前供需緊張的態勢,有望充分受益國內半導體的巨大封裝需求,加速提升載板業務的收入規模和貢獻業績。公司 2022 年全年實現營收 53.54 億,同比增長 6.23%;歸母凈利潤 5.26 億,同比下降15.42%,主要是因為 PCB 行業面臨需求不振和競爭加劇的雙重壓力,增長不達預期,FCBGA 封裝基板項目仍處于建設階段,未產生收入貢獻,但整體人
157、工成本、研發投入、試生產損耗等對公司利潤形成較大拖累,FCBGA 封裝基板項目全年費用投入約 1.02 億元。圖表 89:興森科技營業收入情況(億元)圖表 90:興森科技歸母凈利潤情況(億元)資料來源:Wind,國盛證券研究所 資料來源:Wind,國盛證券研究所 0.0%5.0%10.0%15.0%20.0%25.0%30.0%010203040506020182019202020212022營業收入yoy-40.0%-20.0%0.0%20.0%40.0%60.0%80.0%100.0%0123456720182019202020212022歸母凈利潤yoy 2023 年 04 月 05 日
158、 P.49 請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 圖表 91:興森科技盈利水平情況(%)圖表 92:興森科技研發投入情況(億元)資料來源:Wind,國盛證券研究所 資料來源:Wind,國盛證券研究所 興森 2022 年 12 月 17 日公告,深圳市興森快捷電路科技股份有限公司同意公司全資子公司廣州興森投資有限公司以 176.61 億日元(稅前,按 20.3 日元=1 元人民幣的匯率計算為 8.7 億元人民幣,定價基準日為 2022 年 6 月 30 日)作為基礎購買價格(將就凈資產變動額等調整項對基礎購買價格進行調整)收購揖斐電株式會社(Ibiden Co,Ltd.)持有的揖斐電
159、電子(北京)有限公司 100%股權。本次交易完成后,興森投資將持有北京揖斐電 100%的股權,北京揖斐電將成為公司全資孫公司,納入公司合并報表范圍。未來,公司計劃引入其他戰略股東入股北京揖斐電共謀發展,持續加大研發力度,并增加對先進設備和工藝的投資,推進產品和技術的持續升級,提高其產品附加值。北京揖斐電是揖斐電于 2000 年 12 月在北京經濟技術開發區注冊成立的全資子公司,其專注于面向移動通訊用印制電路板產品,以高性能微小導孔和微細線路的高密度互連電路板(普通 HDI 和 Anylayer HDI)為主要產品,主要應用于智能手機、可穿戴設備、平板電腦等消費類終端電子產品,與國內外主流手機廠
160、商在高端印制電路板產品領域建立了穩定的合作關系。近年來持續投入以促進產品和技術升級,開發并量產 mSAP 流程的類載板(SLP)和模組類封裝基板產品,豐富了產品線并進一步鞏固了其在客戶群體中高端印制電路板領先廠商地位。風險提示:FCBGA 項目投產進展或客戶導入不及預期,市場競爭加劇。八、風險提示八、風險提示 需求需求不及預期:不及預期:半導體行業具有較強的周期性,全球半導體行業在技術驅動和宏觀經濟的影響下呈周期波動發展。宏觀經濟波動、半導體下游行業產品生命周期變化、半導體產業技術升級、終端消費者消費習慣變化均可能導致半導體周期轉換。2022 年以來半導體處于下行周期,對封測廠及其供應商均帶來
161、不利影響,若半導體行業需求回暖不及預期,則可能影響封測產業鏈短期營收利潤增長。中美貿易摩擦帶來的地緣政治風險中美貿易摩擦帶來的地緣政治風險:若中美科技摩擦進一步惡化,美國對半導體設計、制造所需的關鍵工具、設備、材料等進行進一步限制,則可能會阻礙需求和擴產,從而影響供應鏈公司造成不利影響。0%5%10%15%20%25%30%35%20182019202020212022毛利率凈利率0.0%1.0%2.0%3.0%4.0%5.0%6.0%7.0%8.0%00.511.522.533.544.520182019202020212022研發費用研發費用率 2023 年 04 月 05 日 P.50
162、請仔細閱讀本報告末頁聲明請仔細閱讀本報告末頁聲明 免責聲明免責聲明 國盛證券有限責任公司(以下簡稱“本公司”)具有中國證監會許可的證券投資咨詢業務資格。本報告僅供本公司的客戶使用。本公司不會因接收人收到本報告而視其為客戶。在任何情況下,本公司不對任何人因使用本報告中的任何內容所引致的任何損失負任何責任。本報告的信息均來源于本公司認為可信的公開資料,但本公司及其研究人員對該等信息的準確性及完整性不作任何保證。本報告中的資料、意見及預測僅反映本公司于發布本報告當日的判斷,可能會隨時調整。在不同時期,本公司可發出與本報告所載資料、意見及推測不一致的報告。本公司不保證本報告所含信息及資料保持在最新狀態
163、,對本報告所含信息可在不發出通知的情形下做出修改,投資者應當自行關注相應的更新或修改。本公司力求報告內容客觀、公正,但本報告所載的資料、工具、意見、信息及推測只提供給客戶作參考之用,不構成任何投資、法律、會計或稅務的最終操作建議,本公司不就報告中的內容對最終操作建議做出任何擔保。本報告中所指的投資及服務可能不適合個別客戶,不構成客戶私人咨詢建議。投資者應當充分考慮自身特定狀況,并完整理解和使用本報告內容,不應視本報告為做出投資決策的唯一因素。投資者應注意,在法律許可的情況下,本公司及其本公司的關聯機構可能會持有本報告中涉及的公司所發行的證券并進行交易,也可能為這些公司正在提供或爭取提供投資銀行
164、、財務顧問和金融產品等各種金融服務。本報告版權歸“國盛證券有限責任公司”所有。未經事先本公司書面授權,任何機構或個人不得對本報告進行任何形式的發布、復制。任何機構或個人如引用、刊發本報告,需注明出處為“國盛證券研究所”,且不得對本報告進行有悖原意的刪節或修改。分析師聲明分析師聲明 本報告署名分析師在此聲明:我們具有中國證券業協會授予的證券投資咨詢執業資格或相當的專業勝任能力,本報告所表述的任何觀點均精準地反映了我們對標的證券和發行人的個人看法,結論不受任何第三方的授意或影響。我們所得報酬的任何部分無論是在過去、現在及將來均不會與本報告中的具體投資建議或觀點有直接或間接聯系。投資評級說明投資評級
165、說明 投資建議的評級標準投資建議的評級標準 評級評級 說明說明 評級標準為報告發布日后的 6 個月內公司股價(或行業指數)相對同期基準指數的相對市場表現。其中 A 股市場以滬深 300 指數為基準;新三板市場以三板成指(針對協議轉讓標的)或三板做市指數(針對做市轉讓標的)為基準;香港市場以摩根士丹利中國指數為基準,美股市場以標普 500 指數或納斯達克綜合指數為基準。股票評級 買入 相對同期基準指數漲幅在 15%以上 增持 相對同期基準指數漲幅在 5%15%之間 持有 相對同期基準指數漲幅在-5%+5%之間 減持 相對同期基準指數跌幅在 5%以上 行業評級 增持 相對同期基準指數漲幅在 10%
166、以上 中性 相對同期基準指數漲幅在-10%+10%之間 減持 相對同期基準指數跌幅在 10%以上 國盛證券研究所國盛證券研究所 北京北京 上海上海 地址:北京市西城區平安里西大街 26 號樓 3 層 郵編:100032 傳真:010-57671718 郵箱: 地址:上海市浦明路 868 號保利 One56 1 號樓 10 層 郵編:200120 電話:021-38124100 郵箱: 南昌南昌 深圳深圳 地址:南昌市紅谷灘新區鳳凰中大道 1115 號北京銀行大廈 郵編:330038 傳真:0791-86281485 郵箱: 地址:深圳市福田區福華三路 100 號鼎和大廈 24 樓 郵編:518033 郵箱: