《電子行業深度報告:先進封裝助力產業升級材料端多品類受益-240709(48頁).pdf》由會員分享,可在線閱讀,更多相關《電子行業深度報告:先進封裝助力產業升級材料端多品類受益-240709(48頁).pdf(48頁珍藏版)》請在三個皮匠報告上搜索。
1、電子電子 請務必參閱正文后面的信息披露和法律聲明 1/48 電子電子 2024 年 07 月 09 日 投資評級:投資評級:看好看好(維持維持)行業走勢圖行業走勢圖 數據來源:聚源 國家集成電路大基金三期成立,重點關注半導體設備及相關零部件投資機會行業點評報告-2024.5.27 半導體景氣度持續回暖,重視消費電子、AI、自主可控電子行業 2024年中期投資策略-2024.5.7 臺股 3 月營收同比表現較優,景氣復蘇逐步確認行業點評報告-2024.4.17 先進封裝助力產業升級,材料端多品類受益先進封裝助力產業升級,材料端多品類受益 行業深度報告行業深度報告 羅通(分析師)羅通(分析師)劉天
2、文(分析師)劉天文(分析師) 證書編號:S0790522070002 證書編號:S0790523110001 互連工藝升級是先進封裝的關鍵,材料升級是互連工藝升級的基礎互連工藝升級是先進封裝的關鍵,材料升級是互連工藝升級的基礎 先進封裝技術在重布線層間距、封裝垂直高度、I/O 密度、芯片內電流通過距離等方面提供更多解決方案,助力芯片集成度和效能進一步提升。通過凸塊(Bumping)、重布線(RDL)、硅通孔(TSV)及混合鍵合等關鍵互連工藝,滿足半導體行業快速發展中日益提升的集成化需求。而工藝的升級,往往會伴隨著材料端的升級與需求的提升,國產先進封裝材料方興未艾。先進封裝帶動半導體材料新需求,
3、多品類有望受益先進封裝帶動半導體材料新需求,多品類有望受益 PSPI 光刻膠:光刻膠:PSPI 是先進封裝核心耗材之一,主要應用于再布線(RDL)工藝,不僅為封裝提供必要的電氣、機械和熱性能,還能實現高分辨率的圖案化,大幅減少了光刻工藝流程。目前,全球 PSPI 市場被外企高度壟斷,CR4 全球市占率合計達到 93%,國產替代需求迫切。國內企業如鼎龍股份鼎龍股份正積極突破,放量在即。深深孔孔刻蝕類電子特氣:刻蝕類電子特氣:深孔刻蝕類電子特氣以含氟特氣如 SF6、C4F8等為主,主要應用于 TSV 工藝。國內企業正加速刻蝕氣體國產替代,如華特氣體、中船特華特氣體、中船特氣、金宏氣體氣、金宏氣體等
4、在刻蝕氣體領域均取得了技術突破,并開始逐步替代進口產品。電鍍液:電鍍液:電鍍工藝廣泛應用于先進封裝,電鍍液是核心原材料。具體而言,TSV、RDL、Bumping、混合鍵合都需要進行金屬化薄膜沉積,這將顯著拉動電鍍液需求。目前,全球電鍍液供應以外企為主,CR5 全球市占率 69.49%。中國電鍍液正經歷由依賴進口向國產化轉變的重要階段,上海新陽上海新陽、艾森股份、艾森股份進展國內領先。靶材:靶材:靶材為薄膜制備技術中的關鍵原材料,主要作用為制作導電層,通常配合電鍍液使用。在先進封裝工藝中,靶材在 RDL、TSV、Bumping、混合鍵合工藝中均有使用。國內靶材企業已經基本實現國產替代,其中江豐電
5、子江豐電子為代表性企業。CMP 材料材料&臨時鍵合膠:臨時鍵合膠:CMP 材料在先進封裝中的作用主要為拋光和減薄,因此其在 TSV 工藝中應用較多。目前 CMP 材料已經具備國產替代條件,其中拋光墊代表企業為鼎龍股份鼎龍股份、拋光液代表企業為安集科技安集科技。臨時鍵合膠的作用為在晶圓減薄過程中提供機械支撐,目前全球臨時鍵合膠市場由外資高度壟斷 CR3 全球市占率約 40%。中國大陸企業起步較晚,鼎龍股份鼎龍股份有望率先實現突破。環氧塑封料環氧塑封料&硅硅/鋁微粉:鋁微粉:環氧塑封料核心作用是為芯片提供防護、導熱、支撐等,先封封裝尤其是 2.5D/3D 封裝,對環氧塑封料的流動性、均勻性和散熱性
6、提出了更高的要求,進而對其核心原材料硅/鋁微粉的粒徑大小、均一性、放射性等要求更加嚴格。目前,先進封裝用高端環氧塑封料和硅/鋁微粉依舊被日韓企業所壟斷,國內企業如華海誠科、聯瑞新材、壹石通華海誠科、聯瑞新材、壹石通等正加速突破。投資建議投資建議 推薦標的:推薦標的:鼎龍股份、金宏氣體、江豐電子、上海新陽。受益標的:受益標的:聯瑞新材、安集科技、華特氣體、中船特氣、強力新材、艾森股份、華海誠科、壹石通。風險提示:風險提示:景氣復蘇不及預期、技術進展緩慢、國產替代不及預期。-38%-29%-19%-10%0%10%2023-072023-112024-03電子滬深300相關研究報告相關研究報告 開
7、源證券開源證券 證券研究報告證券研究報告 行業深度報告行業深度報告 行業研究行業研究 行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 2/48 目目 錄錄 1、互連工藝升級是先進封裝的關鍵,材料升級是互連工藝升級的基礎.5 1.1、凸塊(Bumping):多種先進封裝形式的基礎工藝.5 1.2、重布線層(RDL):芯片電氣延伸與互連的橋梁.8 1.3、硅通孔(TSV):立體集成工藝的核心關鍵.9 1.4、混合鍵合:縮小 Bump pitch 間距,擴大互連帶寬.12 2、先進封裝帶動半導體材料新需求,多品類有望受益.14 2.1、PSPI 光刻膠.14 2.2、深孔刻蝕類電子
8、特氣.18 2.3、電鍍液.21 2.4、靶材.24 2.5、CMP 材料&臨時鍵合膠.28 2.5.1、拋光墊.30 2.5.2、拋光液.33 2.5.3、臨時鍵合膠.35 2.6、環氧塑封料&硅/鋁微粉.37 2.6.1、環氧塑封料.37 2.6.2、硅微粉/鋁微粉.40 3、國內先進封裝產業鏈受益標的.45 4、風險提示.46 圖表目錄圖表目錄 圖 1:各類型先進封裝主要包含 bumping、RDL、TSV 及鍵合等互連工藝.5 圖 2:凸塊(bumping)工藝流程主要分為 8 個步驟.7 圖 3:Bump 尺寸與間距隨著技術提高,逐步縮小.8 圖 4:重布線層(RDL)將 I/O 重
9、新分配到芯片邊緣.8 圖 5:重布線層(RDL)關鍵工序流程主要由十個步驟組成.9 圖 6:FAN IN 和 FAN OUT 型 RDL 工藝.9 圖 7:RDL 在臺積電 InFO_OS 技術中為核心關鍵.9 圖 8:TSV(硅通孔)工藝將多層平面進行堆疊互連.10 圖 9:TSV 中介轉接層加工工藝主要由 12 個工藝流程組成.11 圖 10:低深寬比 TSV 圖像傳感器封裝工藝主要包含十個工藝流程.11 圖 11:TSV 制造成本結構(Via-Middle 方案)中臨時鍵合/解鍵合占比最高,為 17%.12 圖 12:TSV 制造成本構成(Via-Last 方案)中銅電鍍占比最高,為 1
10、8%.12 圖 13:混合鍵合顯著提升鍵合技術性能.12 圖 14:Hybrid Boding 工藝比傳統焊接工藝步驟減少.13 圖 15:Hybrid Bonding 工藝在 3D 封裝中的應用.13 圖 16:混合鍵合工藝中 Wafer-to-wafer 工藝流程.14 圖 17:混合鍵合工藝中 Die-to-wafer 工藝流程.14 圖 18:PSPI 組合體系復雜.15 圖 19:傳統光刻膠方法和光敏聚酰亞胺方法光刻圖案制作過程.16 圖 20:PSPI 是封裝階段 RDL 過程中的關鍵材料.16 aVbUaYaY8X9WeUfV6MbP8OpNmMpNsOfQmMsNeRrQpOb
11、RmMuNxNqMmMxNnPqR行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 3/48 圖 21:中國集成電路晶圓制造用 PSPI 市場規模增長較快(億元,%).17 圖 22:全球四家主要生產廠商占據 PSPI 市場 93%的份額(%).17 圖 23:刻蝕為電子特氣主要應用環節.18 圖 24:干法刻蝕所用氣體即為刻蝕氣體.18 圖 25:蝕刻氣體 SF6、C4F8等為 TSV 工藝關鍵原材料.19 圖 26:全球刻蝕氣體市場規模預計將在 2029 年突破 14 億美元.20 圖 27:外資企業約占據全球刻蝕氣體市場大頭(2022 年).20 圖 28:電鍍液是前道銅
12、互連電鍍工藝核心原材料.21 圖 29:電鍍液廣泛應用于芯片制造后道先進封裝電鍍.21 圖 30:芯片銅互連工藝成為主流技術.22 圖 31:晶圓凸塊(Bumping)鍍銅工藝拉動電鍍液需求.22 圖 32:電鍍液廣泛應用于晶圓硅通孔(TSV)鍍銅工藝.22 圖 33:全球高純電鍍液銷售額穩步增長(億美元,%).23 圖 34:2029 年中國高純電鍍液銷售額預計 3.52 億美元.23 圖 35:我國電鍍液及配套試劑需求保持穩定增長(萬噸).23 圖 36:2022 年全球銅電鍍液產品占比最高(%).23 圖 37:2022 年全球半導體用高純電鍍液需求旺盛(%).23 圖 38:全球電鍍市
13、場依舊被國外企業所占據(%).24 圖 39:濺射靶材主要由靶坯、背板等部分構成.25 圖 40:濺射靶材應用以平面顯示領域、半導體集成電路、太陽能電池領域為主.26 圖 41:半導體集成電路用濺射靶材主要用于芯片封裝與晶圓制造.26 圖 42:全球半導體靶材市場規模增速回升(億美元).27 圖 43:中國半導體靶材市場規模穩步增長(億元).27 圖 44:全球靶材競爭格局以美日企業為主導(2021 年).27 圖 45:國內競爭格局內資與外資五五開(2018 年).27 圖 46:CMP 拋光模塊示意圖.28 圖 47:CMP 拋光作業原理圖.28 圖 48:CMP 拋光速率對比.28 圖
14、49:CMP 平坦化效果圖.28 圖 50:CMP 技術應用廣泛.29 圖 51:CMP 拋光步驟隨集成電路技術進步而增加(次).29 圖 52:CMP 拋光步驟隨存儲芯片技術升級而增加(次).29 圖 53:TSV 工藝示意圖.30 圖 54:2021 年全球 CMP 拋光材料占晶圓制造材料 7.10%(%).30 圖 55:2021 年全球拋光液和拋光墊占拋光材料 82%(%).30 圖 56:CMP 拋光墊核心參數.31 圖 57:全球 CMP 拋光墊市場規模波動增長(億美元,%).32 圖 58:中國 CMP 拋光墊市場規模加速增長(億元,%).32 圖 59:2022 年全球 CMP
15、 拋光墊市場份額集中(%).32 圖 60:拋光液主要由研磨粒子、表面活性劑、緩蝕劑等組成.33 圖 61:全球拋光液市場規模穩步增長(億美元).34 圖 62:中國拋光液市場規模中速增長(億元).34 圖 63:2020 年全球拋光液市場競爭格局(%).34 圖 64:2021 年中國拋光液市場競爭格局(%).34 圖 65:臨時鍵合膠在晶圓級封裝中的應用及熱滑移解鍵合過程.35 行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 4/48 圖 66:全球臨時鍵合膠市場規模將穩步增長(億元).36 圖 67:前三大廠商占有全球超過 40%的份額(2022 年).36 圖 68:
16、環氧塑封料是一種以環氧樹脂為基礎的復合材料.37 圖 69:全球半導體用環氧塑封料市場規模穩健增長(億美元).39 圖 70:中國包封材料市場規模穩步發展(億元).39 圖 71:包封材料中環氧塑封料占據 90%份額.39 圖 72:全球環氧塑封料前十大廠商外企占據大多數.40 圖 73:角形硅微粉產品外觀及顆粒形貌如下圖所示.41 圖 74:硅微粉是環氧塑封料中重要組成部分.42 圖 75:全球硅微粉市場預計將不斷增長(億美元).42 圖 76:中國硅微粉市場規模預計保持高速增長(億元).42 圖 77:全球球形硅微粉市場被外資企業寡頭壟斷(2023 年).43 圖 78:球形氧化鋁顆粒形貌
17、如下圖所示.43 圖 79:全球球形氧化鋁市場規模預計將保持高速增長(億元).44 圖 80:中國球形氧化鋁市場總值及其占比不斷提升(億元,%).44 圖 81:2022 年全球球形氧化鋁市場以中日企業為主.45 圖 82:2022 年國內球形氧化鋁市場內資企業占比較高.45 表 1:不同類型凸塊材料與互連方法有所不同.6 表 2:TSV 技術主要分為 Via-first 與 Via-last 兩種方案.10 表 3:混合鍵合在存儲與邏輯應用領域均有技術突破.13 表 4:光敏聚酰亞胺亦有正負性之分,且正性 PSPI 性能更為優越.15 表 5:國內多家廠商紛紛布局,先進封裝用 PSPI 未來
18、可期.17 表 6:蝕刻用含氟電子氣體種類繁多各有特點.18 表 7:國內廠商正逐步推進刻蝕氣體國產替代化進程.20 表 8:國內電鍍液廠商正向先進封裝領域進發.24 表 9:濺射靶材的種類眾多.25 表 10:半導體用濺射靶材需求旺盛、性能要求最高.26 表 11:國內企業已實現大部分半導體用靶材國產替代.27 表 12:拋光墊具體分類情況.31 表 13:海外主要廠商產品品類情況.32 表 14:拋光液分類及其應用領域.33 表 15:國內 CMP 拋光材料廠商正逐步擴產搶占市場.34 表 16:國內臨時鍵合膠廠商正加緊研發推進客戶驗證.36 表 17:環氧塑封料類別多樣,各自針對不同的封
19、裝形式.37 表 18:歷代封裝技術對環氧塑封料的主要性能及產品配方要求逐步遞增.38 表 19:國內環氧塑封料廠商產品布局正從傳統封裝領域向先進封裝領域逐步推進.40 表 20:硅微粉性能優越,下游應用廣泛.41 表 21:兩種形態氧化鋁的應用場景有所不同.44 表 22:國內電子功能粉體材料廠商逐步擴產推進市場開發.45 表 23:國內先進封裝材料相關估值表.46 行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 5/48 1、互連工藝升級是互連工藝升級是先進封裝先進封裝的的關鍵關鍵,材料升級是互連工藝升材料升級是互連工藝升級的基礎級的基礎 先進封裝技術先進封裝技術路徑路徑
20、多元化,多元化,技術技術持續創新迭代持續創新迭代,在市場需求的推動下,傳統封在市場需求的推動下,傳統封裝不斷創新、演變,出現了各種新型的封裝結構。裝不斷創新、演變,出現了各種新型的封裝結構。隨著封裝技術進步和下游市場對于產品小型化需求增長,SiP(系統級封裝)和 PoP(Package on package,疊成封裝技術)奠定了先進封裝時代的開始,以實現更高的集成密度。2D IC 封裝技術(如倒裝芯片 Flip-Chip、晶圓級封裝 WLP)和 3D IC 封裝技術(如硅通孔,TSV)的出現,進一步縮短了芯片之間的互連距離。近年來,先進封裝的發展勢頭迅捷,如臺積電的 InFO(集成扇出)和 C
21、oWoS(Chip On Wafer On Substrate)、日月光的 FOCoS(基板上扇出芯片)、Amkor 的 SLIM(無硅集成模塊)和 SWIFT(硅晶圓集成扇出技術)等。先進封裝主要技術平臺包括:倒裝(FC)、晶圓級封裝(WLP)、2.5D、3D 封裝等。支持這些平臺技術的主要互連工藝包括凸塊(支持這些平臺技術的主要互連工藝包括凸塊(Bumping)、重布線()、重布線(RDL)、硅)、硅通孔(通孔(TSV)、混合鍵合等)、混合鍵合等,互連工藝升級是先進封裝的關鍵互連工藝升級是先進封裝的關鍵。圖圖1:各類型先進封裝各類型先進封裝主要包含主要包含 bumping、RDL、TSV
22、及鍵合等互連及鍵合等互連工藝工藝 資料來源:Challenges and prospects for advanced packaging 1.1、凸塊(凸塊(Bumping):多種先進封裝形式的基礎工藝):多種先進封裝形式的基礎工藝 凸塊(凸塊(bumping)為先進的晶圓級工藝技術之一,將晶圓切割成單個芯片之前,)為先進的晶圓級工藝技術之一,將晶圓切割成單個芯片之前,在基板上形成由各種金屬制成的“凸塊”或“球”。在基板上形成由各種金屬制成的“凸塊”或“球”。晶圓凸塊為倒裝芯片或板級半導體封裝的重要組成部分,已成為當今消費電子產品互連技術的標準。凸塊在管芯和襯底之間提供比引線鍵合更短的路徑,
23、以改善倒裝芯片封裝的電氣、機械和熱性能。倒裝芯片互連可減少信號傳播延遲,提供更好的帶寬,并緩解功率分配的限制。不同類型的凸塊不同類型的凸塊材料材料,其,其互連方法互連方法有所有所不同不同。凸塊按照材料凸塊按照材料成分來區分,成分來區分,主要包括以銅柱凸塊(Cu Pillar)、金凸塊(Au Bump)、鎳凸塊(Ni Bump)、銦凸塊(In Bump)等為代表的單質金屬凸塊和以錫基焊料為代表的焊料凸塊(Solder Bump)及聚合物凸塊等。凸塊互連相關技術包括材料選擇、尺寸設計、凸塊制造、互連工藝及可靠性和測試等。不同的凸塊材料,其加工制造方法各不相同,對應的互連方法行業深度報告行業深度報告
24、 請務必參閱正文后面的信息披露和法律聲明 6/48 和互連工藝中的焊(黏)接溫度也不盡相同。表表1:不同類型凸不同類型凸塊塊材料材料與與互連方法互連方法有所有所不同不同 凸塊類型凸塊類型 凸凸塊塊材料材料 互連溫度互連溫度/C(對焊料凸點對焊料凸點)互連互連方法方法 能否電鍍能否電鍍 單質金屬凸點單質金屬凸點 AU-黏接、熱聲或熱壓焊 能 Ni-黏接 能 Cu-黏接 能 In-回流焊 能 Pb-Sn 焊料凸點焊料凸點 95Pb5Sn 370 回流焊 能 90Pb10Sn 350 回流焊 能 37Pb63Sn 220 回流焊 能 無鉛焊料凸點無鉛焊料凸點 80Au20Sn 310 330 回流焊
25、或熱壓焊 能 共晶 SnAg 260 回流焊 能 共晶 SnAgCu 260 回流焊 能 聚合物凸點聚合物凸點 導電聚合物-黏接 否 數據來源:集成電路先進封裝材料、開源證券研究所 晶圓凸塊技術制作過程復雜,需要清洗、濺鍍、曝光、顯影、電鍍去膠、蝕刻晶圓凸塊技術制作過程復雜,需要清洗、濺鍍、曝光、顯影、電鍍去膠、蝕刻和良品測試等環節和良品測試等環節,其對應材料需求為,其對應材料需求為清洗液、靶材、電鍍液、光刻膠、顯影液、清洗液、靶材、電鍍液、光刻膠、顯影液、蝕刻液等蝕刻液等。具體工藝如下:具體工藝如下:首先,采用濺射或其他物理氣相沉積的方式在圓片表面沉積一層鈦或鈦鎢作為阻擋層,再沉積一層銅或其
26、他金屬作為后面電鍍所需的種子層。在沉積金屬前,圓片先進入濺射機臺的預清潔腔體,用氬氣等離子去除焊盤金屬表面的氧化層。其次,在圓片表面旋涂一定厚度的光刻膠,并運用光刻曝光工藝,以改變其在顯影液中的溶解度。光刻膠與顯影液充分反應后,得到設計所需的光刻圖形。再則,圓片進入電鍍機,通過合理控制電鍍電流、電鍍時間、電鍍液液流、電鍍液溫度等,得到一定厚度的金屬層作為 UBM(Under Bump Metallization,凸點下金屬化層)。在有機溶液中浸泡后,圓片表面的光刻膠被去除;再用相應的腐蝕液去除圓片表面 UBM 以外區域的濺射種子層和阻擋層。最后,在植球工序中,需要用兩塊開有圓孔的金屬薄板作為掩
27、模板,位置與圓最后,在植球工序中,需要用兩塊開有圓孔的金屬薄板作為掩模板,位置與圓片表面片表面 UBM 的位置相對應。在植球前,先用第的位置相對應。在植球前,先用第 1 塊金屬掩模板將助焊劑印刷到塊金屬掩模板將助焊劑印刷到 UBM表面;再用第表面;再用第 2 塊金屬掩模板將預成型的錫球印刷到塊金屬掩模板將預成型的錫球印刷到 UBM 上;最后,圓片經過回上;最后,圓片經過回流爐流爐使錫球在高溫下熔化,熔化的錫球與使錫球在高溫下熔化,熔化的錫球與 UBM 在界面上生成金屬間化合物,冷卻在界面上生成金屬間化合物,冷卻后錫球與后錫球與 UBM 形成良好的結合。形成良好的結合。采用電鍍的方式也可以得到焊
28、球凸塊,即在電鍍采用電鍍的方式也可以得到焊球凸塊,即在電鍍 UBM 完成后,接著電鍍焊料;完成后,接著電鍍焊料;去除光刻膠和腐蝕濺射金屬后,經過回流,得到焊球凸塊。電鍍方式也是銅柱凸塊電鍍方式也是銅柱凸塊和金凸塊加工的常用方法。和金凸塊加工的常用方法。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 7/48 圖圖2:凸塊(凸塊(bumping)工藝流程)工藝流程主要分為主要分為 8 個步驟個步驟 資料來源:華進半導體 電子器件向更輕薄、更微型和更高性能進步,促使凸塊尺寸減小,精細間距愈電子器件向更輕薄、更微型和更高性能進步,促使凸塊尺寸減小,精細間距愈發重要。發重要。凸塊間距
29、(Bump Pitch)越小,意味著凸點密度增大,封裝集成度越高,難度越來越大。行業內凸點間距正在朝著 20m 推進,而實際上巨頭已經實現了小于10m 的凸點間距。如果凸點間距超過 20m,在內部互連的技術上采用基于熱壓鍵合(TCB)的微凸塊連接技術。面向未來,混合鍵合(HB)銅對銅連接技術可以實現更小的凸點間距(10m 以下)和更高的凸點密度(10000 個/mm2),并帶動帶寬和功耗雙提升。隨著高密度芯片需求的不斷擴大帶來倒裝需求的增長,Bumping的需求將不斷提升,相關材料需求也將不斷提升。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 8/48 圖圖3:Bump 尺
30、寸與間距尺寸與間距隨著技術提高,隨著技術提高,逐步縮小逐步縮小 資料來源:基于 SIP 技術的微系統,作者:李揚 1.2、重布線層(重布線層(RDL):):芯片電氣延伸與互連的橋梁芯片電氣延伸與互連的橋梁 RDL(Re-Distribution Layer,重布線重布線層層)為先進為先進封裝封裝的關鍵互連工藝之一的關鍵互連工藝之一,可,可將多個芯片集成到單個封裝中將多個芯片集成到單個封裝中。在介電層頂部創建圖案化金屬層的過程,將 IC 的輸入/輸出(I/O)重新分配到新位置。新位置通常位于芯片邊緣,可以使用標準表面貼新位置通常位于芯片邊緣,可以使用標準表面貼裝技術(裝技術(SMT)將)將 IC
31、 連接到印刷電路板(連接到印刷電路板(PCB)。)。RDL 技術使設計人員能夠以緊湊技術使設計人員能夠以緊湊且高效的方式放置芯片,從而減少器件的整體占地面積。且高效的方式放置芯片,從而減少器件的整體占地面積。圖圖4:重布線層(重布線層(RDL)將將 I/O 重新分配到芯片邊緣重新分配到芯片邊緣 資料來源:Lam Research 晶圓級金屬重布線制程在 IC 上涂布一層絕緣保護層,再以曝光顯影的方式定義新的導線圖案,然后利用電鍍技術制作新的金屬線路,以連接原來的芯片引腳和新的凸點,達到芯片引腳重新分布的目的。重布重布線層線層的金屬線路以電鍍銅材料為主,的金屬線路以電鍍銅材料為主,根據需要也根據
32、需要也可以可以在銅線路上鍍鎳金或者鎳在銅線路上鍍鎳金或者鎳鈀鈀金金材料材料,相關核心相關核心材料材料包括光刻包括光刻膠膠、電、電鍍鍍液液、靶材、靶材、刻蝕刻蝕液液等。等。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 9/48 圖圖5:重布線層(重布線層(RDL)關鍵工序流程)關鍵工序流程主要由十個步驟組成主要由十個步驟組成 資料來源:LB Semicon 重布重布線層線層(RDL)在延伸和互連)在延伸和互連 XY 平面方面發揮關鍵作用平面方面發揮關鍵作用。在扇入晶圓級封裝(FIWLP)和扇出晶圓級封裝(FOWLP)等先進封裝中,RDL 為核心關鍵工藝。使得封裝廠能夠在扇出封
33、裝技術方面與晶圓代工廠展開競爭。通過 RDL,IO Pad 可以制成 FIWLP 或 FOWLP 中不同類型的晶圓級封裝。在 FIWLP 中,凸塊全部生長在芯片上,芯片和焊盤之間的連接主要依靠 RDL 的金屬線。封裝后,IC 的尺寸幾乎與芯片面積相同。在 FOWLP 中,凸塊可以生長在芯片外,封裝后的 IC 比芯片面積大(1.2 倍)。以 2.5D 先進封裝的代表臺積電的 InFO 為例,InFO 在載體上使用一個或多個裸芯片,然后將其嵌入到模塑料的重構晶圓中。并在晶圓上制造 RDL 互連和介電層,這是“芯片優先”的工藝流程。單芯片 InFO 提供高凸點數量,RDL 線從芯片區域向外延伸,形成
34、“扇出”拓撲。圖圖6:FAN IN 和和 FAN OUT 型型 RDL 工藝工藝 圖圖7:RDL 在臺積電在臺積電 InFO_OS 技術中為核心關鍵技術中為核心關鍵 資料來源:基于 SIP 技術的微系統,作者:李揚 資料來源:Heterogeneous Integrations,作者:John H.Lau 1.3、硅通孔(硅通孔(TSV):立體集成工藝的立體集成工藝的核心關鍵核心關鍵 TSV(Through Silicon Via,硅通孔硅通孔)技術技術主要用于立體封裝,主要用于立體封裝,在芯片的垂直方在芯片的垂直方向上提供電氣擴展和互連的功能向上提供電氣擴展和互連的功能。通過在芯片和芯片之間
35、、晶圓和晶圓之間制作垂直導通孔,實現芯片之間互連的最新技術。與傳統 Wire Bonding 的芯片堆疊技術不同,TSV 技術能夠使芯片在 3D 堆疊的密度最大,外形尺寸最小,并且大幅改善芯片運行速度,降低功耗。因此,TSV 技術曾被稱為繼 Wire Bonding、TAB 和 Flip Chip行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 10/48 之后的第 4 代封裝技術。圖圖8:TSV(硅通孔)(硅通孔)工藝工藝將多層平面進行堆疊互連將多層平面進行堆疊互連 資料來源:LAM Research 通過 TSV 技術將多層平面型芯片進行堆疊互連,減小芯片面積,大大縮短整體
36、互連線的長度,互連線長度的縮短能有效降低驅動信號所需的功耗。TSV 技術可以集成到制造工藝的不同階段,主要分為 Via-first、Via-middle、Via-last 三種方案。TSV(Via-First)方案:)方案:在晶圓制造完成之前生成 TSV,可以在 Fab 廠前端金屬互連之前進行,實現 Core-to-Core 的連接。該方案目前在微處理器等高性能器件領域應用較多,主要作為系統級芯片(System on a Chip,SoC)的替代方案。Via-first也可以在 CMOS 完成之后在晶圓廠進行 TSV 的制作,然后再完成后端的封裝。TSV(Via-Middle)方案:)方案:通
37、常在 FEOL 步驟完成后,制作硅通孔(TSV),其中包括許多高溫工藝。并在執行多層金屬布線 BEOL 處理之前,完成片內互連工藝。TSV(Via-Last)方案:)方案:將 TSV 放在封裝生產階段,該方案的明顯優勢是可以不改變現有集成電路生產和設計流程。目前,部分廠商已開始在高端的 Flash 和DRAM 領域采用 Via-Last 方案,即在芯片的周邊進行打孔,然后進行芯片或晶圓的堆疊。表表2:TSV 技術主要分為技術主要分為 Via-first 與與 Via-last 兩種方案兩種方案 Via-first/Via-Middle Via-last 設計階段設計階段 CMOS 或 BEOL
38、 之前 BEOL 之后 介入時間介入時間 IC 設計階段介入 晶圓生產完成后開始 加工地點加工地點 IDM 晶圓廠 OSAT 封測廠 通孔大小通孔大小 通孔寬度 520m 通孔寬度 2050m 關鍵尺寸關鍵尺寸 控制嚴格 控制相對寬松 縱寬比縱寬比 3:1 到 10:1 3:1 到 15:1 數據來源:基于 SIP 技術的微系統,作者:李揚、開源證券研究所 TSV 主要有三大應用領域,分別是 2.5D 中介轉接層(Interposer)封裝、三維集成電路(3D IC)封裝和三維圓片級芯片尺寸(3D WLCSP)封裝。對應對應 TSV 生產流生產流程,會涉及到深孔刻蝕、程,會涉及到深孔刻蝕、PV
39、D、CVD、銅填充、微凸點及電鍍、清洗、減薄、鍵合、銅填充、微凸點及電鍍、清洗、減薄、鍵合等二十余種工藝等二十余種工藝,其中深孔刻蝕其中深孔刻蝕氣體氣體、電鍍液、靶材電鍍液、靶材、CMP 研磨材料研磨材料等等材料材料最為關最為關鍵鍵。(1)2.5D 中介轉接層封裝中介轉接層封裝:細線條布線中介轉接層針對的是 FPGA、CPU 等行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 11/48 高性能的應用,其特征是正面有多層細節距再布線層和細節距微凸點,主流 TSV 深寬比達到 10:1,厚度約為 100m。TSMC 的 CoWoS(Chip on Wafer on Substra
40、te,晶圓級封裝)采用的 2.5D TSV 技術。CoWoS 技術把芯片安裝到硅轉接板上,并使用硅轉接板上的高密度走線進行互連。圖圖9:TSV 中介轉接層加工工藝中介轉接層加工工藝主要由主要由 12 個工藝流程個工藝流程組成組成 資料來源:集成電路產業全書,作者:王陽元(2)3D IC 封裝封裝:應用方向主要是存儲類產品應用方向主要是存儲類產品,其原因是存儲類產品引腳密度小,版圖布局規律,芯片功率密度小等。通過 TSV 通孔實現三維集成,可以增加存儲容量,降低功耗,增加帶寬,減小延遲,實現小型化。(3)3D WLCSP:主要應用于圖像、指紋、濾波器、加速度計等傳感器圖像、指紋、濾波器、加速度計
41、等傳感器封裝領域。其特點是采用 Via Last 工藝,TSV 深寬比較?。ㄉ顚挶容^?。?:13:1),孔徑較大出于對成本的考慮,目前圖像傳感器封裝大多采取低深寬比的 TSV 結構。圖圖10:低深寬比低深寬比 TSV 圖像傳感器封裝工藝圖像傳感器封裝工藝主要包含十個工藝流程主要包含十個工藝流程 資料來源:集成電路產業全書,作者王陽元 TSV 工藝中臨時鍵合工藝中臨時鍵合/解鍵合、銅電鍍成本占比最高解鍵合、銅電鍍成本占比最高。根據 A Cost Model Analysis Comparing Via-Middle and Via-Last TSV Processes論文數據,在 Via-Mid
42、dle 的 TSV工藝制造成本中,臨時鍵合/解鍵合與銅電鍍成本占比均為 17%,背面通孔顯示(主要包括背面減薄和拋光、刻蝕、CVD、CMP 等)和背面 RDL(主要包括 PVD、光刻、電鍍等)成本占比約為 15%左右,其他關鍵工藝包括刻蝕、CVD、銅阻擋層 PVD等。而 Via-Last 的 TSV 工藝中,銅電鍍成本占比 18%,臨時鍵合/解鍵合與銅阻擋層PVD 成本占比均為 17%,背面 RDL(主要包括 PVD、光刻、電鍍等)成本占比約為行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 12/48 16%,其他核心工藝與 Via-Middle 方案類似。圖圖11:TSV
43、制造成本結構(制造成本結構(Via-Middle 方案)中臨時鍵方案)中臨時鍵合合/解鍵合解鍵合占比最高占比最高,為,為 17%圖圖12:TSV 制造成本構成(制造成本構成(Via-Last 方案)中銅電鍍占方案)中銅電鍍占比最高比最高,為為 18%數據來源:A cost model analysis comparing via-middle and via-last TSV processes,作者:K.-J.Chui 等、開源證券研究所 數據來源:A cost model analysis comparing via-middle and via-last TSV processes,作者
44、:K.-J.Chui 等、開源證券研究所 1.4、混合鍵合:縮小混合鍵合:縮小 Bump pitch 間距,擴大互連帶寬間距,擴大互連帶寬 混合鍵合混合鍵合(Hybrid Bonding)是通過銅)是通過銅銅金屬鍵合和二氧化硅銅金屬鍵合和二氧化硅二氧化硅介二氧化硅介質層鍵合實現無凸點永久鍵合的芯片三維堆疊高密度互連技術質層鍵合實現無凸點永久鍵合的芯片三維堆疊高密度互連技術。據先進封裝的發展與機遇論文數據,混合鍵合技術可實現極小間距的芯片焊盤互連,每平方毫米可互連的芯片焊盤數為 104106個,可以提供更高的互連密度、更小更簡單的電路、更大的帶寬、更小的電容和更低的功耗。圖圖13:混合鍵合顯著提
45、升鍵合技術性能混合鍵合顯著提升鍵合技術性能 資料來源:先進封裝技術的發展與機遇,作者:曹立強等 混合鍵合工藝提供更高互連密度,逐漸取代傳統焊接工藝混合鍵合工藝提供更高互連密度,逐漸取代傳統焊接工藝。與傳統 C4 焊點和微凸點連接技術相比,混合鍵合技術主要優點有:實現芯片之間無凸點互連,微凸點的取消將進一步降低芯片之間通道的寄生電感和信號延時;實現芯片之間超細間距的互連,比微凸點提高 10 倍以上,超細間距的互連將增加布線有效使用面積,大幅增加通道數量,簡化 I/O 端口電路;實現超薄芯片制備,通過芯片減薄可使芯片厚度和重量大幅降低,并且可進一步提升系統中芯片的互連帶寬;實現鍵合可靠性的提高,銅
46、銅觸點間以分子尺度融合分子尺度融合,取消了焊料連接,二氧化硅取消了焊料連接,二氧化硅二氧化二氧化臨時鍵合/解鍵合,17%硬掩模CVD,4%刻蝕,8%襯底CVD,8%銅阻擋層PVD,9%銅電鍍,17%銅CMP,7%背面通孔顯示,15%背面RDL,15%臨時鍵合/解鍵合,17%硬掩模CVD,11%刻蝕,7%襯底CVD,4%銅阻擋層PVD,17%銅電鍍,18%銅CMP,10%背面RDL,16%行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 13/48 硅以分子共價鍵鍵合取消了底填材料,極大提高了界面鍵合強度,增強了芯片的環硅以分子共價鍵鍵合取消了底填材料,極大提高了界面鍵合強度,增
47、強了芯片的環境適應性。境適應性。圖圖14:Hybrid Boding 工藝比傳統焊接工藝步驟減少工藝比傳統焊接工藝步驟減少 圖圖15:Hybrid Bonding 工藝在工藝在 3D 封裝中的應用封裝中的應用 資料來源:Enabling Hybrid Bonding on Intel Process,作者:Adel Elsherbini 等 資料來源:Enabling Hybrid Bonding on Intel Process,作者:Adel Elsherbini 等 混合鍵合工藝主要包含混合鍵合工藝主要包含 D2W(Die-to-Wafer)和)和 W2W(Wafer-to-Wafer)
48、兩類)兩類鍵合鍵合。根據 EVG 報告Bonding Technologies for the Next Generation Integration Schemes(發布于 2021 年 6 月 10 日)數據,Wafer-to-Wafer 的工藝更加成熟,但的工藝更加成熟,但需要每個芯片尺寸相同,且整體良率較低。下游應用端,在背光需要每個芯片尺寸相同,且整體良率較低。下游應用端,在背光 CIS 及存儲領域及存儲領域 3D NAND 等領域均已實現量產。而等領域均已實現量產。而 D2W 下游應用前景更廣,但產品仍處于研發及量下游應用前景更廣,但產品仍處于研發及量產爬坡階段。產爬坡階段。表表3
49、:混合鍵合混合鍵合在存儲與邏輯在存儲與邏輯應用領域應用領域均有技術突破均有技術突破 背光 CIS 存儲 邏輯 3D NAND HBM Stacks DDR6+Next Gen.Memory SoC Partitioning Scaling 堆疊芯片堆疊芯片 光電二極管+DRAM+邏輯芯片 NAND+外圍電路 12+層堆疊 Peri under DRAM Peri on MRAM,FeRAM,PCM SoIC SRAM+Logic 背面 PDN(5nm)鍵合方式鍵合方式 W2W W2W W2W/D2W W2W W2W W2W/D2W W2W W2W 間距間距 2m1m 2m1m 5m3m 2m1
50、m 2m1m 9m2m 2m By scanner 技術進展技術進展 量產 量產 研發 研發 研發 量產爬坡 量產爬坡 量產爬坡 相關公司相關公司 Sony YMTC Xperi IMEC IMEC 臺積電 IMEC IMEC 數據來源:EVG、開源證券研究所 混合鍵合的關鍵工藝步驟包括電鍍(電化學沉積、混合鍵合的關鍵工藝步驟包括電鍍(電化學沉積、ECD)、)、CMP、等離子體活、等離子體活化、對準、鍵合、分離和退火化、對準、鍵合、分離和退火,對應材料主要為,對應材料主要為電鍍液、電鍍液、靶材、靶材、CMP 研磨材料等研磨材料等。W2W(Wafer-to-Wafer,晶圓到晶圓鍵合晶圓到晶圓鍵
51、合)是指將兩片晶圓高精度對準、接合,)是指將兩片晶圓高精度對準、接合,實現兩片晶圓之間功能模塊集成的工藝實現兩片晶圓之間功能模塊集成的工藝。晶圓級鍵合設備可用于存儲器堆疊、3D 片上系統(SoC)、背照式 CMOS 圖像傳感器堆疊以及芯片分區等多個領域,是目前混合鍵合中能夠進行大量生產的技術。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 14/48 圖圖16:混合鍵合工藝中混合鍵合工藝中 Wafer-to-wafer 工藝流程工藝流程 資料來源:半導體產業研究 D2W(Die to Wafer,芯片到晶圓芯片到晶圓)是指將單個芯片逐個鍵合到目標晶圓上的過)是指將單個芯片逐個
52、鍵合到目標晶圓上的過程。程。模具尺寸越大,使用 D2W 堆疊越有利,成本效益越高。D2W 通常是混合鍵合通常是混合鍵合的主要選擇,因為它支持不同的芯片尺寸、不同的晶圓類型和已知的良好芯片,而的主要選擇,因為它支持不同的芯片尺寸、不同的晶圓類型和已知的良好芯片,而W2W 通常只支持相同節點的芯片通常只支持相同節點的芯片。圖圖17:混合鍵合工藝中混合鍵合工藝中 Die-to-wafer 工藝流程工藝流程 資料來源:半導體產業研究 2、先進封裝先進封裝帶動半導體帶動半導體材料材料新新需求需求,多品類有望受益,多品類有望受益 2.1、PSPI 光刻膠光刻膠 光光敏聚酰亞胺光刻膠是一種復合材料,包含溶劑
53、、敏聚酰亞胺光刻膠是一種復合材料,包含溶劑、PSPI 樹脂(可光敏或非光敏)、樹脂(可光敏或非光敏)、光引發劑、添加劑,光引發劑、添加劑,故其光敏性可能源自 PSPI 樹脂本身或與其混合的添加劑。各成分分開來看,PSPI 使用的樹脂可以是聚酰亞胺或其前體如聚酰胺酸(PAA),其使用的催化劑一般包括光敏劑、增感劑、光活性催化劑及其他催化劑。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 15/48 圖圖18:PSPI 組合體系復雜組合體系復雜 資料來源:八億時空 類似于傳統光刻膠,光敏聚酰亞胺可分為正性和負性兩種類型。類似于傳統光刻膠,光敏聚酰亞胺可分為正性和負性兩種類型。正性
54、 PSPI 在紫外光照射后可溶解于顯影劑,而負性 PSPI 在光照后交聯變得不溶。正性 PSPI 相較于負性 PSPI 在光刻時容易去除曝光區域,減少污染引起的錯誤,并提供高分辨率的圖案,是未來 PSPI 的發展趨勢。根據感光原理以及合成工藝不同,可將正負性 PSPI進一步細分為若干類型,其各自特點如下表所示。表表4:光敏聚酰亞胺光敏聚酰亞胺亦亦有正負性之分有正負性之分,且正性,且正性 PSPI 性能更為優越性能更為優越 類別名稱 細分種類 簡介及性能特點 正性光敏聚酰亞胺 自增感型PSPI 包括鄰硝基芐基型、側鏈含光敏基團型和主鏈可降解型。自增感型 PSPI 本身結構中帶有光敏基團,不需外加
55、光敏劑即可實現光刻 外加光敏劑型 PSPI 包括 PI 前體外加正性光敏劑型、反應顯影制圖型、化學增幅型。相比于自增感型 PSPI,外加光敏劑賦予了主鏈分子設計更多的靈活性,光刻性能也可以通過調節外加光敏劑的結構與濃度等實現調控,自由度很高。負性光敏聚酰亞胺 酯型 PSPI 光敏性基團與聚合物主鏈以酯鍵的形式進行連接。制備步驟復雜、反應周期長,感光基團的吸收波長通常低于 230nm。離子型PSPI 羧基負離子與氨基正離子以離子鍵鍵合的鹽類絡合物。具有優異的熱穩定性、光敏性和介電性能?;瘜W增幅型 PSPI 可進一步細分為光產酸型 PSPI 和光產堿型 PSPI。光子利用率高,具有更高的靈敏度和較
56、低的固化收縮率 自增感型PSPI 自增感型 PSPI 依靠自身特有二苯甲酮結構發生交聯反應。改善了酯型和離子型 PSPI 的體積收縮問題,避免了光引發劑殘留導致 PSPI 的熱力學、力學、電學性能的下降,但其曝光條件嚴格,靈敏度低。資料來源:負性光敏聚酰亞胺的種類及研究進展孫孟冉、正性光敏型聚酰亞胺的研究和應用進展王思恩、開源證券研究所 光敏聚酰亞胺光敏聚酰亞胺(PSPI)是先進封裝工藝中的核心是先進封裝工藝中的核心耗材耗材。在集成電路中,在集成電路中,PSPI通常作為緩沖層、鈍化層或用于多層互連結構的平坦化層,通常作為緩沖層、鈍化層或用于多層互連結構的平坦化層,其主要功能是保護集成電路的特定
57、區域不受外力影響。同時,伴隨光刻技術的發展和芯片布線及封裝技術的創新,現代封裝技術要求單個半導體芯片能夠連接至其他芯片的輸入輸出通路,這就需要在封裝階段進行精密的再布線(再布線(RDL)工作)工作。在這些金屬導線與芯片單元在這些金屬導線與芯片單元之間,之間,PSPI 被視作最常用的絕緣介質材料,不僅為封裝提供必要的電氣、機械和熱被視作最常用的絕緣介質材料,不僅為封裝提供必要的電氣、機械和熱性能,還能實現高分辨率的圖案化,是性能,還能實現高分辨率的圖案化,是 RDL 過程中的關鍵材料過程中的關鍵材料。因此特性,PSPI行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 16/48
58、光刻膠在先進封裝工藝中普遍應用,是一種核心耗材,有望充分受益于先進封裝行業的發展。圖圖19:傳統光刻膠方法和光敏聚酰亞胺方法光刻圖案制作過程傳統光刻膠方法和光敏聚酰亞胺方法光刻圖案制作過程 資料來源:光敏聚酰亞胺光刻膠研究進展郭海泉等 圖圖20:PSPI 是封裝階段是封裝階段 RDL 過程中的關鍵材料過程中的關鍵材料 資料來源:日本東麗官網 集成電路用集成電路用 PSPI 光刻膠市場空間保持光刻膠市場空間保持穩定穩定增長。增長。根據艾森股份 2023 年 12 月25 日投資者關系記錄中援引中國電子材料行業協會的數據,2021 年中國集成電路晶圓制造用 PSPI 市場規模 7.12 億元,預計
59、到 2025 年中國集成電路晶圓制造用 PSPI市場規模將增長至 9.67 億元,2021-2025 年均復合增速為 7.95%,整體空間較大且保持較快增長。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 17/48 圖圖21:中國集成電路晶圓制造用中國集成電路晶圓制造用 PSPI 市場規模增長較快(億元,市場規模增長較快(億元,%)數據來源:中國電子材料行業協會公眾號、艾森股份公告、開源證券研究所 全球全球 PSPI 市場被外商高度壟斷,國產替代需求市場被外商高度壟斷,國產替代需求迫切。迫切。我國 PSPI 光刻膠布局較為落后,目前全球主要的 PSPI 生產廠商包括 Tor
60、ay、HD Microsystems、Asahi Kasei、Fujifilm Electronic Materials、中國臺灣長興材料、SK Materials 等,上述前四家廠商市占率合計達到 93%,呈現出高度壟斷的市場競爭格局,國產替代需求迫切。圖圖22:全球四家主要生產廠商占據全球四家主要生產廠商占據 PSPI 市場市場 93%的份額的份額(%)數據來源:簡樂尚博 168 百家號、開源證券研究所 我國我國 PSPI 前景廣闊未來可期。前景廣闊未來可期。隨著中國制造 2025政策的推動,我國在工業、機械、電子等多個領域正逐步實現國產化替代,伴隨著行業整體景氣度的提升,國內從事 PSP
61、I 生產的企業數量呈現出持續增長的態勢,研究深度亦在不斷加深。目前,多家企業已經掌握了 PSPI 產品的生產技術,并開始批量生產,逐漸融入下游客戶的供應鏈體系。從國內企業近期的發展來看,PSPI 產品在過去兩年內實現了從無到有的關鍵突破。隨著下游應用的不斷擴大以及企業對量產工藝掌握度的提高,PSPI在我國未來的技術進步與市場拓展中,勢必展現出更加廣闊的發展前景。表表5:國內多家廠商紛紛布局,先進封裝用國內多家廠商紛紛布局,先進封裝用 PSPI 未來可期未來可期 公司名稱公司名稱 先進封裝用先進封裝用 PSPI 產品情況產品情況 鼎龍股份 公司封裝光刻膠產品已完成客戶端送樣,驗證工作穩步推進,截
62、至目前客戶驗證反饋良好。此外,公司持續根據市場情況和客戶需求拓展封裝光刻膠的產品型號布局,新增型號預計于 2023 年年底前完成小試送樣。先進封裝材料應用評價平臺建設完成并投入使用,加速相關產品開發、驗證進度;封裝光刻膠產業化建設已實施完成,具備量產供貨能力。強力新材 2023 年 9 月,公司研發生產的光敏性聚酰亞胺(PSPI)應用于封裝領域,目前 PSPI 處于下游客戶驗證階段。7.129.6702468101220212025E中國集成電路晶圓制造用 PSPI 市場規模(億元)CAGR:7.95%Toray、Fujifilm Electronic Materials、HD Microsy
63、stems、Asahi Kasei,93.00%其他,7.00%行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 18/48 公司名稱公司名稱 先進封裝用先進封裝用 PSPI 產品情況產品情況 波米科技 公司光敏性正膠產品已經占領了國內市場約 15份額;用于晶圓級封裝的負膠產品在長電和盛合晶微已經實現批量供貨;其中一款光敏性負膠產品,已在株洲時代新材完成了 60的國產化替代,用于 IGBT(絕緣柵雙極晶體管)。2023 年下半年出口韓國產品達 55 公斤,標志著公司成功打開了韓國市場。國風新材 公司自主開發的芯片封裝用聚酰亞胺薄膜(COF 封裝用高強高模 PI 薄膜)經下游客戶
64、試用反饋,產品綜合性能優秀,達到國際先進水平;與中科大先研院聯合開發的半導體封裝用光敏聚酰亞胺(PSPI)光刻膠,取得階段性成果,目前處于實驗室送樣檢測階段。明仕新材 針對先進封裝市場,明士新材推出高、低溫固化之 PSPI 產品,計劃用三年時間分四期建成 2000 噸以光敏聚酰亞胺(PSPI)為核心的系列產品生產線。項目總投資 28 億元,其中一期投資 5 億元,2024 年底達到 100 噸產能,并于國內多個 OSAT廠家進行驗證測試,計劃 2024 年開始批量供應。資料來源:各公司公告、高分子材料縱橫公眾號、勢銀膜鏈公眾號、陽谷黨建公眾號、開源證券研究所 2.2、深深孔孔刻蝕類刻蝕類電子電
65、子特氣特氣 在集成電路芯片在集成電路芯片制造制造中,蝕刻是一項核心技術,中,蝕刻是一項核心技術,也是也是 IC 芯片制造中的關鍵環節芯片制造中的關鍵環節。該工藝通過有序去除或改變特定材料層,對電路圖案進行精密的加工與雕刻,是實現芯片構造的必要步驟。蝕刻技術分為濕法化學蝕刻和干法化學蝕刻兩種形式,其中干法蝕刻是以氣體為主要媒體的刻蝕方法,晶圓不需要液體化學品或沖洗,其所用氣體稱為蝕刻氣體,這類氣體常以氟化物為主。圖圖23:刻蝕為電子特氣主要應用環節刻蝕為電子特氣主要應用環節 圖圖24:干法刻蝕所用氣體即為刻蝕氣體干法刻蝕所用氣體即為刻蝕氣體 資料來源:天極科技公眾號 資料來源:天極科技公眾號 含
66、氟蝕刻氣體含氟蝕刻氣體種類眾多,各自具備不同性能特點。種類眾多,各自具備不同性能特點。含氟蝕刻劑品種主要包括四氟化碳、六氟丁二烯、六氟乙烷、八氟環丁烷、三氟甲烷、六氟化硫等,根據其化學式原子構成不同其性能特點及應用之處也不盡相同。例如四氟化碳可以提供很高的蝕刻速率,但對多晶硅的選擇比很低;三氟甲烷、二氟甲烷除了作為主蝕刻劑外,還可用作其它主蝕刻劑的輔助氣,調節氟/碳比。具體各氣體特點如下表所示。表表6:蝕刻用含氟電子氣體種類繁多各有特點蝕刻用含氟電子氣體種類繁多各有特點 名稱名稱 化學式化學式 材質材質 特點特點 四氟化碳 CF4 金屬氧化硅 各向異性,應用于硬膜開放和其它高寬高比蝕刻工藝 一
67、氟甲烷 CH3F 氧化硅 各向異性,主要用于集成電路中的等離子蝕刻,尤其是 HDP(高密度等離子)蝕 刻。二氟甲烷 CH2F2 氧化硅 各向異性,在硅和氧化硅中形成高寬高比 六氟乙烷 C2F6 氧化硅 各向異性,在硅和氧化硅蝕刻中產生理想的高寬比。在蝕刻形成聚合物薄膜(光刻膠)對側壁起保護作用 六氟丁二烯 C4F6 氧化硅 各向異性,在硅和氧化硅蝕刻中產生理想的高寬比。在蝕刻形成聚合物薄膜(光刻膠)對側壁起保護行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 19/48 名稱名稱 化學式化學式 材質材質 特點特點 作用 八氟環戊烯 C5F8 氧化硅 各向異性,在硅和氧化硅蝕刻中
68、產生理想的高寬比。在蝕刻形成聚合物薄膜(光刻膠)對側壁起保護作用 八氟環丁烷 C4F8 氧化硅 各向異性,在蝕刻中產生理想的高寬高比 三氟甲烷 CHF3 金屬氧化硅 各向異性,在蝕刻中產生理想的高寬高比 六氟化硫 SF6 多晶硅 各向異性,在蝕刻中產生理想的高寬高比 三氟化氮 NF3 多晶硅 各向異性,在蝕刻中產生理想的高寬高比 資料來源:含氟電子氣體研究進展李盛姬等、開源證券研究所 先進封裝中,先進封裝中,TSV 工藝對工藝對蝕刻蝕刻氣體需求增加。氣體需求增加。在 TSV 工藝通孔環節中,Bosch刻蝕技術因其良好的刻蝕速率均勻性以及高深寬比刻蝕能力被廣泛應用。Bosch 刻蝕分為刻蝕和鈍化
69、兩個循環周期,在刻蝕周期,通過 SF6與 Si 的短暫接觸完成一次縱向刻蝕;在鈍化周期,C4F8在等離子體中形成氟化碳類高分子聚合物,沉積在 Si 表面上以形成一層鈍化膜,防止 SF6與 Si 繼續反應。由此可以看出,TSV 工藝對蝕刻氣體的性能提出了新的挑戰。圖圖25:蝕刻蝕刻氣體氣體 SF6、C4F8等為等為 TSV 工藝工藝關鍵原材料關鍵原材料 資料來源:三維系統級封裝(3D-SiP)中的硅通孔技術研究進展王美玉等 全球刻蝕氣體市場規模穩健增長。全球刻蝕氣體市場規模穩健增長。根據 QYResearch 預測,2029 年全球刻蝕氣體市場規模將從 2022 年 7.85 億美元增長至 14
70、.26 億美元,2022 至 2029 年年均復合增長率達到 8.6%。后續隨著半導體先進封裝產業不斷發展,有望帶動蝕刻氣體需求持續上升。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 20/48 圖圖26:全球全球刻蝕氣體市場規模預計將在刻蝕氣體市場規模預計將在 2029 年年突破突破 14 億美元億美元 數據來源:QYResearch、Wind、開源證券研究所 蝕刻氣體產業呈現出高度集中的特點。蝕刻氣體產業呈現出高度集中的特點。全球高純蝕刻氣體市場主要集中在中日韓及歐美各地區,行業的核心企業包括 Linde 和 SK Materials 等,這兩家公司合計占據了全球市場約
71、 24%的份額。全球領先的公司除上述兩家以外,還包括 Kanto Denka Kogyo、ADEKA、中船派瑞特氣、Merck(Versum Materials)、Showa Denko、Nippon Sanso、Hyosung、Air Liquide 等。在全球市場中,排名前十位的企業共同占有約 71%的市場份額。圖圖27:外資企業約占據全球刻蝕氣體外資企業約占據全球刻蝕氣體市場市場大頭大頭(2022 年)年)數據來源:QYResearch、Wind、開源證券研究所 國內企業在刻蝕氣體國產替代國內企業在刻蝕氣體國產替代方面取得了一系列突破。方面取得了一系列突破。近年來隨著中國半導體產業的快速
72、發展以及政府對半導體自主可控的強調,國內企業開始加大在電子特氣研發和生產方面的投入,以實現對高端電子特氣的國產替代。例如華特氣體、中船特氣、金宏氣體等在電子刻蝕氣體領域均取得了關鍵的技術突破,并開始逐步替代進口產品。表表7:國內廠商正逐步推進刻蝕氣體國產替代化進程國內廠商正逐步推進刻蝕氣體國產替代化進程 公司名稱公司名稱 刻蝕氣體生產情況刻蝕氣體生產情況 華特氣體 公司的特種氣體實現了包括高純四氟化碳、高純六氟乙烷、光刻氣、高純二氧化碳、高純一氧化碳、高純氨、高純一氧化氮等眾多產品的進口替代。自主研發的氟碳類、光刻稀混氣類、氫化物、氮氧化合物等產品主要應用在芯片制程工藝中的刻蝕、清洗、光刻、外
73、延、沉積/成膜、離子注入等環節。金宏氣體 公司電子級六氟丁二烯、電子級一氟甲烷主要用于半導體蝕刻領域,是一種新型的電子刻蝕氣體,不僅可作為制備眾7.85 14.26 048121620222029E蝕刻氣市場規模(億美元)CAGR8.6%Linde、SK Materials,24%Kanto Denka Kogyo、ADEKA、派瑞特氣、Merck(Versum Materials)、Showa Denko、Nippon Sanso、Hyosung、Air Liquide,47%其他,29%行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 21/48 公司名稱公司名稱 刻蝕氣體
74、生產情況刻蝕氣體生產情況 多含氟聚合物材料的單體,還可應用于超大規模集成線路的干法刻蝕方面,目前已完成中試產能放大裝置運行并即將實現工業化生產。中船派瑞特氣 高純八氟環丁烷(5N 以上)用于超大規模集成電路蝕刻劑和清洗劑,公司八氟環丁烷年產 220 噸,國內三氟化氮廠商中,派瑞特氣產能最大,年產 9250 噸。綠菱氣體 公司自主研發生產的高純溴化氫產品通過了華力微、華力集、華虹宏力等國內知名芯片企業的認證,即將開始批量供應。這標志著綠菱氣體成功完成高純溴化氫國產替代,將為國內半導體終端用戶提供可靠的原料支持。公司憑借多年的研發經驗,在位于天津南港工業區的新工廠成功實現高純 HBr 批量生產,年
75、產量可達 300 噸。資料來源:各公司公告、半導體前沿公眾號、新材料標準化公眾號、紅刊財經公眾號、開源證券研究所 2.3、電鍍電鍍液液 電鍍工藝廣泛應用于芯片制造和封裝,電鍍液是核心原材料。電鍍工藝廣泛應用于芯片制造和封裝,電鍍液是核心原材料。前端制造過程的電鍍是指在芯片制造和封裝過程中,將電鍍液中的金屬離子電鍍到晶圓表面形成金屬互連的工藝;后端封裝的電鍍是指在芯片封裝過程中,在三維硅通孔、重布線、凸塊工藝中進行金屬化薄膜沉積的過程。電鍍液作為電鍍工藝的核心原材料,主要由加速劑、抑制劑及整平劑組成,通過不同組分相互作用,能夠實現從下到上的填充效果以及改善鍍層晶粒、外觀及平整度。圖圖28:電鍍液
76、是前道銅互連電鍍工藝核心原材料電鍍液是前道銅互連電鍍工藝核心原材料 圖圖29:電鍍液廣泛應用于電鍍液廣泛應用于芯片制造后道先進封裝電鍍芯片制造后道先進封裝電鍍 資料來源:盛美上海招股說明書 資料來源:盛美上海招股說明書 晶圓制造方面,晶圓制造方面,隨隨著制程著制程越來越越來越先進先進,芯片銅互連成為主流技術,芯片銅互連成為主流技術。芯片銅互連的制造工藝是在晶圓的溝槽上采用電鍍的方法沉積、填充銅金屬的工藝,銅互連工藝具有更低的電阻率、抗電遷移性,能夠滿足芯片尺寸更小、功能更強大、能耗更低的技術性能要求。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 22/48 圖圖30:芯片銅
77、互連工藝成為主流技術芯片銅互連工藝成為主流技術 資料來源:上海新陽招股說明書 先進封裝方面,凸塊電鍍、再分布線、硅通孔(先進封裝方面,凸塊電鍍、再分布線、硅通孔(TSV)電鍍等是超越摩爾定律)電鍍等是超越摩爾定律的關鍵。的關鍵。為了進一步提高集成電路性能,需要縮短晶圓間、晶圓與印刷電路板間連線距離,因此超越摩爾技術變得越來越重要,三維硅通孔、重布線、凸塊工藝等先進封裝工藝也因此開始大規模使用。而這三種封裝工藝都需要進行金屬化薄膜沉積,這將顯著拉動相關電鍍液的需求,如銅、鎳、錫、銀、金電鍍液等。圖圖31:晶圓凸塊(晶圓凸塊(Bumping)鍍銅工藝拉動電鍍液需求)鍍銅工藝拉動電鍍液需求 圖圖32
78、:電鍍液廣泛應用于晶圓硅通孔(電鍍液廣泛應用于晶圓硅通孔(TSV)鍍銅工藝)鍍銅工藝 資料來源:上海新陽招股說明書 資料來源:上海新陽招股說明書 需求方面,需求方面,預計預計 2029 年全球電鍍液銷售額將達年全球電鍍液銷售額將達 12.03 億美元,我國將達億美元,我國將達 3.52 億億美元。美元。隨著先進邏輯器件節點帶來的互連層的增加,先進封裝對重新布線層和銅柱結構應用的增加,以及廣泛運用銅互連技術的半導體器件整體增長,帶動了電鍍液及其添加劑市場的增長。根據 QYResearch 統計數據,2022 年全球高純電鍍液市場規模為 5.87 億美元,同比+11.89%,預計 2029 年將增
79、長至 12.03 億美元,2022-2029年均復合增速將達到 10.79%。我國 2022 年高純電鍍液的市場規模為 1.69 億美元,2021 年電鍍液及配套試劑需求量為 2.15 萬噸。預計 2029 年市場規模將增長至 3.52億美元,2022-2029 年均復合增速將達到 11.05%,全球市場份額占比也將增長至29.23%。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 23/48 圖圖33:全球高純電鍍液銷售額穩步增長(億美元,全球高純電鍍液銷售額穩步增長(億美元,%)圖圖34:2029 年中國高純電鍍液銷售額預計年中國高純電鍍液銷售額預計 3.52 億美元億美
80、元 數據來源:QYResearch、開源證券研究所 數據來源:QYResearch、開源證券研究所 圖圖35:我國電鍍液及配套試劑需求保持穩定增長(萬噸)我國電鍍液及配套試劑需求保持穩定增長(萬噸)數據來源:中國電子材料行業協會、電鍍圈、開源證券研究所 需求結構方面,需求結構方面,銅電鍍液占比最高達銅電鍍液占比最高達 65.13%。從產品類型和技術的角度來看,銅電鍍液占據行業主流,2022 年需求占比達 65.13%,其次為錫電鍍液和金電鍍液,占比分別為 11.90%和 5.45%。QYResearch 預計,2023-2029 年各類型電鍍液將保持相對穩定的格局,市場份額比重變化不大。從產品
81、下游應用的角度考慮,半導體用高純電鍍液占據絕大多數市場份額,2022 年需求占比達 81.53%。其次為太陽能用高純電鍍液,2022 年需求占比為 7.01%圖圖36:2022 年全球銅電鍍液產品占比最高(年全球銅電鍍液產品占比最高(%)圖圖37:2022 年全球半導體用高純電鍍液需求旺盛(年全球半導體用高純電鍍液需求旺盛(%)數據來源:QYResearch、開源證券研究所 數據來源:QYResearch、開源證券研究所 -5%0%5%10%15%051015銷售額(億美元)增長率(%)1.69 3.52 0123420222029E銷售額(億美元)2.2 3.20123420212025E需
82、求量(萬噸)銅電鍍液,65.13%錫電鍍液,11.90%金電鍍液,5.45%其他類型電鍍液,17.52%半導體用高純度電解液,81.35%太陽能電池柵用高純度電解液,7.01%其他應用層面高純度電解液,11.64%行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 24/48 從供給端來看,電鍍液市場份額依舊被國外企業占據,我國產業升級迫切。從供給端來看,電鍍液市場份額依舊被國外企業占據,我國產業升級迫切。目前全球主要電鍍液生產商為 Umicore、MacDermid、TANAKA、Japan Pure Chemical和 BASF 等,根據 QYResearch 統計,2022
83、 年五大廠商市場銷售額合計達到 4.08 億美元,占全球高純度電鍍液市場規模的 69.49%,行業依舊呈現寡頭壟斷格局。我國方面,目前國內企業生產的電鍍液下游應用依舊以傳統封裝為主,晶圓制造和先進封裝依舊被外國企業所占據,因此我國電鍍液產業升級需求迫切。圖圖38:全球電鍍市場依舊被國外企業所占據(全球電鍍市場依舊被國外企業所占據(%)數據來源:QYResearch、開源證券研究所 中國電鍍液行業的競爭格局正在經歷由依賴進口向國產化轉變的重要階段。中國電鍍液行業的競爭格局正在經歷由依賴進口向國產化轉變的重要階段。國內企業積極投身于電鍍液的研發和生產,以實現對關鍵技術和高端產品的國產替代。目前以上
84、海新陽為代表的廠商正不斷開發新一代電鍍產品并逐步開發市場進行客戶導入,以抓住未來先進封裝領域帶來的巨大機遇。表表8:國內國內電鍍液電鍍液廠商廠商正向先進封裝領域進發正向先進封裝領域進發 公司名稱公司名稱 產品布局產品布局 上海新陽 晶圓制造及先進封裝用電鍍液和添加劑系列產品為公司面向芯片制造領域開發的第二代電子電鍍產品,公司晶圓制造用電鍍液及添加劑系列產品市場份額快速增長,深入開發銅互連電鍍液及添加劑。安集科技 公司研發產品已覆蓋多種電鍍液及添加劑產品,并有多款產品在先進封裝領域已進入客戶量產導入階段。艾森股份 公司電鍍液產品能夠適用于多種間距、不同引腳數的引線框架產品,除了覆蓋 DIP、TO
85、、SOT、SOP 等常用封裝形式外,亦適用于 DFN、QFN 等多種中高端芯片中應用的無引腳封裝。資料來源:上海新陽 2023 年年報、艾邦半導體網公眾號、CINNO 公眾號、開源證券研究所 2.4、靶材靶材 靶材為薄膜制備技術中的關鍵原材料。靶材為薄膜制備技術中的關鍵原材料。在超大規模集成電路的制造過程中,濺射工藝作為物理氣相沉積(PVD)技術的一種重要方式被廣泛應用于電子薄膜材料的制備中。該技術通過利用離子源生成的離子,在高真空環境下經過加速后形成高速離子束流對固體表面進行轟擊。此過程中,離子與固體表面原子之間發生動能交換,導致固體表面原子脫離并在基底上沉積形成薄膜。作為濺射過程中被轟擊的
86、固體即為用于沉積薄膜的原材料,稱之為濺射靶材。Umicore、MacDermid、TANAKA、Japan Pure Chemical、BASF合計,69.49%其他,30.51%行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 25/48 圖圖39:濺射靶材主要由靶坯、背板等部分構成濺射靶材主要由靶坯、背板等部分構成 資料來源:江豐電子招股說明書 濺射靶材的種類繁多,即便是相同類型的濺射靶材,亦存在多種規格。濺射靶材的種類繁多,即便是相同類型的濺射靶材,亦存在多種規格。根據不同的分類標準,濺射靶材可以被劃分為若干類別。例如根據化學成分劃分,靶材可以分為金屬靶材、合金靶材以及陶
87、瓷化合物靶材,而合金靶材具有不同于單一金屬材料的物理和化學特性,如改進的機械強度、耐腐蝕性或特定的電磁特性等。靶材主要分類情況如下表所示。表表9:濺射靶材的種類眾多濺射靶材的種類眾多 分類標準分類標準 產品類別產品類別 按形狀分類 長靶、方靶、圓靶 按化學成分分類 金屬靶材(純金屬鋁、鈦、銅、鉭等)、合金靶材(鎳鉻合金、鎳鈷合金等)、陶瓷化合物靶材(氧化物、硅化物、碳化物、硫化物等)按應用領域分類 半導體芯片靶材、平面顯示器靶材、太陽能電池靶材、信息存儲靶材、工具改性靶材、電子器件靶材、其他靶材 資料來源:江豐電子招股說明書、開源證券研究所 靶材終端應用以平面顯示、半導體集成電路領域為主,靶材
88、終端應用以平面顯示、半導體集成電路領域為主,包含針對各類市場需求利用封裝好的元器件制成面向最終用戶的產品,如汽車電子設備、智能手機、平板電腦以及家庭電器等消費電子產品。此外,靶材在終端應用也包括太陽能電池的制備、光學薄膜的鍍覆、工具的性能改善及高端裝飾品的制作等方面,應用技術涉及領域廣泛,展現出多樣化的特點。在半導體領域中,靶材主要用于晶圓的制造和封裝過程。在半導體領域中,靶材主要用于晶圓的制造和封裝過程。在半導體靶材濺射鍍膜后,需要將鍍膜硅片切割并進行芯片封裝,該步驟涉及將電路通過導線與外部接口連接,以實現與其他電子組件的互連。封裝過程不僅保護了芯片,也將其與外部環境隔離,避免空氣中的污染物
89、侵蝕芯片電路,從而保障了其導電性能。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 26/48 圖圖40:濺射靶材應用以平面顯示領域、半導體集成電路、濺射靶材應用以平面顯示領域、半導體集成電路、太陽能電池領域為主太陽能電池領域為主 圖圖41:半導體集成電路用濺射靶材主要用于芯片封裝與半導體集成電路用濺射靶材主要用于芯片封裝與晶圓制造晶圓制造 資料來源:映日科技招股說明書、頭豹研究院 資料來源:歐萊新材招股說明書 半導體芯片行業是金屬濺射靶材的主要應用領域之一,也是對靶材的成分、組半導體芯片行業是金屬濺射靶材的主要應用領域之一,也是對靶材的成分、組織和性能要求最高的領域??椇托?/p>
90、能要求最高的領域。信息技術的迅猛發展推動了集成電路集成度的持續提升以及電路中單元器件尺寸的逐漸縮小,這對濺射靶材的需求產生了直接影響。在集成電路的每個單元器件中,無論是襯底、絕緣層、介質層、導電層還是保護層,濺射鍍膜工藝均扮演了不可或缺的角色,由此可以看出濺射靶材是集成電路制備過程中的關鍵原材料。用于集成電路領域鍍膜的靶材主要涵蓋鋁、鈦、銅、鉭、鎢鈦等多種材料,這些靶材通常要求具有極高的純度,一般達到 5N(99.999%)或更高。表表10:半導體用濺射靶材半導體用濺射靶材需求旺盛、需求旺盛、性能要求最高性能要求最高 材料 應用說明 備注 銅靶 導電層 高純銅材料因其電阻很低,對芯片集成度的提
91、高非常有效,因此在 110nm 以下技術節中被大量用作布線材料。銅靶和鉭靶通常配合起來使用。晶圓的制造技術,目前正在朝著更小的制程方向發展,銅導線工藝的應用量在逐步增大,因此,銅和鉭靶材的需求將有望持續增長。鉭靶 阻擋層 高純鉭靶主要用在12英寸晶圓片 90nm以下的高端半導體芯片上。鋁靶 導電層 高純鋁靶在制作半導體芯片導電層方面應用甚廣,但因其響應速度方面的原因,而在 110nm 以下技術節點中很少應用。鋁靶和鈦靶通常配合起來使用。目前,在汽車電子芯片等需要110nm 以上技術節點來保證其穩定性和抗干擾性的領域,仍需大量使用鋁、鈦靶材。鈦靶 阻擋層 高純鈦靶主要用在 8 英寸晶圓片 130
92、 和 180nm技術節點上。鎳鉑合金靶 接觸層 可與芯片表面的硅層生成一層薄膜,起到接觸作用。-鈷靶 接觸層 可與芯片表面的硅層生成一層薄膜,起到接觸作用。-鎢鈦合金靶 接觸層 鎢鈦合金,由于其電子遷移率低等優點,可作為接觸層材料用在芯片的門電路中。-資料來源:隆華科技可轉債發行說明書、開源證券研究所 全球半導體靶材市場持續穩健增長,中國市場增速超過全球平均水平。全球半導體靶材市場持續穩健增長,中國市場增速超過全球平均水平。2022 年全球半導體用靶材市場的總值達到 18.43 億美元,同比+8.73%,且在 2017 至 2022年間年均復合增長率 CAGR 保持在 8.27%,表現出穩定的
93、增長趨勢。2022 年中國的半導體材料市場總值為 21 億元,預計 2023 年將達到 23.50 億元,同比+11.90%,2018至 2022 年間的年均復合增長率 CAGR 達到 8.78%,高于全球平均增速。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 27/48 圖圖42:全球半導體靶材市場規模增速回升(億美元)全球半導體靶材市場規模增速回升(億美元)圖圖43:中國半導體靶材市場規模穩步增長(億元)中國半導體靶材市場規模穩步增長(億元)數據來源:濺射靶材在集成電路領域的應用及市場情況侯潔娜等、開源證券研究所 數據來源:行行查、開源證券研究所 從國外市場來看,呈現出
94、高度從國外市場來看,呈現出高度集中的競爭格局集中的競爭格局。濺射鍍膜技術源自國外,對濺射靶材的性能和專業應用提出了高標準要求。代表性企業如日礦金屬、霍尼韋爾、東曹、普萊克斯等廠商較早進入此領域,在全球濺射靶材市場中占據領先地位,掌握約 80%市場份額。從國內市場來看,內外資企業從國內市場來看,內外資企業呈現出五五開的呈現出五五開的競爭格局。競爭格局。國內靶材市場中,外資企業占據 50%的市場份額,而以江豐電子、有研新材為主的內資企業占據國內市場份額的 48%,打破了美日企業在該領域的長期壟斷,對推動我國實現國產替代具有重要意義。圖圖44:全球靶材競爭格局以美日企業為主導全球靶材競爭格局以美日企
95、業為主導(2021 年)年)圖圖45:國內競爭格局內資與外資五五開國內競爭格局內資與外資五五開(2018 年)年)數據來源:濺射靶材在集成電路領域的應用及市場情況侯潔娜等、開源證券研究所 數據來源:頭豹研究院、開源證券研究所 國內靶材廠商已開始突破一系列技術壁壘,實現對部分關鍵靶材的國產化。國內靶材廠商已開始突破一系列技術壁壘,實現對部分關鍵靶材的國產化。國內企業如江豐電子已能夠生產出滿足國內外半導體制造企業需求的多種靶材產品,包括銅靶、鈦靶、鋁靶等,這些產品已被廣泛應用于集成電路制造、平板顯示生產等領域。預計隨著國內企業技術實力的進一步增強和市場競爭地位的提升,中國將在全球半導體用靶材市場中
96、占據更重要的位置。表表11:國內企業已實現大部分半導體用靶材國產替代國內企業已實現大部分半導體用靶材國產替代 公司名稱公司名稱 半導體用靶材生產情況半導體用靶材生產情況 江豐電子 目前公司生產的超高純銅靶、超高純銅錳合金靶材已經在客戶端批量生產。江豐電子的超高純金屬濺射靶材品類比較穩定,主要產品包括超高純鋁靶材、超高純鈦靶材及環件、超高純鉭靶材及環件、超高純銅靶材及環件、鎢鈦靶、鎳靶和鎢靶等。有研新材 有研新材是半導體靶材生產的主力廠商,公司的 12 英寸高純鈷靶和鈷陽極產品也已突破關鍵技術,產品性能達到國際先進水平。隆華科技 隆華科技旗下公司豐聯科光電主要產品包括 TFT-LCD/AMOLE
97、D 用高純鉬及鉬合金靶材、高純銀合金、高純鎢及鎢合金靶7.23 8.58 8.53 9.10 10.50 11.73 5.16 5.32 5.21 5.54 6.45 6.70 05101520201720182019202020212022封裝測試用濺射靶材(億美元)晶圓制造用濺射靶材(億美元)15.00 15.50 17.00 18.00 21.00 23.50 0510152025201820192020202120222023E中國半導體靶材市場規模(億元)日本JX金屬,30.00%美國霍尼韋爾,20.00%日本東曹,20.00%美國普萊克斯,10.00%其他,20.00%外資企業,5
98、0.00%江豐電子,40.00%有研新材,8.00%其他,2.00%行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 28/48 公司名稱公司名稱 半導體用靶材生產情況半導體用靶材生產情況 材、高純鈦、等系列金屬靶材產品、半導體 IC 制造用超高純濺射靶材。資料來源:半導體產業縱橫公眾號、友財投資公眾號、珠海高新招商公眾號、開源證券研究所 2.5、CMP 材料材料&臨時鍵合膠臨時鍵合膠 化學機械化學機械拋光拋光(Chemical Mechanical Polishing,CMP)是一種用于處理硅晶圓)是一種用于處理硅晶圓或其他襯底材料的表面平坦化技術?;蚱渌r底材料的表面平坦化
99、技術。CMP 設備包括拋光、清洗和傳送三個模塊。在拋光過程中,拋光頭將晶圓的待拋光面與粗糙的拋光墊接觸,并通過讓研磨液填充在研磨墊的空隙中,使圓片在研磨頭的帶動下高速旋轉,與研磨墊和研磨液中的研磨顆粒相互作用,實現全局平坦化。由于當前集成電路元件采用多層立體布線,因此集成電路制造的前道工藝需要進行多次循環,并且隨著芯片尺寸的減小,對表面平整度的要求也越來越高。在這個過程中,CMP 技術是實現晶圓表面平坦化的關鍵工藝,也是推進集成電路制造中工藝節點升級的重要環節。圖圖46:CMP 拋光模塊示意圖拋光模塊示意圖 圖圖47:CMP 拋光作業原理圖拋光作業原理圖 資料來源:華海清科招股說明書 資料來源
100、:華海清科招股說明書 CMP 超越傳統平面化技術,是目前幾乎唯一的可以提供全局平面化的技術。超越傳統平面化技術,是目前幾乎唯一的可以提供全局平面化的技術。CMP 技術最早出現在 1965 年,當時提出了以二氧化硅為拋光漿料的 CMP 技術。在此之前,半導體基片的拋光主要以機械拋光為主,采用諸如氧化鎂、氧化鋯等機械拋光方法,得到的表面損傷極其嚴重。而運用 CMP 硅片平坦化技術能夠極大的提高拋光精度、拋光速率和拋光破壞深度等方面,而且加工方法簡單,成本低廉,也是目前幾乎唯一能夠實現全局平坦化的技術。圖圖48:CMP 拋光速率對比拋光速率對比 圖圖49:CMP 平坦化效果圖平坦化效果圖 數據來源:
101、華海清科招股說明書 資料來源:華海清科招股說明書 CMP 在硅片制造、前道工藝以及后道工藝中均有應用,其中集成電路制造是在硅片制造、前道工藝以及后道工藝中均有應用,其中集成電路制造是CMP 工藝的主要應用場景工藝的主要應用場景。在硅片制造環節中,經過刻蝕、離子注入等工藝后,硅片表面會出現不平整和多余的表面物質,通過 CMP 來實現硅片表面的平坦化。在集行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 29/48 成電路制造過程中,CMP 主要用于多層立體布線中的平坦化,確保各層之間的良好連接和信號傳輸。在封測環節中,CMP 工藝被廣泛應用于先進封裝領域,包括硅通孔(TSV)技術
102、、扇出(Fan-Out)技術、3DIC 等都將用到大量 CMP 工藝。圖圖50:CMP 技術應用廣泛技術應用廣泛 資料來源:華海清科招股說明書、開源證券研究所 CMP 拋光步驟隨著晶圓制造技術進步而不斷增加,拋光步驟隨著晶圓制造技術進步而不斷增加,CMP 拋光材料用量也與晶拋光材料用量也與晶圓芯片制程變化高度相關。圓芯片制程變化高度相關。邏輯芯片方面,14 納米以下邏輯芯片要求的 CMP 工藝將達到 21 步,使用的拋光液將從 90 納米的五六種拋光液增加到二十種以上,使用種類和用量都迅速增長;7 納米及以下邏輯芯片工藝中 CMP 拋光步驟將會達到 30步及以上,使用的拋光液種類接近三十種。存
103、儲芯片方面,在由 2DNAND 向 3DNAND發展的過程中,拋光步驟從 7 步提升到了 15 步,提升了兩倍之多,并且 3DNAND堆疊層數也會帶動 CMP 拋光材料的需求。圖圖51:CMP 拋光步驟隨集成電路技術進步而增加(次)拋光步驟隨集成電路技術進步而增加(次)圖圖52:CMP 拋光步驟隨存儲芯片技術升級而增加(次)拋光步驟隨存儲芯片技術升級而增加(次)數據來源:公司公告、開源證券研究所 數據來源:公司公告、開源證券研究所 先進封裝的應用使先進封裝的應用使 CMP 從晶圓制造前道工藝走向后道工藝。從晶圓制造前道工藝走向后道工藝。在封裝領域,傳統的 2D 封裝并不需要 CMP 工藝,但隨
104、著系統級封裝等新的封裝方式的發展,出現了倒裝、凸塊、晶圓級封裝、2.5D 封裝和 3D 封裝等先進封裝技術。其中 TSV 技術通過銅、鎢、多晶硅等導電物質的填充,實現硅通孔的垂直電氣互連,是實現芯片之間互連的最新技術,也是繼線鍵合(Wire Bonding)、TAB 和倒裝芯片(FC)之后的第四代封裝技術。并且由于并且由于 TSV 技術中需要將技術中需要將 CMP 工藝用于工藝用于 TSV 背面金屬的露背面金屬的露出,為背面互連的加工做好準備,故將出,為背面互連的加工做好準備,故將 CMP 從前道工藝帶入到了后道工藝之中,從前道工藝帶入到了后道工藝之中,進一步加大了進一步加大了 CMP 拋光材
105、料的需求。拋光材料的需求。810111214171820212830010203040拋光步驟2659051015202DNAND3DNAND拋光步驟:鎢拋光步驟:其他行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 30/48 圖圖53:TSV 工藝示意圖工藝示意圖 資料來源:三維系統級封裝(3D-SiP)中的硅通孔技術研究進展王美玉等 在在 CMP 材料中,拋光墊與拋光液材料中,拋光墊與拋光液市場份額市場份額占比總和超過占比總和超過 80%,價值最高。,價值最高。根據 SEMI 統計,在晶圓制造材料中,CMP 拋光材料份額占比 7.1%。而 CMP 拋光材料又包括拋光液、拋
106、光墊、調節劑、清洗劑以及其他添加劑,其中拋光液和拋光墊分別占據 CMP 材料 49%和 33%的市場份額。其次為調節劑和清洗液,主要用于去除殘留在晶圓表面的微塵顆粒、有機物、無機物、金屬離子、氧化物等雜質。圖圖54:2021 年全球年全球 CMP 拋光材料占晶圓制造材料拋光材料占晶圓制造材料7.10%(%)圖圖55:2021 年全球拋光液和拋光墊占拋光材料年全球拋光液和拋光墊占拋光材料 82%(%)數據來源:SEMI、華經產業研究院、開源證券研究所 數據來源:SEMI、前瞻產業研究院、開源證券研究所 2.5.1、拋光墊拋光墊 拋光墊是拋光墊是 CMP 實現平坦化的核心部件。實現平坦化的核心部件
107、。拋光墊是一種柔軟疏松的材料,一般由聚氨酯、聚乙烯構成,其表面通常有許多小孔,可以容納拋光液。拋光墊的作用主要有存儲和運輸拋光液、排出拋光過程中產生的廢物、去除機械負荷保證拋光的平穩進行。拋光墊的參數指標,如材質硬度、彈性、壓縮比等都起到重要作用,同時,其表面結構和表面粗糙度可通過影響拋光液流動和分布,來影響拋光效率和平坦性指標。硅材料,33.0%工藝化學品,14.0%光掩模,12.9%光刻膠配套試劑,7.0%CMP拋光材料,7.1%光刻膠,6.1%電子氣體,4.0%濺射靶材,2.9%其他,12.9%拋光液,49%拋光墊,33%調節劑,9%清洗劑,5%其他,4%行業深度報告行業深度報告 請務必
108、參閱正文后面的信息披露和法律聲明 31/48 圖圖56:CMP 拋光墊核心參數拋光墊核心參數 資料來源:華經產業研究院、開源證券研究所 拋光墊品類豐富。拋光墊品類豐富。拋光墊根據是否含有磨料可分為磨料拋光墊以及無磨料拋光墊;根據基材可分為聚氨酯拋光墊、無紡布拋光墊和復合型拋光墊,其中聚氨酯拋光墊為目前主流的拋光墊種類,它的聚合物對拋光面適應性好、種類多因而加工性好、成本較低,但是聚氨酯墊片硬度高,適合粗拋;根據表面結構可分為平面型拋光墊和網格型拋光墊。表表12:拋光墊具體分類情況拋光墊具體分類情況 分類標準 分類名稱 性能 按是否含有磨料 磨料拋光墊 磨料拋光墊的表面有磨料顆粒,可以對晶圓表面
109、進行物理拋光,拋光效率高,但容易對晶圓表面造成損傷。無磨料拋光墊 無磨料拋光墊的表面沒有磨料顆粒,只能對晶圓表面進行化學拋光,對晶圓表面損傷小,但拋光效率低。按基材 聚氨酯拋光墊 聚氨酯表面有許多空球體微孔封閉單元結構,能起到收集加工去除物、傳送拋光液以及保證化學腐蝕等作用,有利于提高拋光均勻性和拋光效率,孔尺寸越大其運輸能力越強 無紡布拋光墊 無紡布拋光墊的原材料聚合物棉絮類纖維滲水性能好,容納拋光液的能力強,但是其硬度較低、對材料去除率低,因此會降低拋光片平坦化效率。常用在細拋工藝中。復合型拋光墊 復合型拋光墊采用“上硬下軟的上下兩層復合結構,兼顧平坦度和非均勻性要求,將目前拋光墊的回彈率
110、大幅降低,減少了拋光墊的凹陷和提高了均勻性,解決了因拋光墊使用過程中易釉化的問題。按表面結構 平面型拋光墊 平面型拋光墊表面平坦,拋光效率高,缺點是容易對晶圓表面造成損傷 網格型拋光墊 網格型拋光墊的表面上有許多網格狀的孔洞,對晶圓表面損傷小,缺點是拋光效率低 資料來源:集成電路材料研究公眾號、開源證券研究所 全球全球 CMP 拋光液、拋光墊市場規模拋光液、拋光墊市場規模創新高創新高。拋光墊方面,隨著全球晶圓廠產能不斷提高以及先進制程工藝的快速發展,全球拋光墊市場增長速度可觀。根據TECHCET,2021 年全球 CMP 拋光材料市場規模達到 30 億美元,其中拋光墊市場規模達到 11.3 億
111、美元,2016-2021 年 CAGR 達到 11.69%。中國 CMP 拋光墊 2016-2021年市場規模從 8.10 億元增長到 13.13 億元,CAGR 達到 10.15%,基本與全球增速保持一致。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 32/48 圖圖57:全球全球 CMP 拋光墊市場規模波動增長(億美元,拋光墊市場規模波動增長(億美元,%)圖圖58:中國中國 CMP 拋光墊市場規模加速增長(億元,拋光墊市場規模加速增長(億元,%)數據來源:TECHCET、集成電路材料研究公眾號、開源證券研究所 數據來源:TECHCET、集成電路材料研究公眾號、開源證券研
112、究所 從從競爭格局競爭格局來看,全球拋光墊方面市場呈現來看,全球拋光墊方面市場呈現寡頭壟斷寡頭壟斷的的格局格局。美國陶氏杜邦市占率達到 76%,其他依次為 Cabot(12%)、Fujibo(6%)、TWI(3%)等,基本為美日企業所壟斷。國內廠商以鼎龍股份為代表,在 CMP 拋光墊產品方面,公司是國內唯一一家全面掌握 CMP 拋光墊全流程核心研發技術和生產工藝的 CMP 拋光墊供應商,確立 CMP 拋光墊國產供應行業領先地位。圖圖59:2022 年全球年全球 CMP 拋光墊市場份拋光墊市場份額集中(額集中(%)數據來源:集成電路材料研究公眾號、開源證券研究所 從拋光墊產品布局來看,海外廠商各
113、有專攻。從拋光墊產品布局來看,海外廠商各有專攻。其中,Cabot 公司主要以聚氨酯類拋光墊為主,可定制精確的硬度、孔徑、可壓縮性和凹槽圖案;陶氏杜邦公司可以提供全系列的可定制拋光墊產品,其最早推出的 IC1000 拋光墊已經成為拋光墊行業的測試標準;Fujibo 以聚氨酯及無紡布類拋光墊及背墊為主。表表13:海外主要廠商產品品類情況海外主要廠商產品品類情況 公司公司 產品類別產品類別 產品系列產品系列 陶氏 全系列可定制拋光墊產品 IC1000M、Ikonic、Optivision、Optivision PRO、Politex、Suba、Visionpad Cabot 聚氨酯類拋光墊,可定制精
114、確的硬度、孔徑、可壓縮性和凹槽圖案 NexPlanar、MEDEA、Epic、Epic Power Fujibo 聚氨酯及無紡布類拋光墊及背墊 FP series、FX seires、FXA series、Suede series TMI 不同硬度拋光墊產品 PuRa、WestPad 資料來源:集成電路材料研究公眾號、開源證券研究所 6.577.4710.211.38%6%-5%46%11%-10%0%10%20%30%40%50%024681012201620172018201920202021市場規模(億美元)YoY(%)8.1010.1710.5511.1211.8713.1315.48
115、26%4%5%7%11%18%0%5%10%15%20%25%30%051015202016201720182019202020212022市場規模(億元)YoY(%)DOW,76.00%Cabot,12.00%Fujibo,6.00%TWI,3.00%其他,3.00%行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 33/48 2.5.2、拋光液拋光液 拋光液是一種拋光液是一種水溶性試劑水溶性試劑,主要組成成分有研磨粒子、氧化劑和其他一些化學,主要組成成分有研磨粒子、氧化劑和其他一些化學試劑。試劑。磨料可以是二氧化硅、氧化鋁或其他硬質材料;氧化劑可以是過氧化氫、高錳酸鉀或其他
116、強氧化劑;其他化學試劑可以是表面活性劑、絡合劑或其他化學物質。其中磨料起到機械磨削的作用,是決定拋光液性能的關鍵原料。在拋光過程中,拋光液與硅片表面材料產生化學反應,在其表面產生一層薄膜,后由拋光液中的研磨粒子在按壓和摩擦的作用下將其去除,最終實現拋光。圖圖60:拋光液主要由研磨粒子、表面活性劑、緩蝕劑等組成拋光液主要由研磨粒子、表面活性劑、緩蝕劑等組成 資料來源:華經產業研究院、開源證券研究所 拋光液種類繁多,定制化產品居多,研磨粒子是關鍵。拋光液種類繁多,定制化產品居多,研磨粒子是關鍵。根據應用領域的不同,大致分為硅拋光液、銅及銅阻擋層拋光液、鎢拋光液、鈷拋光液、層間介質層拋光液、淺槽隔離
117、層拋光液和 3D 封裝硅通孔拋光液。在集成電路制造拋光的過程中,晶圓廠會根據每一步晶圓芯片平坦度的加工要求,選擇符合去除率和表面粗糙度等相關指標要求的 CMP 拋光液,來提升其良率,因此研磨液中的研磨粒子是關鍵。表表14:拋光液分類及其應用領域拋光液分類及其應用領域 分類分類 應用領域應用領域 硅拋光液 用于單晶硅/多晶硅的拋光,主要用于硅晶圓初步加工 銅及銅阻擋層拋光液 芯片中銅及阻擋層的去除和平坦化。生產邏輯、存儲芯片需大量使用 鎢拋光液 芯片中鎢塞和鎢通孔的平坦化。生產存儲芯片需大量使用,邏輯芯片只用于部分工藝 鈷拋光液 用于 10nm 節點以下芯片中鈷的去除和平坦 層間介質層(TDL)
118、拋光液 用于集成電路制造工藝中層間電介質(inter-layerdielectric,ILD)和金屬間電介質(inter-metaldielectric,IMD)的去除和平坦化 淺槽隔離層(STI)拋光液 用于集成電路制造工藝中淺槽隔離的拋光。3D 封裝硅通孔(TSV)拋光液 用于對硅通孔(TSV)的拋光 資料來源:華經產業研究院、開源證券研究所 行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 34/48 全球全球 CMP 拋光液市場規模穩健增長。拋光液市場規模穩健增長。根據 TECHCET,2022 年全球拋光液市場規模達到 20 億美元,同比+5.82%,預計 2021-
119、2025 年復合增長率為 6%。2023 年國內CMP拋光液市場規模預計將達到23億元,預計2023-2028年復合增長率達到15%,顯著高于全球市場復合增速,主要得益于中國晶圓產能的快速增長。圖圖61:全球拋光液市場規模穩步增長(億美元)全球拋光液市場規模穩步增長(億美元)圖圖62:中國拋光液市場規模中速增長(億元)中國拋光液市場規模中速增長(億元)數據來源:TECHCET、安集科技公告、開源證券研究所 數據來源:SEMI、前瞻產業研究院、開源證券研究所 注:2024-2027 年數據為采用年均 15%增速倒推計算得出 從從競爭格局競爭格局來看,全球市場產品集中度較高,主要由美日企業所長期壟
120、斷,來看,全球市場產品集中度較高,主要由美日企業所長期壟斷,2020年年占據超過占據超過 65%的市場份額。的市場份額。其中 Cabot 微電子占比第一,達到 36%,其他依次為Hitachi(15%)、Fujimi(11%)、Versum(10%)。國內廠商則以安集科技為代表,逐步突破國外壟斷奮起直追,2020 年占據全球拋光液市場 2%的份額,2021 年占據國內 30.8%的市場份額。圖圖63:2020 年全球拋光液市場競爭格局(年全球拋光液市場競爭格局(%)圖圖64:2021 年中國拋光液市場競爭格局(年中國拋光液市場競爭格局(%)數據來源:集成電路材料研究公眾號、開源證券研究所 數據
121、來源:SEMI、前瞻產業研究院、開源證券研究所 國內拋光材料龍頭已實現大部分國內拋光材料龍頭已實現大部分 CMP 拋光產品的國產替代。拋光產品的國產替代。隨著中國半導體產業的快速發展,對 CMP 拋光材料的需求也不斷增加。目前,中國已經有一批具有一定規模和實力的 CMP 拋光液企業,如鼎龍股份、安集科技等,在成熟制程領域已經實現了 CMP 材料的國產替代,并在先進制程領域也取得了一定的突破。表表15:國內國內 CMP 拋光材料廠商正逐步擴產搶占市場拋光材料廠商正逐步擴產搶占市場 品類 公司名稱 產品布局 拋光墊 鼎龍股份 公司拋光墊產品實現了全制程(氧化物、銅、鎢、鋁、淺溝槽隔離、阻擋層等)、
122、全技術節點(28nm 及以上的成熟制程、28nm 以下的先進制程)覆蓋,并向低密度拋光墊產品的新方向開展創新性研究。萬華化學 公司基于其本身在國內化工行業的領頭地位,正在煙臺經濟技術開發區內建設大規模集成電路平坦化關鍵材料(拋光墊+拋光液)項目,建成后希望實現 60-100 萬片/年產能。16.718.92025.301020302020202120222025E市場規模(億美元)16182023263034404601020304050202020212022 2023E 2024E 2025E 2026E 2027E 2028E市場規模(億元)Cabot,36%日立Hitachi,15%F
123、ujimi,11%Versum,10%安集科技,2%DOW,6%其他,20%安集科技,30.80%其他,69.20%行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 35/48 蘇州觀勝 公司于 2017 年投入 CMP 拋光墊生產,其股東臺灣智勝在拋光墊領域有 15 年生產經驗,在這一領域已經積累了 100多項專利技術,目前主要面向國內半導體市場生產以聚氨酯為基材制作的 CMP 拋光墊。上海芯謙 公司致力 CMP 耗材生產研發,計劃年產半導體用拋光墊約 10 萬片,目前已實現投產運營。拋光液 安集科技 安集科技是國內拋光液領域主流的供應商,產品包括 CMP 拋光液、清洗液等,
124、先后完成銅及銅阻擋層系列、鎢拋光液、硅拋光液、氧化物拋光液等產品的研發和產業化,已在 14130nm 技術節點實現規?;N售,710nm 技術節點產品正在研發中。鼎龍股份 拋光液方面,公司在 28nm 節點 HKMG 制程的鋁制程拋光液解決了海外廠商的技術“卡脖子”問題,已在 Oxide(氧化物)、SiN(氮化硅)、Poly(多晶硅)、Cu(銅)、Al(鋁)等 CMP 制程進行拋光液新產品的開發。上海新陽 目前上海新陽拋光液主要是氧化硅和氧化鈰基的拋光液,包括適用于淺槽隔離(STI)、介質層、鎢、銅以及銅阻擋層拋光液的系列產品,可覆蓋 14nm 及以上技術節點。公司已有成熟產品成功進入客戶端,
125、實現銷售。萬華化學 公司正在煙臺經濟技術開發區內建設大規模集成電路平坦化關鍵材料(拋光墊+拋光液)項目,建成后拋光液有望實現 1.5-2 萬噸/年產能。資料來源:集成電路材料研究公眾號、雪域資本公眾號、國華投資公眾號、開源證券研究所 2.5.3、臨時鍵合膠臨時鍵合膠 臨時鍵合技術被廣泛應用于半導體先進封裝中,臨時鍵合膠是臨時鍵合技術被廣泛應用于半導體先進封裝中,臨時鍵合膠是核心材料核心材料。臨時鍵合/解鍵合作為超薄晶圓減薄、拿持的核心技術,通過將器件晶圓固定在承載晶圓上,可為超薄器件晶圓提供足夠的機械支撐,保證器件晶圓能夠順利安全地完成后續工藝制程,如光刻、刻蝕、鈍化、濺射、電鍍和回流焊等。在
126、先進封裝制程快速發展的當下,臨時鍵合/解鍵合技術已經得到大力發展并廣泛運用到了晶圓級封裝(WLP)領域,如 PoP 層疊封裝、扇出型封裝、eWLB、硅通孔(TSV)、2.5D/3D 封裝等。隨著先進封裝的快速發展,臨時鍵合的關鍵材料臨時鍵合膠的需求也有望快速提升,市場增量空間可觀。圖圖65:臨時鍵合膠在晶圓級封裝中的應用及熱滑移解鍵合過程臨時鍵合膠在晶圓級封裝中的應用及熱滑移解鍵合過程 資料來源:臨時鍵合技術在晶圓級封裝領域的研究進展王方成等 全球臨時鍵合膠市場預計將全球臨時鍵合膠市場預計將穩步穩步發展。發展。隨著信息技術的迅速發展,對于更加先進的半導體封裝技術的需求也在增加,這直接推動了對臨
127、時鍵合膠市場的需求增長。根據 QYResearch 數據顯示,2022 年全球 TBA 市場規模為 13 億元,預計 2029 年將達到 23 億元,自 2022 年至 2029 年年均復合增長率 CAGR 為 8.2%,呈現出穩健增長態勢。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 36/48 圖圖66:全球臨時鍵合膠市場規模將全球臨時鍵合膠市場規模將穩步穩步增長(億元)增長(億元)數據來源:QYResearch、開源證券研究所 全球臨時鍵合膠市場外資高度壟斷。全球臨時鍵合膠市場外資高度壟斷。全球臨時鍵合膠市場的主要參與者包括 3M、Daxin Materials、Br
128、ewer Science、AI Technology、YINCAE Advanced Materials、Micro Materials、Promerus 和 Daetec 等,前三大廠商占據全球約 40%市場份額。鑒于我國大陸地區臨時鍵合膠行業起步時間較晚,目前實現規?;慨a的企業數量較少,基本處于海外壟斷的競爭格局。圖圖67:前三大廠商占有全球超過前三大廠商占有全球超過 40%的份額的份額(2022 年)年)數據來源:QYResearch、開源證券研究所 國內企業加快臨時鍵合膠布局。國內企業加快臨時鍵合膠布局。臨時鍵合膠市場長期以外資龍頭企業 3M、達興材料所壟斷,近些年國內上市公司以鼎龍
129、股份、飛凱材料為主正加緊臨時鍵合膠的產品開發與客戶驗證導入工作,對推動臨時鍵合膠實現國產替代具有重要意義。表表16:國內臨時鍵合膠廠商正加緊研發推進客戶驗證國內臨時鍵合膠廠商正加緊研發推進客戶驗證 廠商名稱廠商名稱 產品布局情況產品布局情況 美國 3M 3M 開發了 3M One Film WSS 半導體臨時粘合薄膜系列(3M One Film)和 3M晶圓支持系統(3MWSS)等解決方案,通過解決關鍵的耐熱性和耐化學性難題,幫助客戶實現晶圓級和面板級封裝以及復雜的扇出型晶圓級和面板級封裝,并具備3MUV-Curable Adhesive LC-3200 臨時鍵合膠產品。鼎龍股份 新產品開發、
130、驗證如期推進,其中臨時鍵合膠產品在國內某主流集成電路制造客戶端的驗證及量產導入工作基本完成,預計于 2023 年年內獲得首筆訂單。此外,公司持續根據市場情況和客戶需求拓展臨時鍵合膠的產品型號布局,新增型號預計于今年年底13 23 051015202520222029E全球TBA市場規模(億元)CAGR=8.2%3M、Daxin Materials、Brewer science,40.00%其他,60.00%行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 37/48 廠商名稱廠商名稱 產品布局情況產品布局情況 前完成小試送樣。先進封裝材料應用評價平臺建設完成并投入使用,加速相關
131、產品開發、驗證進度;臨時鍵合膠產業化建設已實施完成,具備量產供貨能力。飛凱材料 2022 年,公司用于半導體先進封裝 Fanout 制程工藝的先進封裝 Fanout 鍵合膠已實現部分客戶量產;用于泛半導體制造的 LED 芯片巨量轉移工藝的泛半導體臨時鍵合轉印膠正處于產品研發階段 資料來源:各公司公告、3M 官網、開源證券研究所 2.6、環氧塑封環氧塑封料料&硅硅/鋁鋁微粉微粉 2.6.1、環氧塑封環氧塑封料料 環氧塑封料以其優異的保護性能和電氣特性,成為電子封裝領域不可或缺的材環氧塑封料以其優異的保護性能和電氣特性,成為電子封裝領域不可或缺的材料。料。環氧樹脂模塑料(Epoxy Molding
132、 Compound,EMC)是一種用于封裝半導體的熱固性化學材質,其基礎成分為環氧樹脂,采用高性能酚醛樹脂作為固化劑,并融合了硅微粉等填充材料及多種助劑制備而成。其核心作用在于防護半導體芯片免受其核心作用在于防護半導體芯片免受外部環境因素(如水分、溫差、污染物等)的侵擾,并提供綜合性能如導熱、電絕外部環境因素(如水分、溫差、污染物等)的侵擾,并提供綜合性能如導熱、電絕緣、抗濕性、耐壓力以及支撐等。緣、抗濕性、耐壓力以及支撐等。圖圖68:環氧塑封料是一種以環氧樹脂為基礎的復合材料環氧塑封料是一種以環氧樹脂為基礎的復合材料 資料來源:華海誠科招股說明書 針對不同的下游封裝技術、應用領域及性能需求,
133、環氧塑封料針對不同的下游封裝技術、應用領域及性能需求,環氧塑封料可可細分為基礎細分為基礎類類、高性能高性能類類、先進封裝、先進封裝類類以及其他特定應用以及其他特定應用類類?;A型環氧塑封料主要適用于 TO、DIP 等傳統封裝方式,廣泛應用于消費電子產品和家庭電器等領域;而高性能型環氧塑封料則多用于 SOD、SOT、SOP 等封裝形式,其特點為極低的應力水平、優異的粘接能力和卓越的電性能或高度可靠性,這類產品的主要應用領域包括消費電子、汽車電子和新能源等。表表17:環氧塑封料類別多樣,各自針對不同的封裝形式環氧塑封料類別多樣,各自針對不同的封裝形式 產品類別 應用的封裝形式 代表型號 終端類型
134、基礎類 DO/TO/SMX/橋塊 EMS-100、EMS-250、EMG-100/120、EMG-200/250 消費電子(如玩具、充電器等)、家用電器、工業應用等 DIP EMG-350 工業應用、消費電子、家用電器等 TO220F/TO3PF/TO247 EMG-500/550 家用電器、消費電子、行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 38/48 新能源等 高性能類 SOD/SOT/SOP/TSSOP/QFP/LQFP/TO252/263/IGBT EMG-400-C、EMG-400-S、EMG-480-1Y、EMS-600-S、EMG-600-S、EMG-60
135、0-2、EMG-700-2EF 工業應用、消費電子、家用電器、網絡通信、汽車電子等 先進封裝類 LGA/BGA/能源 SiP/IPM EMG-700-N、EMG-700-B、EMG-700-BH、EMG-900-H、EMG-900-A 信息通訊(如基站)、汽車電子、新能源產業等 FOWLP/FOPLP EMG-900-G 系列 消費電子(如手機、電腦)、信息通訊等 其它應用類 DIP/SOT EMOG-300/500 系列 智能家居、工業應用等 稀土永磁無鐵芯電機、電磁屏蔽、PoP EMM/EMG-900-LDS 系列 消費電子、汽車電子、物聯網等 資料來源:華海誠科招股說明書、開源證券研究所
136、 隨著半導體芯片向更高的集成度和功能多樣化發展,環氧塑封料制造廠商需不隨著半導體芯片向更高的集成度和功能多樣化發展,環氧塑封料制造廠商需不斷開發新產品來滿足下游客戶日益增長的復雜性能需求斷開發新產品來滿足下游客戶日益增長的復雜性能需求。尤其是隨著 2.5、3D、HBM堆疊層數的增加,對環氧塑封綜合性能要求更高。因此,針對不同世代的封裝技術,必須定制開發特定的產品配方,這一過程涉及到精細的原材料選擇與配比調整。生產過程中的關鍵工藝參數,如加料順序、混合溫度、混煉時間以及攪拌速度等,對于不同的產品線均有所區別,導致各類環氧塑封料在物理化學性質、加工特性及最終應用效能等方面各異,業界稱之為“一代封裝
137、,一代材料”。表表18:歷代封裝技術對環氧塑封料的主要性能及產品配方要求逐步遞增歷代封裝技術對環氧塑封料的主要性能及產品配方要求逐步遞增 封裝技術發展階段封裝技術發展階段 對應封裝形式對應封裝形式 環氧塑封料性能要求環氧塑封料性能要求 發行人對應產品發行人對應產品 第一階段 TO、DIP 等 重點考察環氧塑封料的熱性能與電性能,要求在配方設計中關注固化時間、Tg、CTE、導熱系數、離子含量、氣孔率等因素 基礎類環氧塑封料 第二階段 SOT、SOP 等 重點考察環氧塑封料的可靠性、連續模塑性等性能,要求在配方設計中關注沖絲率、固化時間、流動性、離子含量、吸水率、粘接力、彎曲強度、彎曲模量等因素
138、高性能類環氧塑封料 第三階段 QFN、BGA 等 重點考察環氧塑封料的翹曲、可靠性、氣孔等性能,要求在配方設計中關注流動性、粘度、彎曲強度、彎曲模量、Tg、CTE、應力、吸水率、粘接力等因素 先進封裝類環氧塑封料 第四、第五階段 SiP、FOWLP 等 對環氧塑封料的翹曲、可靠性、氣孔提出了更高的要求,部分產品以顆粒狀或液態形式呈現,要求在配方設計中關注粘度、粘接力、吸水率、彎曲強度、彎曲模量、Tg、CTE、離子含量、顆粒狀材料的大小等因素 先進封裝類環氧塑封料 資料來源:華海誠科招股說明書、開源證券研究所 伴隨著信息技術的持續進步以及電子產品的發展,全球半導體市場正在穩步擴伴隨著信息技術的持
139、續進步以及電子產品的發展,全球半導體市場正在穩步擴展,從而帶動環氧塑封料的需求逐年攀升。展,從而帶動環氧塑封料的需求逐年攀升。根據 QYResearch 調研團隊發布的行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 39/48 2023-2029 年全球半導體用環氧塑封料市場研究報告,預計至 2029 年,全球半導體用環氧塑封料的市場規模將從 2022 年的 20.97 億元增長至 31.70 億元,期間復合年增長率 CAGR 為 4.7%。圖圖69:全球半導體用環氧塑封料市場規模穩健增長(億美元)全球半導體用環氧塑封料市場規模穩健增長(億美元)數據來源:QYResearch
140、、Wind、開源證券研究所 國內包封材料市場與環氧塑封料市場同步發展。國內包封材料市場與環氧塑封料市場同步發展。封裝用材料,尤其是包封材料,構成了芯片封裝的關鍵組成部分,在傳統與先進封裝技術中皆扮演著相當重要的角色。截至 2022 年,中國的包封材料市場規模已達到 77.2 億元,過去五年的復合年增長率 CAGR 保持在 5.8%,在此范疇內,環氧塑封料占包封材料的市場份額超過了90%。進一步細分來看,傳統封裝用環氧塑封料占據整個環氧塑封料市場的 93%。圖圖70:中國包封材料市場規模穩步發展(億元)中國包封材料市場規模穩步發展(億元)圖圖71:包封材料中環氧塑封料占據包封材料中環氧塑封料占據
141、 90%份額份額 數據來源:粉體網、開源證券研究所 數據來源:粉體網、開源證券研究所 全球半導體用環氧塑封料市場以外資企業為主。全球半導體用環氧塑封料市場以外資企業為主。依據 QYResearch 頭部企業研究中心分析,全球在半導體領域中使用的環氧塑封料的主要生產商包括住友電木(Sumitomo Bakelite)、昭和電工(Showa Denko)、松下(Panasonic)、京瓷(Kyocera)、信越化學(Shin-Etsu Chemical)、長春集團(Chang Chun Group)、KCC、三星 SDI(Samsung SDI)、永澤化學(Nagase ChemteX Corpo
142、ration)以及華為新材料(Hysol Huawei Electronics)等。2022 年上述前十大頭部企業在全球環氧塑封料市場中共同占據了約 63%的份額。20.97 31.70 01020304020222029E全球半導體用環氧塑封料市場規模(億美元)CAGR=4.7%53 58 62 61 63 74 77 0204060801002016201720182019202020212022中國包封材料市場規模(億元)其他,10%先進封裝用環氧塑封料,7%傳統封裝用環氧塑封料,93%環氧塑封料,90%行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 40/48 圖圖7
143、2:全球環氧塑封料前十大廠商外企占據大多數全球環氧塑封料前十大廠商外企占據大多數 數據來源:QYResearch、Wind、開源證券研究所 國內環氧塑封料廠商立足傳統封裝,積極布局先進封裝領域。國內環氧塑封料廠商立足傳統封裝,積極布局先進封裝領域。在中高端半導體封裝材料市場長期受到外資企業控制的現狀下,國內生產商從傳統封裝領域出發,逐漸擴展其市場份額,并且積極向先進封裝技術領域進軍,促進了高端產品向產業化方向的發展。在傳統封裝技術方面,國內企業在高性能產品領域的市場占有率持續增長,已經在長電科技、華天科技等主流封裝企業中,實現了對部分進口產品的替代。在先進封裝技術方面,領先的國內企業的產品已經
144、得到了長電科技和通富微電等知名客戶的認可,并已開始小規模生產和銷售;同時,其它相關產品也正在逐步通過客戶評估驗證,預期將逐漸步入產業化階段。表表19:國內環氧塑封料廠商國內環氧塑封料廠商產品布局正從傳統封裝領域向先進封裝領域逐步推進產品布局正從傳統封裝領域向先進封裝領域逐步推進 公司名稱公司名稱 產品布局產品布局 衡所華威 公司 1983 年開始涉足環氧塑封料業務,現有生產線 12 條,擁有 Hysol 品牌及 KL、GR、MG 系列等一百多個型號的產品。公司 2021 年全資收購韓國 ESMO Materials 并將其更名為 Hysolem,韓國子公司主要產品包括用于半導體封裝的黑色環氧塑
145、封料,用于光電器件封裝的白色及透明環氧塑封料,用于 LCD 電視和手機的底部填充及高導熱涂層材料,以及用于FOWLP 的液態 EMC。華海誠科 公司近期重點研究開發了半導體器件及集成電路的 S0P、TSSOP、QFP 等主流封市場專用材料并批量供貨,同時研發了MIS/pQFN 封裝專用材料并批量供貨,新材料 BGA/CSP、QFN 封裝專用材料等前沿技術產品,為公司快速可持續發展提供了較強的技術支撐??苹虏?公司自成立以來,不斷推出新技術、新產品,目前在售產品有微電子封裝用環氧塑封料、電子級液體硅橡膠、大功率 LBD封裝樹脂等產品,“科化”已成為國內半導體封裝材料行業的知名品牌。長興昆電 專
146、業生產應用于半導體器件、集成電路等封裝所需的環氧塑封料,可提供標準型、低應力型和高導熱型等系列產品,為業界主要供貨商之一。資料來源:衡所華威公眾號、智研咨詢公眾號、華海誠科招股說明書、開源證券研究所 2.6.2、硅微粉硅微粉/鋁鋁微微粉粉 硅微粉是一類用途廣泛的無機非金屬材料。硅微粉是一類用途廣泛的無機非金屬材料。硅微粉作為一種精細加工的二氧化硅粉末材料,其制備過程包括以結晶石英或熔融石英作為起始原料,并通過研磨、精密分級和雜質去除等一系列工藝步驟。該材料因具備高溫耐受性、卓越的絕緣特性、低熱膨脹系數及良好的熱導性,而被視為一種高性能的無機非金屬功能性填充劑。硅微粉在眾多應用領域都有廣泛的用途
147、,包括但不限于覆銅板、環氧塑封料、硅微粉在眾多應用領域都有廣泛的用途,包括但不限于覆銅板、環氧塑封料、電工絕緣材料、粘合劑、陶瓷和涂料制造等。電工絕緣材料、粘合劑、陶瓷和涂料制造等。Sumitomo Bakelite、Showa Denko、Panasonic、Kyocera、Shin-Etsu Chemical、Chang Chun Group、KCC、Samsung SDI、Nagase ChemteX Corporation、Hysol Huawei Electronics,63%其他,37%行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 41/48 圖圖73:角角形形
148、硅微粉產品外觀及顆粒形貌如下圖所示硅微粉產品外觀及顆粒形貌如下圖所示 資料來源:聯瑞新材招股說明書 硅微粉產品作為一類卓越的先進無機非金屬礦物功能性填料,硅微粉產品作為一類卓越的先進無機非金屬礦物功能性填料,表現出一系列杰出的物理屬性,包括高耐溫性、卓越的電絕緣能力、低線性膨脹系數、優良的熱導性以及低介電常數和低介電損耗。這些特性能夠顯著提升下游產品的相關物理性能,如增強散熱效果、減少膨脹系數和增強機械強度。因此,在覆銅板、環氧塑封料、因此,在覆銅板、環氧塑封料、電工絕緣材料、膠粘劑等關鍵應用領域,電工絕緣材料、膠粘劑等關鍵應用領域,硅微粉硅微粉依托依托其其多項突出的性能,充當著關多項突出的性
149、能,充當著關鍵的功能填料角色。鍵的功能填料角色。表表20:硅微粉性能優越,下游應用廣泛硅微粉性能優越,下游應用廣泛 產品 分類 性能 應用領域 用途 硅微粉 結晶硅微粉、熔融硅微粉、球形硅微粉 無機材料,耐酸堿腐蝕,耐高溫,化學性能穩定;高絕緣,制品安全性高;低線性膨脹系數,制品穩定性高;良好的熱傳導率,制品散熱性好;低介電常數和介質損耗,介電性能優異 覆銅板 在電子電路用覆銅板中加入硅微粉可以改善印制電路板的線性膨脹系數和熱傳導率等物理特性,從而有效提高電子產品的可靠性和散熱性,且具備良好的介電性能,能夠提高電子產品中的信號傳輸速度和傳輸質量,基于硅微粉不可或缺的重要物理、化學特性,其已成為
150、電子產品里的關鍵性材料之一 環氧塑封料 硅微粉填充到芯片封裝用環氧塑封料中可顯著提高環氧樹脂硬度,增大導熱系數,降低環氧樹脂固化物反應的放熱峰值溫度,降低線性膨脹系數與固化收縮率,減小內應力,提高環氧塑封料的機械強度,使其無限接近于芯片的線性膨脹系數,可以減少環氧塑封料的開裂現象從而有效防止外部有害氣體、水分及塵埃進入電子元器件或集成電路,減緩震動,防止外力對芯片造成損傷和穩定元器件性能 電工絕緣材料 硅微粉用作電工絕緣產品環氧樹脂絕緣封填料,能夠有效降低固化物的線性膨脹系數和固化過程中的收縮率,減小內應力,提高絕緣材料的機械強度,從而有效改善和提高絕緣材料的機械性能和電學性能 膠粘劑 硅微粉
151、作為無機功能性填充材料,填充在膠粘劑樹脂中可有效降低固化物的線性膨脹系數和固化時的收縮率,提高膠粘劑機械強度,改善耐熱性、抗滲透性和散熱性能,從而提高粘結和密封效果 資料來源:聯瑞新材招股說明書、開源證券研究所 硅微粉是環氧塑封材料中無機填料的首選材料。硅微粉是環氧塑封材料中無機填料的首選材料。環氧塑封料通常由環氧塑封料通常由 60-90%的填的填充材料、不超過充材料、不超過 18%的環氧樹脂、不超過的環氧樹脂、不超過 9%的固化劑以及大約的固化劑以及大約 3%的其他添加劑的其他添加劑構成。目前所使用的無機填充物幾乎全是硅微粉,其含量可能高達構成。目前所使用的無機填充物幾乎全是硅微粉,其含量可
152、能高達 90%。硅微粉在作為填充物時,降低了塑封料的熱膨脹系數,提高了熱傳導能力,降低了介電常數,并且因其環保和阻燃特性、減少內部應力、防潮作用以及增強塑封料強度而被廣泛使用,同時有助于降低封裝成本。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 42/48 圖圖74:硅微粉是環氧塑封料中重要組成部分硅微粉是環氧塑封料中重要組成部分 數據來源:英凌泰科技公眾號、開源證券研究所 海內外硅微粉市場規模不斷增長,發展空間廣闊。海內外硅微粉市場規模不斷增長,發展空間廣闊。根據 Mordor Intelligence 數據顯示,全球硅微粉市場在 2021 年的規模約為 39.6 億美元
153、,預測至 2027 年將達到 53.3億美元,年均復合增長率 CAGR 為 5.1%。同時,新思界產業研究中心發布的研究報告指出,中國在 2021 年的硅微粉市場規模約為 24.6 億元,預計到 2025 年市場規模將增至 55 億元,年復合增長率 CAGR 高達 22.3%。圖圖75:全球硅微粉市場預計將不斷增長(億美元)全球硅微粉市場預計將不斷增長(億美元)圖圖76:中國硅微粉市場規模預計保持高速增長(億元)中國硅微粉市場規模預計保持高速增長(億元)數據來源:Mordor Intelligence、英凌泰科技公眾號、開源證券研究所 數據來源:瓜州工業微刊、Mordor Intelligen
154、ce、開源證券研究所 日本企業在球形硅微粉領域的市場份額超過日本企業在球形硅微粉領域的市場份額超過 70%,表現出明顯的市場主導地位。,表現出明顯的市場主導地位。在全球范圍內,硅微粉的生產與供應主要集中于日本、美國和中國的企業。特別是在高端硅微粉,尤其是球形硅微粉的制造與應用領域,日本企業憑借早期的市場進入優勢和技術障礙,穩固其行業領先地位。電化株式會社、日本龍森公司和日本新日鐵公司這三大企業共占全球球形硅微粉市場約 70%的份額,日本雅都瑪公司更是壟斷了 1 微米以下的球形硅微粉市場。硅微粉,60%-90%環氧樹脂,18.00%固化劑,9.00%添加劑,3.00%39.60 53.30 01
155、0203040506020212027E全球硅微粉市場規模(億美元)CAGR=5.1%24.60 55.00 020406020212025E中國硅微粉市場規模(億元)CAGR=22.3%行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 43/48 圖圖77:全球全球球形球形硅微粉市場被外資企業寡頭壟斷硅微粉市場被外資企業寡頭壟斷(2023 年)年)數據來源:瓜州工業微刊、開源證券研究所 球形氧化鋁是一種通過特定工藝處理后,形成球狀顆粒的氧化鋁材料。球形氧化鋁是一種通過特定工藝處理后,形成球狀顆粒的氧化鋁材料。它具備了高度的均一性、流動性以及特定的物理和化學穩定性。由于其球形結
156、構,這種材料在提高填充物分散性和降低粘結劑用量方面表現優異,同時也能增強最終產品的機械強度和熱穩定性。球形氧化鋁因此被廣泛應用于高溫耐火材料、陶瓷、研磨材料、涂料、塑料及橡膠增強填充等多個領域,特別是在先進陶瓷和電子封裝材料中,特別是在先進陶瓷和電子封裝材料中,球形氧化鋁由于其優異的性能而成為了一種重要的工業材料。球形氧化鋁由于其優異的性能而成為了一種重要的工業材料。圖圖78:球形氧化鋁顆粒形貌如下圖所示球形氧化鋁顆粒形貌如下圖所示 資料來源:粉體網 角形氧化鋁和球形氧化鋁的主要區別在于其物理特性角形氧化鋁和球形氧化鋁的主要區別在于其物理特性以及技術難度以及技術難度,這些差異,這些差異直接影響
157、它們在各自應用場景中的表現。直接影響它們在各自應用場景中的表現。角形氧化鋁,由于其具有較尖銳的邊緣和角度,通常用于磨料、研磨和拋光材料,以及某些特定的耐火材料中,生產技術難度一般。相比之下,技術難度較高的球形氧化鋁因其球狀的形態,具有更好的流動性和填充性,能夠在材料中均勻分布,減少空隙,從而提高材料的密度和均一性。在先進封裝領域中,球形氧化鋁可作為填充材料,增強封裝材料的熱導性、機械強度和電絕緣性能,同時保持較低的熱膨脹系數,確保電子器件在熱循環過程中的可靠性和穩定性。電化株式會社、日本龍森公司和日本新日鐵,70.00%其他,30.00%行業深度報告行業深度報告 請務必參閱正文后面的信息披露和
158、法律聲明 44/48 表表21:兩種形態氧化鋁的應用場景有所不同兩種形態氧化鋁的應用場景有所不同 類別類別 生產成本生產成本 應用場景應用場景 技術難點技術難點 角形氧化鋁 較低 主要用于低導熱系數的導熱墊片、導熱灌封膠、導熱硅膠等復合材料,應用場景如低功率芯片的導熱膠,低發熱器件的粘接膠和部分新能源汽車電池用膠等 粉體的高溫煅燒、研磨及精密分級,技術難度一般 球形氧化鋁 較高 覆蓋大多數中高導熱系數的導熱墊片、導熱凝膠,導熱灌封膠,導熱硅膠等復合材料,應用場景廣泛,如中高功率芯片的導熱膠,新能源汽車灌封膠,新能源汽車粘接結構膠等 粉體的熔融球化、晶體相純度控制、精確給料及精密分級,技術難度較
159、高 資料來源:百圖股份招股說明書、開源證券研究所 海內外球形氧化鋁市場規模預計將保持高速增長。海內外球形氧化鋁市場規模預計將保持高速增長。依據高工產業研究院的統計數據,預計從 2022 年至 2025 年,全球球形氧化鋁導熱材料市場的年均復合增長率CAGR 將達到 28.2%,并且市場規模在 2025 年將達到 54 億元。在中國市場,2022年球形氧化鋁導熱粉體的市場規模達到 7.5 億元,同比+41.5%。中國在全球球形氧化鋁導熱粉體市場中的份額逐年增加,2022 年達到了 29.3%。預計到 2025 年,中國球形氧化鋁導熱粉體的市場規模將增至 21 億元,屆時在全球市場中的份額預計將升
160、至 38.9%。圖圖79:全球球形氧化鋁市場規模預計將保持高速增長全球球形氧化鋁市場規模預計將保持高速增長(億元)(億元)圖圖80:中國球形氧化鋁市場總值及其占比不斷提升(億中國球形氧化鋁市場總值及其占比不斷提升(億元,元,%)數據來源:百圖股份招股說明書、高工產業研究院、開源證券研究所 數據來源:百圖股份招股說明書、高工產業研究院、開源證券研究所 海內外球形氧化鋁導熱粉體市場的競爭環境較為穩定,主導企業集中在中日兩海內外球形氧化鋁導熱粉體市場的競爭環境較為穩定,主導企業集中在中日兩國。國。依據高工產業研究院的數據,2022 年日本電氣化學、百圖股份在全球球形氧化鋁導熱粉體市場中分別約占有 2
161、3%、15%的市場份額,占據全球前二。同樣根據高工產業研究院的數據,2022 年中國球形氧化鋁導熱粉體的總出貨量達到 2.75 萬噸,百圖股份在中國市場的份額達到 36%,位居行業領先地位。行業前三家企業的總出貨量占比達到 65%,表明市場集中度較高。9.50 13.60 19.60 25.60 33.70 43.00 54.00 020406020192020202120222023E 2024E 2025E全球球形氧化鋁市場規模(億元)2.30 3.30 5.30 7.50 11.00 15.00 21.00 24%24%27%29%33%35%39%0%10%20%30%40%50%05
162、101520252019202020212022 2023E 2024E 2025E中國球形氧化鋁市場規模(億元)中國市場份額(%)行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 45/48 圖圖81:2022 年年全球球形氧化鋁市場以中日企業為主全球球形氧化鋁市場以中日企業為主 圖圖82:2022 年年國內球形氧化鋁市場內資企業占比較高國內球形氧化鋁市場內資企業占比較高 數據來源:百圖股份招股說明書、高工產業研究院、開源證券研究所 數據來源:百圖股份招股說明書、高工產業研究院、開源證券研究所 國內廠商國內廠商大力開發,微粉國產替代進程加速大力開發,微粉國產替代進程加速。近些
163、年國內廠商打破之前高純度硅微粉市場在很大程度上依賴于進口的壟斷狀態,尤其是在要求嚴格的電子封裝材料領域。國內頭部廠商如聯瑞新材、百圖股份等推出多種 Low-硅微粉以及球形氧化鋁產品,積極推動客戶送樣驗證以及后續產能擴充,對推動國產化替代具有重要意義。表表22:國內電子功能粉體材料廠商逐步擴產推進市場開發國內電子功能粉體材料廠商逐步擴產推進市場開發 公司名稱公司名稱 產品布局產品布局 聯瑞新材 公司持續推出多種規格低 CUT 點 Low-微米/亞微米球形硅微粉、球形氧化鋁粉,高頻高速覆銅板用低損耗/超低損耗球形硅微粉,新能源電池用高導熱微米/亞微米球形氧化鋁粉。2023 年 10 月擬投資 12
164、800 萬元建設集成電路用電子級功能粉體材料建設項目,設計產能 2.52 萬噸/年;2024 年 3 月擬投資 12900 萬元建設先進集成電路用超細球形粉體生產線建設項目,設計產能 3000 噸/年 百圖股份 公司主要產品包括球形氧化鋁、亞微米氧化鋁、氮化硼、氮化鋁等功能性粉體材料。2023H1 公司球形氧化鋁產能為 5664噸,2022 年產能為 10848 噸;2023H1 公司亞微米氧化鋁產能為 510 噸,2022 年產能為 751 噸 壹石通 電子材料產品方面,公司再融資募投項目年產 1.5 萬噸電子功能粉體材料建設項目、首次公開發行募投項目年產 5,000 噸電子通訊用功能粉體材
165、料建設項目,正在按既定規劃有序推進建設,有望在 2023 年下半年實現陸續投產。規劃擴產的“年產 9,800 噸導熱用球形氧化鋁建設項目”有望在 2023 年下半年投產,公司將圍繞國內外下游龍頭企業進行市場開拓和重點合作;公司規劃新建的年產 200 噸高端芯片封裝用 Low-球形氧化鋁項目,有望在 2023 年下半年實現部分投產,目前日韓客戶已陸續送樣驗證,客戶初步反饋良好。雅克科技 公司 2022 年 MUF 用球形硅微粉設計產能 3000 噸,在建產能 3000 噸;覆銅板用球形硅微粉設計產能 2000T/年,在建產能2000 噸;Low-球形硅微粉設計 1000T/年,在建 1000 噸
166、;球形硅微粉設計 10500T/年,產能利用率達到 100.00%,目前 6條球形硅微粉生產線已投產 凱盛科技 公司半導體封裝用高純超細球形二氧化硅樣品和拋光液已通過國內外客戶驗證,形成小批量銷售。年產 5000 噸半導體二氧化硅生產線項目目前期相關的審批手續已經辦理,正在土建施工,設備基本采購完畢,按計劃要求制造,預計 2023 年下半年完成廠房建設和設備安裝調試,進行試生產。電子封裝用球形粉體材料項目目前 2400t/a 電子封裝球形粉體材料項目已建成投產;6000t/a 電子封裝球形粉體材料項目能評已完成,其他手續正在辦理中。資料來源:各公司公告、開源證券研究所 3、國內先進封裝產業鏈受
167、益標的國內先進封裝產業鏈受益標的 PSPI 光刻膠光刻膠廠商:廠商:鼎龍股份、強力新材等;深孔刻蝕類電子特氣深孔刻蝕類電子特氣廠商廠商:金宏氣體、華特氣體、中船特氣等;電鍍液電鍍液廠商廠商:上海新陽、艾森股份等;靶材靶材廠商廠商:江豐電子等;CMP 材料材料&臨時鍵合膠臨時鍵合膠廠商廠商:鼎龍股份、安集科技等;環氧塑封料環氧塑封料&硅硅微粉微粉/鋁微粉廠商:鋁微粉廠商:聯瑞新材、華海誠科、壹石通等。日本電氣化學,23.00%百圖股份,15.00%其他,62.00%百圖股份,36.00%天津澤希,18.00%聯瑞新材,11.00%其他,35.00%行業深度報告行業深度報告 請務必參閱正文后面的信
168、息披露和法律聲明 46/48 綜上,推薦標的綜上,推薦標的為為:鼎龍股份、金宏氣體、江豐電子、上海新陽。受益標的受益標的為為:聯瑞新材、安集科技、華特氣體、中船特氣、強力新材、艾森股份、華海誠科、壹石通。表表23:國內先進封裝材料相關估值表國內先進封裝材料相關估值表 證券代碼 證券簡稱 最新市值(百萬元)歸母凈利潤(百萬元)PE 2023A 2024E 2025E 2026E 2023A 2024E 2025E 2026E 300054.SZ 鼎龍股份 20773.6 222.0 441.9 622.4 887.7 93.6 47.0 33.4 23.4 688019.SH 安集科技 1568
169、2.3 402.7 486.9 623.8 769.3 38.9 32.2 25.1 20.4 688300.SH 聯瑞新材 8757.9 174.0 233.7 295.6 356.0 50.3 37.5 29.6 24.6 300666.SZ 江豐電子 12659.3 255.5 354.1 463.4 604.6 49.6 35.8 27.3 20.9 688106.SH 金宏氣體 8376.4 315.0 404.7 505.9 624.7 26.6 20.7 16.6 13.4 688268.SH 華特氣體 5654.5 171.1 233.5 308.0 382.8 33.0 24
170、.2 18.4 14.8 688146.SH 中船特氣 14712.4 334.9 410.1 509.6 568.0 43.9 35.9 28.9 25.9 688535.SH 華海誠科 5300.1 31.6 45.9 60.9 79.2 167.5 115.4 87.1 66.9 300236.SZ 上海新陽 9498.6 166.8 224.6 246.0 274.2 56.9 42.3 38.6 34.6 688720.SH 艾森股份 3446.0 32.7 52.8 73.5 101.7 105.5 65.3 46.9 33.9 300429.SZ 強力新材 5832.8-45.9
171、 173.0 205.0 270.0-127.1 33.7 28.5 21.6 688733.SH 壹石通 2687.0 24.5 75.3 122.5 189.7 109.6 35.7 21.9 14.2 數據來源:Wind、開源證券研究所 注:鼎龍股份、金宏氣體、江豐電子、上海新陽盈利預測數值來自開源證券研究所,其余選自 Wind一致預期;數據截至 2024 年 7 月 8 日收盤 4、風險提示風險提示 景氣景氣復蘇不及預期。復蘇不及預期。當前半導體行業下游需求仍較為低迷,若復蘇節奏較慢,下游需求回暖低于預期,封測行業的業績增長也會受到影響。技術技術進展緩慢。進展緩慢?!昂竽枙r代”先進封
172、裝技術成為繼續提升芯片集成度和效能的新路徑,如果先進封裝技術未能如預期實現高滲透率,市場規模增速可能不及預期。國產替代不及預期。國產替代不及預期。先進封裝對技術和工藝的要求越來越高,需要先進生產設備和研發資金的不斷投入,成本顯著提高,如未能準確把握市場需求或取得如期成果,容易在快節奏競爭中落后。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 47/48 特別特別聲明聲明 證券期貨投資者適當性管理辦法、證券經營機構投資者適當性管理實施指引(試行)已于2017年7月1日起正式實施。根據上述規定,開源證券評定此研報的風險等級為R4(中高風險),因此通過公共平臺推送的研報其適用的投資
173、者類別僅限定為專業投資者及風險承受能力為C4、C5的普通投資者。若您并非專業投資者及風險承受能力為C4、C5的普通投資者,請取消閱讀,請勿收藏、接收或使用本研報中的任何信息。因此受限于訪問權限的設置,若給您造成不便,煩請見諒!感謝您給予的理解與配合。分析師承諾分析師承諾 負責準備本報告以及撰寫本報告的所有研究分析師或工作人員在此保證,本研究報告中關于任何發行商或證券所發表的觀點均如實反映分析人員的個人觀點。負責準備本報告的分析師獲取報酬的評判因素包括研究的質量和準確性、客戶的反饋、競爭性因素以及開源證券股份有限公司的整體收益。所有研究分析師或工作人員保證他們報酬的任何一部分不曾與,不與,也將不
174、會與本報告中具體的推薦意見或觀點有直接或間接的聯系。股票投資評級說明股票投資評級說明 評級評級 說明說明 證券評級證券評級 買入(Buy)預計相對強于市場表現 20%以上;增持(outperform)預計相對強于市場表現 5%20%;中性(Neutral)預計相對市場表現在5%5%之間波動;減持(underperform)預計相對弱于市場表現 5%以下。行業評級行業評級 看好(overweight)預計行業超越整體市場表現;中性(Neutral)預計行業與整體市場表現基本持平;看淡(underperform)預計行業弱于整體市場表現。備注:評級標準為以報告日后的 612 個月內,證券相對于市場
175、基準指數的漲跌幅表現,其中 A 股基準指數為滬深 300 指數、港股基準指數為恒生指數、新三板基準指數為三板成指(針對協議轉讓標的)或三板做市指數(針對做市轉讓標的)、美股基準指數為標普 500 或納斯達克綜合指數。我們在此提醒您,不同證券研究機構采用不同的評級術語及評級標準。我們采用的是相對評級體系,表示投資的相對比重建議;投資者買入或者賣出證券的決定取決于個人的實際情況,比如當前的持倉結構以及其他需要考慮的因素。投資者應閱讀整篇報告,以獲取比較完整的觀點與信息,不應僅僅依靠投資評級來推斷結論。分析、估值方法的局限性說明分析、估值方法的局限性說明 本報告所包含的分析基于各種假設,不同假設可能
176、導致分析結果出現重大不同。本報告采用的各種估值方法及模型均有其局限性,估值結果不保證所涉及證券能夠在該價格交易。行業深度報告行業深度報告 請務必參閱正文后面的信息披露和法律聲明 48/48 法律聲明法律聲明 開源證券股份有限公司是經中國證監會批準設立的證券經營機構,已具備證券投資咨詢業務資格。本報告僅供開源證券股份有限公司(以下簡稱“本公司”)的機構或個人客戶(以下簡稱“客戶”)使用。本公司不會因接收人收到本報告而視其為客戶。本報告是發送給開源證券客戶的,屬于商業秘密材料,只有開源證券客戶才能參考或使用,如接收人并非開源證券客戶,請及時退回并刪除。本報告是基于本公司認為可靠的已公開信息,但本公
177、司不保證該等信息的準確性或完整性。本報告所載的資料、工具、意見及推測只提供給客戶作參考之用,并非作為或被視為出售或購買證券或其他金融工具的邀請或向人做出邀請。本報告所載的資料、意見及推測僅反映本公司于發布本報告當日的判斷,本報告所指的證券或投資標的的價格、價值及投資收入可能會波動。在不同時期,本公司可發出與本報告所載資料、意見及推測不一致的報告??蛻魬斂紤]到本公司可能存在可能影響本報告客觀性的利益沖突,不應視本報告為做出投資決策的唯一因素。本報告中所指的投資及服務可能不適合個別客戶,不構成客戶私人咨詢建議。本公司未確保本報告充分考慮到個別客戶特殊的投資目標、財務狀況或需要。本公司建議客戶應考
178、慮本報告的任何意見或建議是否符合其特定狀況,以及(若有必要)咨詢獨立投資顧問。在任何情況下,本報告中的信息或所表述的意見并不構成對任何人的投資建議。在任何情況下,本公司不對任何人因使用本報告中的任何內容所引致的任何損失負任何責任。若本報告的接收人非本公司的客戶,應在基于本報告做出任何投資決定或就本報告要求任何解釋前咨詢獨立投資顧問。本報告可能附帶其它網站的地址或超級鏈接,對于可能涉及的開源證券網站以外的地址或超級鏈接,開源證券不對其內容負責。本報告提供這些地址或超級鏈接的目的純粹是為了客戶使用方便,鏈接網站的內容不構成本報告的任何部分,客戶需自行承擔瀏覽這些網站的費用或風險。開源證券在法律允許
179、的情況下可參與、投資或持有本報告涉及的證券或進行證券交易,或向本報告涉及的公司提供或爭取提供包括投資銀行業務在內的服務或業務支持。開源證券可能與本報告涉及的公司之間存在業務關系,并無需事先或在獲得業務關系后通知客戶。本報告的版權歸本公司所有。本公司對本報告保留一切權利。除非另有書面顯示,否則本報告中的所有材料的版權均屬本公司。未經本公司事先書面授權,本報告的任何部分均不得以任何方式制作任何形式的拷貝、復印件或復制品,或再次分發給任何其他人,或以任何侵犯本公司版權的其他方式使用。所有本報告中使用的商標、服務標記及標記均為本公司的商標、服務標記及標記。開開源證券源證券研究所研究所 上海上海 深圳深圳 地址:上海市浦東新區世紀大道1788號陸家嘴金控廣場1號 樓3層 郵編:200120 郵箱: 地址:深圳市福田區金田路2030號卓越世紀中心1號 樓45層 郵編:518000 郵箱: 北京北京 西安西安 地址:北京市西城區西直門外大街18號金貿大廈C2座9層 郵編:100044 郵箱: 地址:西安市高新區錦業路1號都市之門B座5層 郵編:710065 郵箱: