《先進封裝行業更新報告:大算力時代必經之路關注COWOS及HBM投資鏈-240702(112頁).pdf》由會員分享,可在線閱讀,更多相關《先進封裝行業更新報告:大算力時代必經之路關注COWOS及HBM投資鏈-240702(112頁).pdf(112頁珍藏版)》請在三個皮匠報告上搜索。
1、 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 股票研究股票研究 行業公司更新行業公司更新 證券研究報告證券研究報告 Table_Industry 電子元器件電子元器件 2024.07.02 Table_Invest 評級:評級:增持增持 上次評級:增持 Table_subIndustry 細分行業評級 半導體 增持 Table_CompanyTable_Company 代碼代碼 公司名稱公司名稱 評級評級 688981 中芯國際 增持 1347 華虹半導體 增持 002156 通富微電 增持 002185 華天科技 增持 600584 長電科技 增持 688362 甬矽電
2、子 增持 603005 晶方科技 增持 688372 偉測科技 增持 688200 華峰測控 增持 300480 光力科技 增持 603283 賽騰股份 增持 688082 盛美上海 增持 688072 拓荊科技 增持 688630 芯碁微裝 增持 688037 芯源微 增持 688012 中微公司 增持 688019 安集科技 增持 300054 鼎龍股份 增持 Table_Report 相關報告 電子元器件開發者生態與私有云賦能,蘋果打造安全智能 AI 終端 2024.06.29 電子元器件Harmony intelligence 賦能 AI OS,鴻蒙終端迎來換機潮 2024.06.23
3、 電子元器件高通 AI PC 續航優秀,ARM PC 加速滲透 2024.06.19 電子元器件后摩爾時代“破壁者”,先進封裝正崛起 2024.06.17 電子元器件加碼 AI 云服務器,蘋果云側推理賦能 AI 端側 2024.06.16 大算力時代必經之路,關注大算力時代必經之路,關注 COWOS 及及 HBM 投資鏈投資鏈 先進封裝行業先進封裝行業更新更新報告報告 table_Authors 舒迪舒迪(分析師分析師)文越文越(分析師分析師)021-38676666 021-38038032 登記編號 S0880521070002 S0880524050001 本報告導讀:本報告導讀:跟隨跟
4、隨 AI 大算力大算力,先進封裝被時代賦予重大使命先進封裝被時代賦予重大使命,成為摩爾定律的成為摩爾定律的“破壁人破壁人”。通過梳通過梳理先進封裝帶來的邊際變化,以期尋求產業鏈上的制造、設備、材料機會。理先進封裝帶來的邊際變化,以期尋求產業鏈上的制造、設備、材料機會。投資要點:投資要點:Table_Summary0 投資建議。投資建議。先進封裝是大算力時代崛起的必經之路,是其突破“存儲墻”“面積墻”“功耗墻”和“功能墻”的關鍵路徑之一。供應鏈受益環節主要在代工廠、封測廠、先進封裝及測試設備及材料領域,維持半導體行業“增持”評級。推薦中芯國際(688981.SH)、華虹半導體(1347.HK)、
5、通富微電(002156.SZ)、長電科技(600584.SH)、華天科技(002185.SZ)、甬矽電子(688362.SH)、晶方科技(603005.SH)、華峰測控(688200.SH)、偉測科技(688372.SH)、光力科技(300480.SZ)、拓荊科技(688072.SH)、賽騰股份(603283.SH)、芯碁微裝(688630.SH)、芯源微(688037.SH)、盛美上海(688082.SH)、中微公司(688012.SH)、安集科技(688019.SH)、鼎龍股份(300054.SZ)等。先進封裝助力先進封裝助力“超越摩爾超越摩爾”,聚焦聚焦 2.5D/3D 封裝封裝,HBM
6、 快速迭代打破快速迭代打破“存儲墻存儲墻”。根據 Yole,2028 年,先進封裝市場規模將達到 786 億美元,占總封裝市場的 58%。其中,在人工智能、5G 通信和高性能計算等產業的推動下,2.5D/3D 封裝成為行業黑馬,預計到 2028 年,將一躍成為第二大先進封裝形式。臺積電先進封裝主要基于 3D Fabric 技術平臺,包括基于前端的 SoIC 技術、基于后端的 CoWoS 和 InFO 技術。三星先進異構封裝,提供從 HBM 到 2.5D/3D 的交鑰匙解決方案,包括了2.5D i-Cube和3D X-Cube。Intel 2.5D/3D封裝則主要通過EMIB和 Foveros
7、兩個技術方案實現。臺積電 COWOS 封裝已經成為當前高性能計算的主流路線,持續供不應求,預計到 2024 年底,臺積電CoWoS 封裝月產能有望達到 3.6-4 萬片。HBM 作為實現“近存計算”的必經之路,也成為海力士、三星、美光三大存儲廠必爭之地,而如何實現極薄尺寸、極小間距下 wafer 的堆疊與連接是 HBM 公司核心競爭力。聚焦先進封裝,關注設備及材料新機會。聚焦先進封裝,關注設備及材料新機會。從工藝路線角度,COWOS帶來設備的主要變動包括:基于晶圓減薄要求及數量提升的研磨切割+CMP 減薄設備、基于精準度、潔凈度提升的固晶機、熱壓鍵合設備。HBM 帶來的設備變動則是從熱壓鍵合向
8、混合鍵合的發展。材料端,包括 CMP 步驟提升帶動下的相關耗材(拋光液、拋光墊等)、先進封裝需求提升的電鍍液等功能性濕電子化學品、基于高集成、高功耗、輕薄化下的散熱、應力釋放需求底部填充膠、TIM 熱界面材料等。風險提示風險提示:下游需求復蘇不及預期、技術進步不及預期、國際局勢不穩定 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 76 目錄目錄 1.先進封裝:大算力崛起,后摩爾時代的破壁者.4 1.1.先進封裝打破集成電路限制,邁向高密度、高集成、低功耗.4 1.2.2028 年先進封裝預計市場占據 58%封裝市場,2.5D/3D 滲透速
9、率亮眼 6 1.3.OSAT、Fab、IDM 齊發力,CR3 占據 50%以上市場.7 2.先進封裝基石:二維、三維高集成,Bump、RDL、TSV 三重心.8 2.1.核心技術一:Bumping 為先機封裝的基石.9 2.2.核心技術二:RDL 重定義二維集成.10 2.3.核心技術三:TSV 技術是三維堆疊的利刃.14 2.4.下一代封裝技術:混合鍵合掀起浪潮.17 3.先進封裝模式梳理:2.5D/3D 封裝引領浪潮,HBM 打破“存儲墻”.19 3.1.臺積電、三星、英特爾面向未來的 2.5D/3D 封裝.19 3.1.1.臺積電先進封裝引領行業風潮,3D Fabric 平臺助力多維發展
10、 20 3.1.1.1.臺積電 SoIC 技術走在鍵合最前沿.21 3.1.1.2.臺積電 CoWoS 技術引領 2.5D/3D 封裝浪潮.24 3.1.1.3.臺積電 InFO 技術為移動通訊的標桿.28 3.1.2.三星先進異構封裝,提供從 HBM 到 2.5D/3D 的交鑰匙解決方案 29 3.1.3.Intel 先進封裝方案兼具性價比及可拓展性.32 3.2.海力士、三星、美光 HBM 打破“存儲墻”.35 3.2.1.高性能計算要求高帶寬低功耗,HBM 應運而生.35 3.2.2.小體積、高傳輸,HBM 封裝核心在晶圓堆疊.40 3.2.3.HBM 對測試提出更嚴苛要求.46 4.設
11、備機會梳理:先進封裝賣鏟人,國產破局正當時.47 4.1.DISCO 回顧:“切磨拋”護城河高筑,進軍先進封裝打開市場空間 51 4.2.BESI 回顧:固晶機龍頭,混合鍵合勢如破竹.55 4.3.HANMI:深度綁定海力士,熱壓鍵合增長迅速.60 4.4.國內廠家搶占先進封裝賽道,國產替代有望突破.61 5.材料機會梳理:封裝材料率先國產化突破,散熱需求帶來新成長.63 5.1.CMP 材料隨減薄需求上量,電鍍液國產替代正當時.65 5.2.先進封裝膠材大有可為,熱界面材料大勢所趨.68 6.投資建議.72 6.1.晶圓代工及封測廠.72 6.2.先進封裝設備及材料.73 7.風險提示.76
12、 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 3 of 76 表表1:本報告覆蓋公司估值表本報告覆蓋公司估值表 Table_ComData 公司名稱公司名稱 代碼代碼 收盤價收盤價 盈利預測盈利預測(EPS)PE 評級評級 目標價目標價 2023A 2024E 2025E 2023A 2024E 2025E 中芯國際 688981 2024.06.28 46.1 0.61 0.40 0.54 76.05 114.55 84.84 增持 62.5 華虹半導體 1347 2024.06.28 22.05 0.19 0.05 0.10 16.33 57
13、.42 32.34 增持/通富微電 002156 2024.06.28 22.39 0.11 0.54 0.85 200.54 41.34 26.22 增持 30.26 華天科技 002185 2024.06.28 8.15 0.07 0.24 0.37 115.39 33.74 22.08 增持 11.76 長電科技 600584 2024.06.28 31.71 0.82 1.14 1.58 38.58 27.88 20.03 增持 49.02 甬矽電子 688362 2024.06.28 19.46-0.23 0.14 0.70-85.10 134.71 27.89 增持 44.97 晶
14、方科技 603005 2024.06.28 20.38 0.23 0.48 0.70 88.61 42.63 29.10 增持 26.4 偉測科技 688372 2024.06.28 39.33 1.04 1.84 2.82 37.79 21.33 13.93 增持 100.28 華峰測控 688200 2024.06.28 91.75 1.86 3.02 4.05 49.38 30.38 22.63 增持 181.2 光力科技 300480 2024.06.28 15.83 0.20 0.34 0.41 80.66 46.54 38.51 增持 27.22 賽騰股份 603283 2024.
15、06.28 76.4 3.43 4.42 5.37 22.28 17.27 14.22 增持 185.64 盛美上海 688082 2024.06.28 84.51 2.09 2.61 3.87 40.48 32.39 21.86 增持 120.3 拓荊科技 688072 2024.06.28 120.11 2.38 2.73 3.87 50.45 43.93 31.04 增持 205.84 芯碁微裝 688630 2024.06.28 62.57 1.36 2.59 4.88 45.86 24.18 12.83 增持 116.55 芯源微 688037 2024.06.28 89 1.81
16、2.52 3.76 49.07 35.34 23.65 增持 151.2 中微公司 688012 2024.06.28 141.26 2.87 3.31 4.48 49.14 42.77 31.60 增持 194.3 安集科技 688019 2024.06.28 125.8 3.13 3.66 4.66 40.22 34.39 27.00 增持 237.9 鼎龍股份 300054 2024.06.28 22.68 0.24 0.48 0.67 95.85 47.50 33.72 增持 30 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 4 of 7
17、6 1.先進封裝:大算力崛起,后摩爾時代的破壁者先進封裝:大算力崛起,后摩爾時代的破壁者 1.1.先進封裝打破集成電路限制,邁向高密度、高集成、低功耗先進封裝打破集成電路限制,邁向高密度、高集成、低功耗 先進封裝助力“超越摩爾”,實現高集成、小面積、低功耗。先進封裝助力“超越摩爾”,實現高集成、小面積、低功耗。1965 年 5 月,仙童半導體和英特爾的聯合創始人之一戈登摩爾發表了一篇題為Cramming more components onto integrated circuits的論文,在這篇論文中,Moore 預測:芯片上的晶體管數量大約每兩年翻一番。簡單來說,摩爾定律指芯片上可容納的元
18、器件的數目,約每隔 18-24 個月便會增加一倍,性能也將提升一倍,或成本下降一半。而如今,延續摩爾定律所需的新技術研發周期拉長、工藝迭代周期延長、成本提升明顯,集成電路的發展受“存儲墻”“面積墻”“功耗墻”和“功能墻”的制約。圖圖1:摩爾定律自摩爾定律自 2005 年后逐漸減緩年后逐漸減緩 圖圖2:臺積電臺積電 12 英寸晶圓價格隨制程呈指數增長英寸晶圓價格隨制程呈指數增長 數據來源:ASML 數據來源:臺積電公告,國泰君安證券研究 針對“存儲墻”,即處理器的峰值算力每兩年增長 3.1 倍,而 DRAM 的帶寬每兩年增長 1.4 倍,使存儲器發展速度遠落后于處理器。而通過 TSV、微凸塊等先
19、進封裝技術制備 HBM(高帶寬存儲器),能夠大幅提升內存帶寬,并將其與 GPU 通過 interposer 相連,可以實現存儲器與處理器之間數據的超近距離傳輸。例如,當前SK Hynix的HBM3芯片最高帶寬達到了819 GB/s,是 GDDR5 的 25 倍左右。針對“面積墻”,即芯片尺寸受限于光刻機的光罩極限,當前最先進的極紫外光刻機的最大光罩面積為 858 mm2(26 mm33 mm),突破光罩面積將付出極高成本,英偉達 H100 GPU 芯片,采用臺積電采用 4N 工藝制造(5nm+),芯片面積為 814 mm2,具有 800 億個晶體管,逼近芯片面積極限,單顆芯片價格高達 723
20、美元。此外,隨著芯片面積增加,良率隨著面積增大而下降。如,工藝成熟后,芯片面積從 213mm2增加至 777mm2,良率從 59%下降到 26%,使得成本大幅提升。而通過先進封裝技術集成多顆芯片如“chiplet”異構集成技術,將大芯片拆分成多顆芯粒,以搭積木的形式將不同功能、不同合適工藝節點制造的芯粒封裝在一起,是突破“面積墻”的一種低成本主流方案。針對“功耗墻”,即近年來單個 GPU 和 CPU 的熱設計功耗(TDP)逐年增大,2024 年單個 GPU 的 TDP 將突破千瓦級,而大算力趨勢下芯片系統的TDP 可能突破萬瓦級。例如,GPT 最新參數量高達 1.8 萬億,消耗電力可能提升至
21、32.4 TWh(假設訓練一次消耗電力 15 兆瓦,跑 3 個月)。使用 3D堆疊、超短距離傳輸疊加液冷等先進冷卻技術能夠有效降低功耗。針對“功能墻”,即單一襯底可實現的功能有限,亦可通過多芯片異構集成技術,實現傳感、存儲、計算、通信等不同功能元器件集合,達到電、磁、光、熱等多物理場的有效融合。行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 5 of 76 圖圖3:集成電路“存儲墻”挑戰集成電路“存儲墻”挑戰 圖圖4:集成電路“面積墻”挑戰集成電路“面積墻”挑戰 數據來源:OPC project 數據來源:先進封裝技術的發展與機遇 圖圖5:芯片良率隨
22、著芯片面積增加而迅速下降芯片良率隨著芯片面積增加而迅速下降 圖圖6:典型典型 Chiplet 架構架構 數據來源:先進封裝技術的發展與機遇 數據來源:Electronics,v38,n8,1965 圖圖7:集成電路“功耗墻”挑戰集成電路“功耗墻”挑戰 圖圖8:集成電路“功能墻”挑戰集成電路“功能墻”挑戰 數據來源:先進封裝技術的發展與機遇 數據來源:先進封裝技術的發展與機遇 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 6 of 76 圖圖9:訓練訓練 AI 用算力需求呈指數級提升用算力需求呈指數級提升 數據來源:OpenAI,The Econom
23、ics 1.2.2028 年先進封裝預計市場占據年先進封裝預計市場占據 58%封裝市場,封裝市場,2.5D/3D 滲透滲透速率亮眼速率亮眼 先進封裝占據封裝半壁江山,先進封裝占據封裝半壁江山,AI 算力拉動算力拉動 2.5D/3D 迅速發展。迅速發展。根據 Yole 的數據,2022 年先進封裝市場規模為 443 億美元,預計到 2028 年,其市場規模將提升至 786 億美元,市場占比將提升至 58%,CAGR 為 10.6%。從先進封裝細分市場看,當前倒裝封裝 FC(Flip Chip)由于成熟、完善的工藝平臺及具備競爭力的成本優勢,占比達到 51%。而在人工智能、5G 通信和高性能計算等
24、產業的推動下,2.5D/3D 封裝成為行業黑馬,2022 年市場規模為92 億美元,預計到 2028 年,將一躍成為第二大先進封裝形式,市場規模將提升至 258 億美元,CAGR 高達 18.7%。圖圖10:2028 年先進封裝市場占比預計將達到年先進封裝市場占比預計將達到 58%數據來源:Yole 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 7 of 76 圖圖11:2028 年年 2.5D/3D 需求躍升至需求躍升至 258 億美元億美元 數據來源:Yole “彎道超車”“彎道超車”+“廣闊市場”雙輪驅動,國內先進封裝滲透率持續上升?!皬V闊市
25、場”雙輪驅動,國內先進封裝滲透率持續上升。據中國半導體協會估計,2022 年國內總封裝市場規模近 3000 億元,先進封裝占比達 38%,2026 年中國封裝市場規模將達 3248 億元。隨著高性能計算、先進存儲等高附加值市場需求及產業鏈國產化,先進封裝市場占比有望進一步提升至 39%,達 1300 億元。圖圖12:2022 年中國封測市場近年中國封測市場近 3000 億元億元 圖圖13:2023 年中國大陸先進封裝市場占比年中國大陸先進封裝市場占比 39%數據來源:JW insights,中國半導體行業協會,國泰君安證券研究 數據來源:JW insights,國泰君安證券研究 1.3.OSA
26、T、Fab、IDM 齊發力,齊發力,CR3 占據占據 50%以上市場以上市場 IDM、Fab 廠紛紛入局,先進封裝迎來擴產浪潮。廠紛紛入局,先進封裝迎來擴產浪潮。目前以日月光、安靠、長電科技、通富微電等為代表的 OSAT 廠商,2022 年占據先進封裝市場份額65.1%。OSAT 廠商主要聚焦于先進封裝中后端,以封裝基板為核心,倒裝封裝 FCBGA、FCCSP 占據先進封裝主流;以臺積電為代表的 Fab 廠,2022年先進封裝市占率達 12.3%,其產品主要聚焦于與晶圓制造類似的先進封裝制程,如 2.5D/3D 技術。IDM 廠如三星、英特爾等也首要進攻 2.5D/3D 市場。當前,先進封裝已
27、在芯片戰爭中占據愈加重要的地位。2024 年,臺積電將預計投資的 280-320 億美元中的 10%投向先進封裝?,F有 CoWoS 月產-10%-5%0%5%10%15%20%25%0500100015002000250030003500中國封測市場規模(億元)YOY0%20%40%60%80%100%2014 2015 2016 2017 2018 2019 2020 2021 2022 2023E傳統封裝先進封裝 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 8 of 76 能約 1.5 萬片,預計到 2024 年底,臺積電 CoWoS 封裝月
28、產能有望達到 3.6-4 萬片。日月光資本支出較 2023 年預計增加 40%-50%,超 22 億美元,其中有 65%將用于封裝業務,尤其是先進封裝業務。中國大陸封測廠中,長電科技 2023 年預計資本開支 65 億元,產能擴充面向高性能、先進封裝領域及加速 XDFOI 技術量產,其中先進封裝占比超過 80%。通富微電海外擴張進展順利,2023 年 6 月通富超威檳城新廠房建設啟動,總投資額接近 20億令吉(約合 4.3 億美元),未來也將持續擴產。圖圖14:2022 年先進封裝市場年先進封裝市場 OSAT、Foundray、IDM三分天下三分天下 圖圖15:日月光、安靠、臺積電占據日月光、
29、安靠、臺積電占據約約 50%市場市場 數據來源:Yole,國泰君安證券研究 數據來源:Yole,國泰君安證券研究 2.先進封裝基石:二維、三維高集成,先進封裝基石:二維、三維高集成,Bump、RDL、TSV 三重心三重心 封裝技術經歷第三次重大變革,邁向高引腳、高集成、高互聯。封裝技術經歷第三次重大變革,邁向高引腳、高集成、高互聯。封裝技術最早起源于以雙列直插封裝 DIP 為主的直插型封裝。20 世紀 80 年代,封裝技術迎來第一次重大變革,順應電子設備系統小型化和集成電路薄型化要求,由通孔插裝進入到表面貼裝時代。封裝技術的第二次重大變革發生在 20 世紀 90 年代前中期,以 BGA(Bal
30、l Grid Array Package,球柵陣列封裝)為代表的先進封裝技術開始涌現,封裝向高引腳數量、高集成邁進。20 世紀末期后,隨著封裝尺寸進一步縮小及工作頻率增加,封裝方式邁向三維堆疊和異構集成,CSP(Chip-Scale Package,芯片級封裝)、WLP(Wafer-Level Package,晶圓級封裝)、SIP(System In a 3Package,系統級封裝)、2.5D/3D 封裝開始涌現,由此進入先進封裝時代。先進封裝主要通過平面與空間上的革新實現連接的密集化、堆疊的多樣化先進封裝主要通過平面與空間上的革新實現連接的密集化、堆疊的多樣化和功能的系統化。和功能的系統化
31、。(1)平面上,以 Bump I/O Pitch(凸塊間距)的縮小化和RDL L/S(Re-distributed Layer 重布線層,線寬/間距)的精細化為核心驅動,來實現高互聯、低功耗、低單位面積成本的封裝技術。例如:RDL(Re-distributed Layer 重布線層)線寬線距邁向 2/2m 尺度,bump 微凸塊間距從 80m 邁向 4050m 尺度;(2)空間上,先進封裝向三維發展,以高度集成化、高度功能化為目標,典型代表為 2.5D/3D 封裝、SiP 系統級封裝、Chiplet 等。3D 堆疊間距向幾微米縮小,wafer to wafer 甚至縮小至百納米尺度。行業公司更
32、新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 9 of 76 圖圖16:半導體封裝技術發展歷程半導體封裝技術發展歷程 數據來源:新材料在線,國泰君安證券研究 圖圖17:先進封裝技術路線圖先進封裝技術路線圖 數據來源:Yole 2.1.核心技術一:核心技術一:Bumping 為先機封裝的基石為先機封裝的基石 Bumping 技術是倒裝封裝的基礎。技術是倒裝封裝的基礎。主要通過在晶圓或芯片表面焊接球狀或柱狀金屬凸點來實現界面間的電氣互聯,核心在于 UBM(凸點金屬化)及凸點的制備。Bumping 主流工藝主要為電鍍,首先,采用濺射或其他物理氣相沉積的方式在晶圓表
33、面沉積一層鈦或鈦鎢作為阻擋層,再沉積一層銅或其他金屬作為后面電鍍所需的種子層。其次,通過光刻工藝設計 bumping 所需的圖形。隨后,晶圓進入電鍍機,通過控制電鍍電流、時間等,在定義圖形區生長并得到一定厚度的凸點金屬層作為 UBM,電鍍完畢后去膠,并以電鍍凸點層作為掩膜,自對準去除凸點外的種金屬層。最后通過回流形成大小均勻、表面光滑的凸點陣列。采用倒裝焊能夠使互聯路徑更短、互聯尺寸小、優良的散熱性能,且封裝的厚度更薄。目前國內 OSAT 封測廠商如華 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 10 of 76 天科技、長電科技、通富微電、甬矽
34、電子等均已具備 bumping 制造能力。圖圖18:倒裝封裝倒裝封裝 VS 引線鍵合封裝引線鍵合封裝 數據來源:Web of Science,國泰君安證券研究 圖圖19:Bumping 工藝示意圖工藝示意圖 數據來源:頎中科技招股書 表表2:國內國內 Bumping 制造能力廠商布制造能力廠商布局局 公司公司 Bumping 進展進展 華天科技 Bump pitch 可做到 40m,bump size 可做到 20m。長電科技 當前最高 bump pitch 可做到 40m。甬矽電子 Bumping 已實現通線 數據來源:公司公告,國泰君安證券研究 2.2.核心技術二:核心技術二:RDL 重定
35、義二維集成重定義二維集成 RDL 技術通過重布線,提升二維平面設計靈活性。技術通過重布線,提升二維平面設計靈活性。RDL 技術主要用于晶圓級封裝中的扇出型(Fan-out)封裝,通過聚合物(PI 或 PBO)實現重布線,連接芯片焊區及凸點,由于對芯片上的觸點進行重新布局和導電,可以將芯片管腳引出到外部更寬松的區域,從而降低了封裝難度,增加了 I/O 引腳數量。在加入有源/無源器件后,即變為系統級封裝。WLCSP 無需封裝基板的倒裝而直接實現芯片粘結,更加牢固,工藝更簡單,甚至不需要底部填充,靈活性也更高,能夠滿足便攜、高速的應用需求。RDL 工藝流程主要包括:1)涂覆一層聚合物薄膜作為鈍化層,
36、以起到應力緩沖的作用,現已開始采用 PSPI(光敏性聚酰亞胺)薄膜以同時起到鈍化 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 11 of 76 保護及光刻膠的作用;2)以曝光顯影的方式定義新的導線涂層,并用電鍍技術制作新的金屬線路及凸點,實現引腳的重分布。重布線層在延伸和互聯二維平面起到關鍵的作用,被廣泛應用于扇入型 WLP(Fan-in)、扇出型 WLP(fan-out)、甚至 2.5D/3D 封裝中。RDL 工藝難點在堆疊層數、線距線寬及對良率的控制,當前臺積電、Intel 走在 5 層 RDL 量產前列。國內,長電科技(5 層以上)、通富微
37、電(5 層,65*65mm 超大尺寸)、華天科技、盛合晶微(4 層以上,成品尺寸達 1600mm2)等已具備 RDL 量產能力。圖圖20:典型典型 RDL+模塑銅柱凸點工藝流程模塑銅柱凸點工藝流程 數據來源:PCB Reverse Engineering 表表3:國內國內 RDL 制造能力廠商布制造能力廠商布局局 公司公司 RDL 進展進展 長電科技 XDFOITM 2.5D 試驗線已于 2022 年進入穩定量產階段,實現國際客戶的 4nm 多芯片的異構集成出貨。該工藝基于 RDL first,線寬線距可達 1.5m/1.5m,5 層以上 RDL 通富微電 基于 Chip Last 工藝的 F
38、an-out 技術,可以實現 5 層 RDL 超大尺寸封裝(6565mm);已完成高密度扇出型封裝平臺 6 層 RDL 開發 盛合晶微 率先實現大尺寸芯片晶圓級全 RDL 無基板封裝量產,搭載在布谷鳥 2 芯片,芯片尺寸達到800mm2,4 層 RDL 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 12 of 76 數據來源:公司公告,國泰君安證券研究 晶圓級封裝基于晶圓級封裝基于 RDL 技術,技術,應用于輕量化消費市場。應用于輕量化消費市場。晶圓級封裝直接在晶圓/重構晶圓上進行大部分或者全部的封裝測試后,再將晶圓切割成單顆芯片的封裝技術。相較于
39、傳統封裝技術,晶圓級封裝不需要引線框架、基板等介質,可以顯著減小封裝的尺寸和重量,主要應用于輕量化消費電子產品,如智能手機、平板電腦和可穿戴設備等。晶圓級封裝 fan-in(扇入型)和 fan-out(扇出型)兩類,其主要區別在于 RDL(重新布線層)的分布方式。在fan-in 封裝中,RDL 通常用于將芯片的連接引腳(Pads)通過金屬線(Wire Bonds)連接到封裝基板的內部。fan-in 首先在晶圓上進行封裝,完成后再進行切割,布線完全在芯片尺寸內完成,封裝大小與芯片尺寸相同。這種布線方式限制了引腳數量和連接密度,因此適合于單個芯片的封裝。相比之下,在 fan-out 封裝中,RDL
40、 用于在封裝基板的外部形成一種擴展式布線結構,使連接引腳可以在基板上自由布置并連接到多個芯片或其他器件。fan-out先將切割后的芯片布置到人工載板上,再進行晶圓級封裝,最后再次切割,布線既可以在芯片內也可以在芯片外,能夠提供更多的 I/O 端子,擴大封裝面積。這種布線方式允許實現更高的連接密度和更多的功能集成,因此適合于多個芯片的封裝。Fan-out 工藝分為面朝上的先芯片處理(Chip first-face up)、面朝下的先芯片處理(Chip first-face down)和面朝下的后芯片處理(Chip last-face down)。Chip-first 的工藝先塑封芯片,按芯片線路
41、面朝上或朝下分為兩類。面朝上的先芯片處理是將芯片面朝上排列并固定在臨時載板上,塑封、CMP 減薄以露銅,再做 RDL 重布線、布線好后在 RDL 層上植球、最后解鍵合。面朝下的先芯片處理則是將芯片面朝下固定在臨時載板后,塑封完成即解鍵合,再完成 RDL 和植球。英飛凌最早報道的 eWLB 即采用此工藝。面朝下工藝面臨著在移除載板后做 RDL 可能造成的翹曲問題,面朝上工藝則解決了翹曲問題,封裝厚度更薄,散熱更好,但多了預制銅柱、CMP 減薄步驟,成本較高,周期較長。Chip-last 則是先在硅承載片上制作 RDL 層和凸點,再將芯片倒裝鍵合到晶圓上,塑封后,移除硅承載片,切割分離成單個芯片。
42、該方法 RDL 精度更高、產出率更高,由于硅承載片的支撐,也改善了翹曲問題,是制備高精度 RDL 中介層的首選方案。板級封裝(板級封裝(FOPLP,Panel level package)為下一代晶圓級封裝誘人趨勢。)為下一代晶圓級封裝誘人趨勢。板級封裝,即在面板尺寸而非硅晶圓尺寸上實現扇出布線的先進封裝工藝,載板尺寸從 8/12 寸 wafer carrier 更改為 515*510mm 或 600*600mm 甚至更高方形面板,因此封裝效率更高?;诋a業數據調研,當板級封裝良率達到90%時,總扇出封裝成本可能降低 50%。三星是最早開始進行板級封裝的公司,其第一代 Exynos 9110
43、率先在 Galaxy Watch 上應用,2023 年,基于FOPLP 技術的 SOC 芯片首次搭載于 Google Pixel 7 手機上,當前,三星正集中于 2.5D 方案的開發。FOPLP 已進入量產線,而由于其封裝尺寸提升,對產線和設備要求隨之提升,其成本優勢仍有賴于產線良率及稼動率。國內公司如華天科技、奕成科技、中科四合等已開始布局。行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 13 of 76 圖圖21:晶圓級封裝和傳統封裝的區別在于先封裝再切割晶圓級封裝和傳統封裝的區別在于先封裝再切割 數據來源:Semiconductor Engin
44、eering 圖圖22:Fan-In 和和 Fan-Out 示意圖示意圖 數據來源:Semiconductor Engineering 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 14 of 76 圖圖23:Chip-first(Mold first)和)和 Chip-last(RDL first)工藝對比)工藝對比 數據來源:Fan-Out Packaging Gets Competitive 圖圖24:板級封裝與晶圓級封裝對比板級封裝與晶圓級封裝對比 數據來源:艾邦半導體 2.3.核心技術三:核心技術三:TSV 技術是三維堆疊的利刃技術是三維
45、堆疊的利刃 空間上革新的技術核心為空間上革新的技術核心為 TSV 硅通孔(硅通孔(Through SiliconVia)技術。)技術。TSV 本質是晶圓上的制程,通過在硅中介層或芯片中插入垂直的金屬填充孔,能夠短距離連接上下層芯片,大幅縮短互連線長度,減少信號傳輸延遲和損失,是 2.5D/3D 的核心技術。TSV 的尺寸多為 10m100m 和 30m200m,開口率介于 0.1%1%。TSV 技術主要分為 Via-first、Via-middle、Via-last 三種方案。Via-first 在前段制程(FEOL)之前制作 TSV 孔,再做電路器件和金屬互聯,實現 core to core
46、 的連接,該方案目前在微處理器等高性能器件領域應用較多,主要作為系統級芯片 SOC 的替代方案;Via-middle通孔制造在有源器件之后、金屬互聯(BEOL)之前,硅通孔技術即用 Via-middle;Via-last 是在 BEOL 之后穿孔,不改變現有集成電路的流程和設計,具有較低種子層沉積成本、縮短電鍍時間、產能更高,目前,部分廠商已開始在高端的 Flash 和 DRAM 領域采用 Via-last 方案,即在芯片的周邊進 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 15 of 76 行打孔,然后進行芯片或晶圓的堆疊。我國頭部封測廠已開始
47、布局 2.5D/3D技術,如通富微電(2.5D/3D 封裝平臺 VISionS)、長電科技(XDFOI chiplet技術平臺)、華天科技(3D Matrix)、晶方科技(CIS 領域)等。圖圖25:三種硅通孔方案對比示意圖三種硅通孔方案對比示意圖 數據來源:Interconnect Planning for Physical Design of 3D Integrated Circuits,Implementation of memory stacking on logic controller by using 3DIC 300mm backside TSV process integra
48、tion,國泰君安證券研究 表表4:國內國內 2.5D/3D 制造能力廠商布局制造能力廠商布局 公司公司 2.5D/3D 進展進展 通富微電 1、在高性能計算領域,建成了國內頂級 2.5D/3D 封裝平臺(VISionS)及超大尺寸 FCBGA 研發平臺,2022 年 2.5D/3D 產線全線通線,1+4 產品及 4 層/8 層堆疊產品研發穩步推進;目前,大尺寸 FO 及 2.5D 產品開發順利推進,已進入產品考核階段;3D 低成本技術方案穩步推進,完成工程驗證;2、在存儲器領域,多層堆疊 NAND Flash 及 LPDDR 封裝實現穩定量產,同時在國內首家完成基于 TSV 技術的 3DS
49、DRAM 封裝開發。長電科技 1、5G 通信領域,公司與客戶共同開發了基于高密度 Fan out 封裝技術的 2.5D fcBGA 產品,同時認證通過 TSV 異質鍵合 3D SOC 的 fcBGA;2、在半導體存儲市場領域,公司具備 16 層 NAND flash 堆疊,35m 超薄芯片制程能力,Hybrid 異型堆疊等,處于國內行業領先的地位;3、公司推出的 XDFOI全系列產品,目前 XDFOI Chiplet 高密度多維異構集成系列工藝已按計劃進入穩定量產階段,同步實現國際客戶 4nm 節點多芯片系統集成封裝產品出貨,最大封裝體面積約為 1500mm的系統級封裝。華天科技 推進 FOP
50、LP 封裝工藝開發和 2.5D 工藝驗證,具備 3D NAND Flash 32 層超薄芯片堆疊封裝能力,完成高散熱銦片FCBGA 封裝工藝、超薄芯片硅通孔 TCB 鍵合技術、HBPOP 封裝技術開發。晶方科技 作為晶圓級硅通孔(TSV)封裝技術的領先者,重點聚焦以影像傳感芯片為代表的智能傳感器市場,封裝的產品主要包括 CIS 芯片、TOF 芯片、生物身份識別芯片、MEMS 芯片等,廣泛應用在智能手機、安防監控數碼、汽車電子等市場領域。數據來源:公司公告,國泰君安證券研究 TSV 核心工藝在刻蝕、銅電鍍與臨時鍵合核心工藝在刻蝕、銅電鍍與臨時鍵合/解鍵合。解鍵合。完整 TSV 包括 TSV 孔制
51、作、正面制程、背面制程。其中 TSV 孔制造工藝主要包括:1)光刻,定義開孔;2)深孔刻蝕;3)沉積介質保護層/擴散阻擋層/種子層;4)電鍍銅;5)化學機械拋光表面平坦化和去除多余種金層;6)磨削露銅。從成本看,銅電鍍和臨時鍵合/解鍵合在 TSV 工藝中占比最大,均達到 17%。高深寬比刻蝕一般采用 Bosch 刻蝕,一步刻蝕一步沉積,刻蝕速率可達 50m/min,深寬比達 1:80,精度為亞微米級,北方華創 12 英寸深硅刻蝕機 PSE V300已量產銷售。介質保護層 SiO2 沉積一般用 PECVD,北方華創選擇 PEALD方案。阻擋層(Ti/TiN 或者 Ta/TaN 等)和種子層(Cu
52、 等)則選擇 PVD 方案。銅電鍍采用 ECP 電化學電鍍設備,北方華創、盛美上海等已實現出貨。行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 16 of 76 為了 TSV 填充均勻,電鍍液中需要通過添加劑調節孔底部、孔側壁、表面的電鍍沉積速率,安集科技、上海新陽、艾森股份已具備批量出貨能力。TSV 主要有存儲主要有存儲 3D 封裝、封裝、2.5D 中介層封裝、中介層封裝、CIS 傳感器傳感器 3D WLCSP 封裝封裝三大領域。三大領域。TSV 主要有三大應用領域:1)應用于存儲類產品的 3D IC 封裝,助力增加存儲容量、降低功耗、增加帶寬,典
53、型應用如 HBM 堆疊,深寬比可達 20:1;2)應用于 CIS 等傳感器領域的 3D WLCSP 封裝,該工藝主要采用 via last,TSV 深寬比較?。?:13:1),這也是 TSV 目前應用最成熟的領域;3)2.5D 中介層封裝,主要用 via middle 工藝,主流深寬比達 10:1,厚度為 100m。在 3D 堆疊時,以 CoWoS-S 為例,其主要工藝特點如下:1)通過微凸點(bump)將多顆芯片鍵合至無源轉接板上,形成芯片至晶圓(Chip on Wafer,CoW);2)減薄晶圓背面以漏出 TSV;3)制備可控塌陷芯片連接 C4 凸點;4)切割晶圓并將其倒裝焊制封裝基板上(
54、Wafer on Substrate,WS)。圖圖26:TSV 核心結構示意圖核心結構示意圖 數據來源:先進封裝技術的發展與機遇,國泰君安證券研究 圖圖27:TSV 工藝流程工藝流程 數據來源:微電子封裝技術,國泰君安證券研究 圖圖28:Via middle 工藝成本拆解工藝成本拆解 圖圖29:TSV 主要有三大應用領域主要有三大應用領域 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 17 of 76 數據來源:A cost model analysis comparing via-middle and via-last TSV processes
55、,國泰君安證券研究 數據來源:國泰君安證券研究 2.4.下一代封裝技術:混合鍵合掀起浪潮下一代封裝技術:混合鍵合掀起浪潮 混合鍵合是實現高密度堆疊的核心路徑?;旌湘I合是實現高密度堆疊的核心路徑。隨著高性能運算帶動的多顆芯片垂直互聯要求提升,傳統的微凸點技術面臨焊料電遷移、熱遷移、橋連短路等可靠性加劇的問題,不再滿足堆疊尺寸極小、I/O 密度要求極高的堆疊需求,混合鍵合(或稱 Cu-Cu 直接鍵合)工藝應運而生?;旌湘I合的本質是,將銅/SiO2打磨出極其光滑的表面,稍微施加壓力或高溫,在范德華力的作用下,就可以實現永久鍵合。由于 Cu-Cu、SiO2-SiO2、Cu-SiO2界面間都可以同時鍵合
56、,因此稱為混合鍵合。該技術的關鍵點在低粗糙度的磨平方法、高精度的對準方法、晶圓翹曲的控制方法和銅焊盤凹陷的控制方法等。為了增強表面結合力,需要增加等離子活化等工序,再通過高精度倒裝熱壓,實現多界面間的混合鍵合?;旌湘I合的核心要素有三:高潔凈度(nm 級控制)、高平整度及粘合強度,因此需要先進的前端設備及更貴的潔凈室?;旌湘I合可分為 Wafer to Wafer 及 Die to Wafer 兩類。前者主要應用于 3D NAND、CIS 等 Wafer 間堆疊,對準精度要求極高,偏移量在小百 nm 以內,典型代表如長存 3D NAND X-stacking 架構,EVG 單臺設備價值量約為 50
57、0800 萬歐元/臺。Die to Wafer 則主要應用于 3D DRAM、SOIC、異構集成等,對準精度要求一致,但對機臺速率及清潔度提出更高要求。當前,混合鍵合精度的極限可以達到 0.05m 以下,海外頭部公司 Besi 設備可以實現 10m 以下的連接點間距、0.5-0.1m 的對準精度,以及 1w100w 連接點/mm2的連接密度。單臺設備價值量也迅速提升,以 Besi 為例,同系列倒裝用固晶機單價大約 50 萬美元/臺,而混合鍵合設備單價將提升至 150250 萬美元。受先進封裝拉動,混合鍵合有望于受先進封裝拉動,混合鍵合有望于 2024 年迅速起量。年迅速起量。最初,混合鍵合最重
58、要的驅動因素是縮小 SRAM 與邏輯芯片間的間隙,將 SRAM 放置在邏輯芯片之上。例如,臺積電 SoIC 是目前唯一實現 D2W 混合鍵合商業化的技術,并應用于 AMD 3D V-Cache 上(AMD MI3000),其將 SRAM 堆疊在處理器上,連接密度相對 2D 工藝高了 200 倍,互聯密度相對單純使用微凸點工藝高了 15 倍,芯片互聯的能效也比微凸點工藝提高了 3 倍。當前,混合鍵合設備尚處于產品導入期,在圖像傳感器、邏輯芯片和存儲器領域初步實現產業化。三星將在 X-Cube、Saint 平臺上均將采用混合鍵合,分別用于內存-內存、邏輯芯片-存儲芯片/邏輯芯片的堆疊,英特爾則將把
59、其應用在 Foveros上,有望在 2024 年率先實現邏輯芯片與互連器之間的混合鍵合技術。此外,海力士也可能率先使用混合鍵合至其 HBM4 芯片上。根據 Besi 預測,中性假設下,2025 年對混合鍵合系統需求將超過 200 臺。表表5:封裝形式進化對鍵合的要求提升封裝形式進化對鍵合的要求提升 引線鍵合引線鍵合(1975)倒裝封裝倒裝封裝(1995)熱壓粘合熱壓粘合(2012)扇出封裝扇出封裝(2015)混合鍵合混合鍵合(2018)封裝形式封裝形式 連接類型連接類型 引線 錫球/銅柱 銅柱 RDL/銅柱 銅-銅 連接密度連接密度 5-10/mm2 25-400/mm2 156-625/mm
60、2 500+/mm2 10K-1MM/mm2 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 18 of 76 基板基板 有機物/引線 有機物/引線 有機物/硅 無 無 精度精度 20-10m 10-5m 5-1m 5-1m 0.5-0.1m 能量能量/Bit 10 pJ/Bit 0.5 pJ/Bit 0.1 pJ/Bit 0.5 pJ/Bit 20W/K。但硅中介層的產能一直是 CoWoS 的制約,主要由于 65nm+的光刻機產能限制、拼接帶來的良率損失以及 wafer 面臨的翹曲問題。以英偉達 H100 為例,硅中介層占據整個 BOM 成本的 8
61、%,占據臺積電 CoWoS封裝的 35%。而其上的 TSV 通孔又幾乎占據硅中介層 40%的成本。臺積電也推出了其基于完全 RDL 層和 RDL+LSI 的 CoWoS-R 和 CoWoS-L 技術。CoWoS-L 采用 RDL 和本地硅互聯(LSI),作為臺積電最新技術,兼具二者優勢、成本與性能考量,類似于 Intel 硅橋,臺積電用 10+LSI 小芯片替代了一個硅中介板。其基于 1.5 倍光罩面積的轉接板、1 顆 SOC4 顆 HBM 單元,且可進行拓展,提升芯片設計及封裝彈性,堆疊最多達 12 顆 HBM3,已在 2024 年推出。CoWoS-R 則適用于無需要非常密集的芯片堆疊的地方
62、,但仍與高性能計算相關,其基于 InFO 技術的 RDL 層進行互聯,RDL interposer 有 6 層銅層,線寬線距 2m,用于 HBM 和 SOC 異構集成中。RDL 層機械靈活性較高,增強了 C4 接頭的完整性??梢匀菁{ 8 個 HBM 和4 個 SoC。CoWoS-R 可以將中介板大小提升至 3.3 個光罩面積,而當前 H100用中介板僅為 2.2 倍光罩面積。由于 CoWoS-R 和 CoWoS-L 采用有機層直接與芯片相連接,現行大規模倒裝回流焊方式可能不再適用,可能轉而采用熱壓鍵合的方式,僅對芯片連接區域進行焊接。行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請
63、務必閱讀正文之后的免責條款部分 25 of 76 圖圖45:臺積電臺積電 CoWoS-S 已發展至第已發展至第 5 代代 數據來源:臺積電官網 圖圖46:臺積電臺積電 CoWoS 結構對比結構對比 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 26 of 76 數據來源:臺積電官網 圖圖47:H100 GPU 成本拆分成本拆分 圖圖48:光罩拼接帶來的面積損失光罩拼接帶來的面積損失 數據來源:英偉達公告,臺積電公告,國泰君安證券測算 數據來源:TSMC 表表6:臺積電的臺積電的 2.5D/3D 封裝方案對比封裝方案對比 型號 應用產品 核心技術 優
64、點 缺點 CoWoS-S 英偉達 A100、H100,英特 爾 Gaudi 2,谷 歌TPUs,AMD MI300 使用硅中介層連接 允許多芯片互聯(6 個以上),硅用于中介層,翹曲風險相對較低 由于硅通孔技術,且多個中介層需拼接,導致產量低、成本高 CoWoS-R AWS Inferentia 用有機基板取代硅中間層 相對 CoWoS-S,無需用到拼接和硅通孔技術,成本較低 相比 CoWoS-S 無法適配多芯片(2SoC+2HBM vs 6+HBM),翹曲風險高 CoWoS-L 尚未披露 在基底內部使用嵌入式硅芯片 相對 CoWoS-S 成本較低(無需拼接),中介層尺寸靈活/數據來源:臺積電
65、公告,國泰君安證券研究 CoWoS 技術成為高性能計算主流路線。技術成為高性能計算主流路線。截至目前,英偉達、博通、邁威爾、谷歌、亞馬遜、NEC、AMD、賽靈思、Habana 等已經廣泛采用 CoWoS 技術。繼 2023 年 10 月英偉達確定擴大下單后,蘋果、AMD、博通、邁威爾等重量級客戶同樣積極追單。自 2016 年,CoWoS-S 技術被開始用于超級計算機中,最典型的應用是將 GPU 核和高密度 HBM 共同封裝連接成為 GPU超級算力體系。同時,一些 CPU 也采用 CoWoS-S 技術同 HBM 連接來作為超級計算機的處理單元。根據臺積電統計,2020 年,搭載 CoWoS-S
66、的系統總算力占總 TOP500 超級計算機系統算力的 50%以上,CoWoS 技術已廣泛用于高性能計算中,并正成為大算力時代的風向標。先進 ASIC 領導廠商GUC(創意電子)宣布,公司利用臺積電的7nm、5nm和 3nm技術和3DFabric技術(包括 CoWoS、InFO 和 SoIC),建立了完整的 2.5D/3D 小芯片 IP 產品系列。該解決方案現已在創意電子的 5nm HBM3 PHY 中經過硅驗證,速度高達 8.4 Gbps。應用 CoWoS 技術最典型的案例來自英偉達系列 GPU、Google TPU 及 AMD MI300 系列。英偉達最強 AI 芯片架構 B200 系統于2
67、024 年 GTC 大會發布,該系統預計采用 2 個基于臺積電 CoWoS 的芯片,連接 8 個 8Hi HBM3E 中,總容量達 192GB。臺積電正加緊布局 CoWoS 產能。當前,臺積電 CoWoS 產能處于供不應求階段。2023 年底 CoWoS 月產能約 1.5 萬片,近期臺積電追加了新一輪的 CoWoS 設備訂單,并要求 2024年第 4 季度交付,預計到 2024 年底,臺積電 CoWoS 封裝月產能有望達到3.6-4 萬片。行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 27 of 76 圖圖49:2020 年搭載年搭載 CoWoS-
68、S 的系統總算力占總的系統總算力占總 TOP500 超級計算機系統的超級計算機系統的 50%以上以上 數據來源:IEEEWafer Level System Integration of the Fifth Generation CoWoS-S with High Performance Si Interposer at 2500 mm2 圖圖50:英偉達英偉達 B200 架構圖架構圖 數據來源:GTC2024 英偉達主題演講 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 28 of 76 圖圖51:臺積電臺積電 CoWoS 產能預測產能預測 數據
69、來源:Goldman Sachs,國泰君安證券研究 表表7:GPU 性能對比性能對比 廠商廠商 Nvidia AMD Google 型號 H100 H200 B200 MI300A MI300X TPU v5e TPU v5p 量產時間 4Q22 2Q24 3Q24 4Q23 4Q23 3Q23 4Q23 架構 Monolithic Monolithic Chiplet Chiplet Chiplet/前端工藝制程 N4P N4P N4P 5nm+6nm 5nm+6nm/后端工藝 CoWoS-S CoWoS-S CoWos-L SoIC+CoWoS SoIC+CoWoS CoWoS CoWoS
70、 晶體管數量(bn)80 80 208 146 153/連接 NV Link 900GB/s NV Link 900GB/s NV Link 1800GB/s 3D Fabric 384GB/s(4APU)3D Fabric 896GB/s(8GPU)1600Gbps 4800Gbps GPU 容量(GB)80 141 192 128 192 16 95 存儲配置 HBM3*5 HBM3E*6 HBM3E*8 HBM3*8 HBM3*8 HBM2 HBM2E 存儲帶寬(TB/s)3.35 4.8 8.0 5.3 5.3 0.82 2.76 運算速率 FP32:67 TFLOPS;FP16:1,
71、979 TFLOPS1;FP4:4.0 TFLOPS FP32:67 TFLOPS;FP16:1,979 TFLOPS1;FP4:4.0 TFLOPS FP16:2.25 PFLOPS;FP4:9 PFLOPS FP32:122.6 TFLOPs;FP16:980.6 TFLOPs FP32:163.4 TFLOPs;FP16:1.3 PFLOPs BF16:197 TFLOP;Int8:393 TFLOP BF16:459 TFLOP 熱設計功耗(W)700 700 1000 760 750/注 1:with sparsity 數據來源:公司公告,國泰君安證券研究 3.1.1.3.臺積電 I
72、nFO 技術為移動通訊的標桿 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 29 of 76 InFO 為需要高密度互聯的移動通訊、高性能計算領域提供技術支撐。為需要高密度互聯的移動通訊、高性能計算領域提供技術支撐。InFO基于晶圓級封裝,通過高密度的 RDL 層和 TIV(Through InFO Via,InFO通孔)連接。其中 InFO_POP 是業界第一代 3D 晶圓級 FO 封裝,移動應用處理器和 DRAM 通過高密度 RDL 和 TIV 互聯,由于無需有機基板和 C4 基球,InFO_POP 比 FC_POP 擁有更輕薄、更優異的電性能
73、和導熱性能。InFO_POP 2016 年推出,成功搭載于蘋果 iPhone 7 的 A10 處理器中,成為移動封裝技術新標桿。InFO_oS 面向 HPC,允許多個晶粒集成,可以通過2/2mRDL 線寬/線距實現不同功能的邏輯 chiplet 的集成。它能實現最小40m I/O 間距、130m C4 銅球間距、在大于 6565mm 的基板上搭載大于兩倍光罩面積的InFO。該產品于2017年Q4出貨。InFO_LSI作為InFO_oS的升級技術,將 RDL 和 CoWoS 里的 LSI 技術結合,達到極致互聯帶寬和成本的折中。臺積電最新技術 InFO_3D 允許邏輯和邏輯芯片間垂直堆疊,并在下
74、方布線,以便分配電路和信號。InFO 最早應用于 2016 年推出的iPhone7 中的 A10 處理器,目前蘋果 A 系列應用處理器是 InFO 封裝最大應用。圖圖52:臺積電臺積電 InFO 技術變遷史技術變遷史 數據來源:臺積電官網 3.1.2.三星先進異構封裝,提供從三星先進異構封裝,提供從 HBM 到到 2.5D/3D 的交鑰匙解決方案的交鑰匙解決方案 三星先進封裝方案包括了三星先進封裝方案包括了 2.5D i-Cube 和和 3D X-Cube 在內的豐富的交鑰匙在內的豐富的交鑰匙工程。工程。i-Cube 系列封裝面積均為 8585mm2,可放置 8 個 HBM,interpose
75、r尺寸為三個光照面積,微凸塊間距為 40m,interposer C4 間距為 150m。未來,interposer 尺寸將擴大到 4 倍光罩面積,有 12 個 HBM 凸塊數量,微凸塊間距減小為 25m,封裝尺寸擴大到 8585mm2。其中,i-CubeS 是HBM 和邏輯芯片布置在同一硅中介層上,提供出色的帶寬和性能。I-CubeE則采用硅嵌入式結構,應用 FOPLP 封裝的 RDL 中介層,用硅橋滿足高速運算的要求,兼具了 RDL 的成本效益,比 i-CubeS 成本降低 22%。X-Cube則是三星 3D 封裝的解決方案,在垂直空間上堆疊邏輯芯片,包含 TCB 和HCB 兩種方案。TC
76、B 的凸塊間距為 25m,硅片厚度 40m,通過熱壓鍵合的方式進行芯片的堆疊。而 HCB 方案則采用先進的混合鍵合方法,凸塊間距下降到 4m,硅片厚度僅為 10m,將空間進一步壓縮。百度昆侖 AI處理器也搭載了三星 i-Cube 方案。表表8:三星先進封裝解決方案三星先進封裝解決方案 類型 當前性能 未來規劃 示意圖 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 30 of 76 I-CubeS interposer 大?。? 倍光罩 HBM 個數:8 bump 間距:40m interposer C4 凸塊間距:150m 封裝面積:8585mm
77、interposer 大?。? 倍以上光罩 HBM 個數:12 bump 間距:25m interposer C4 凸塊間距:125m 封裝面積:100100mm I-CubeE interposer 大?。? 倍光罩 HBM 個數:8 bump 間距:40m interposerC4 凸塊間距:150m 封裝面積:8585mm interposer 大?。? 倍以上光罩 HBM 個數:12 bump 間距:25m interposerC4 凸塊間距:125m 封裝面積:100100mm X-Cube(TCB)3D bump 間距:25m 硅厚度:40m bump 間距:21m 硅厚度:40m
78、 X-Cube(HCB)3D bump 間距:4m 硅厚度:10m bump 間距:3m 硅厚度:10m 數據來源:OPC 演講AI/HPC:Advanced package technologies for chiplet adoption and memory integration in HPC/AI applications,國泰君安證券研究 圖圖53:三星三星 I-CubeE 方案更具成本優勢方案更具成本優勢 數據來源:OPC 演講AI/HPC:Advanced package technologies for chiplet adoption and memory integrat
79、ion in HPC/AI applications 三星面向未來先進封裝方案向高集成演進。三星面向未來先進封裝方案向高集成演進。在 Bump 兼具大于 20m 時,可以使用 TCB 焊錫的微凸塊,但內部互聯走向微縮,互聯方式將從熱壓鍵合邁向混合鍵合。在該方式下,密度有望提升 100 倍,帶寬提升 150 倍,功耗效率提升 30%。面向未來,芯片將在 3D 水平上將進一步集成,在 logic die 上垂直堆疊存儲芯片,功耗效率提升 40%,降低延遲 10%。行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 31 of 76 圖圖54:先進封裝向更小間
80、距、更高先進封裝向更小間距、更高 bump 密度演變密度演變 數據來源:OPC 演講AI/HPC:Advanced package technologies for chiplet adoption and memory integration in HPC/AI applications 圖圖55:三星未來將采用混合鍵合方案三星未來將采用混合鍵合方案 數據來源:OPC 演講Unleashing the Power of Collaboration:Overcoming Memory Challenges in the AI/ML Era 圖圖56:三星三星 3D 封裝未來構想封裝未來構想 行
81、業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 32 of 76 數據來源:OPC 演講Unleashing the Power of Collaboration:Overcoming Memory Challenges in the AI/ML Era 3.1.3.Intel 先進封裝方案兼具性價比及可拓展性先進封裝方案兼具性價比及可拓展性 Intel 2.5D/3D 封裝主要通過封裝主要通過 EMIB 和和 Foveros 兩個技術方案實現。兩個技術方案實現。EMIB(Embedded Multi-die Interconnect Bridge,嵌
82、入式多芯片互連橋接)是 Intel 2.5D 的解決方案,指將兩個芯片間通過一個有機基板進行互聯,而硅橋(EMIB)內嵌于基板之內。臺積電的 CoWoS-S 方案則是將硅中介層置于有機基板之上。根據 Intel,與用整層硅中介層相比,Intel 的方案性價比更高,且基板更易拓展,實現多芯片的連接。具體而言,先制備 HDI 基板層,中間形成凹陷以用于 EMIB 硅中介層的放置,覆蓋 HDI 層,將 EMIB 和 HDI基板導通,并制備用于連接芯片的 bump(FLI bump),最后通過熱壓鍵合等方式將邏輯芯片和其他異構芯片(HBM/等 chiplet)相連接,其中,EMIB 的準確埋入并與有機
83、基板強結合是核心工藝。在一片有機基板上,EMIB 橋的數量可以達到 10 個以上。當前,bump 間距已經從 55m 縮小到 45m。Intel EMIB 技術于 2017 年量產,當前已在英特爾 Sapphire Rapids 系列處理器,Sierra Forest 處理器上實現應用。圖圖57:Intel EMIB 方案方案 數據來源:IEEEDie Embedding Challenges for EMIB Advanced Packaging Technology 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 33 of 76 圖圖58:In
84、tel EMIB 方案流程方案流程 數據來源:IEEEDie Embedding Challenges for EMIB Advanced Packaging Technology Foveros 是是 Intel 2.5D/3D 解決方案。解決方案。Foveros 于 2019 年推出,最早用于Lakefiled 處理器,使用微凸點、將基礎邏輯芯片和頂部的活動組件(如另一個邏輯芯片、內存、FPGA 或模擬/RF 組件)緊密連接在一起,這種 face-to-face 的連接方法提供了高密度的互連和較低的功率損失。Foveros 第一代bump 間距為 50m,第二代間距已縮小到 36m,采用
85、4nm 工藝制程,并已用在 Meteor Lake 處理器上。Mercury Research 預計 2024 年 Meteor Lake可能占據Intel C端CPU 出貨的55%60%。Foveros omni是第三代Foveros,bump 間距縮小到 25m,基于全向互聯(ODI)技術,懸臂式銅柱的采用可以繞過 TSV 直接向頂層芯片供電和輸出信號,讓頂層芯片的大小能夠比基底芯片更大,使設計具有更高靈活度,且連接損耗更小。Foveros direct 則采用銅銅鍵合互聯,其將芯片間距降低至 10m,密度是 Foveros omni 的 6倍。根據英特爾,Foveros Direct 解
86、決方案將首發于英特爾 Clearwater Forest Xeon CPU,預計將于 2024 年年中推出。圖圖59:Intel Foveros 技術示意圖技術示意圖 數據來源:英特爾官網 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 34 of 76 圖圖60:Foveros Omni 技術示意圖技術示意圖 數據來源:英特爾官網 圖圖61:Foveros Direct 技術示意圖技術示意圖 數據來源:英特爾官網 當前當前 Intel 將將 EMIB 技術和技術和 Foveros 技術結合,推出技術結合,推出 EMIB 3.5D。該技術非常適合需要
87、在一個封裝中組合多個 3D 堆棧的應用。例如,英特爾數據中心 GPU Max 系列(Ponte Vecchio)使用 EMIB 3.5D 創建了英特爾有史以來最復雜的異構芯片,在 2023 年 Q1 發布,用于 AI 計算和數據中心,擁有超過 1000 億個晶體管。英特爾在其 Ponte Vecchio 產品中總共使用了 47 個芯片,包括 16 個 Xe HPC 核心芯片、8 個 Rambo 緩存、2 個 Xe 基礎芯片、11個 EMIB 互聯、2 個 Xe Link 和 8 個 HBM 堆疊。計算性能可達到英偉達A100 的 2.5 倍。行業公司更新行業公司更新 請務必閱讀正文之后的免責條
88、款部分請務必閱讀正文之后的免責條款部分 35 of 76 表表9:英特爾先進封裝凸點間距演進表英特爾先進封裝凸點間距演進表 2021 2022 2023 2024 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 EMIB EMIB 55m EMIB 45m Foveros Foveros 50m Foveros 36m Foveros Ommi Foveros Ommi,25m Foveros Direct Foveros Direct,10m 2-stack 數據來源:英特爾官網,國泰君安證券研究 圖圖62:英特爾英特爾 GPU Max 系列示
89、意圖系列示意圖 數據來源:英特爾官網 表表10:Intel 的的 2.5D/3D 封裝方案對比封裝方案對比 型號 應用產品 核心技術 優點 缺點 EMIB(2.5D)Sapphire Rapids系列處理器,Sierra Forest 處理器 使用有機基板和嵌入式硅橋來達到類似 CoWoS-S 的功能 相對 CoWoS-S 無需用到拼接和硅通孔技術,成本較低 邏輯芯片與 HBM 之間的 I/O 接口有限(與 CoWoS-S 和 CoWoS-R 相比)Foveros(3D)Alder Lake,Meteor Lake(PCs)3D 堆疊 適用于異構集成 鍵合設備的資本支出較高 Foveros O
90、mni/3D 封裝,在芯片外部采用硅通孔技術以提高空間和信號可靠性,頂芯片可與多 base 芯片連接 更好的設計靈活性(例如可以搭載更多SRAM);散熱性能比 Foveros 更好(TSV 重構)工藝復雜 數據來源:英特爾官網,國泰君安證券研究 3.2.海力士、三星、美光海力士、三星、美光 HBM 打破“存儲墻”打破“存儲墻”3.2.1.高性能計算要求高帶寬低功耗,高性能計算要求高帶寬低功耗,HBM 應運而生應運而生 HBM 成為大算力必經之路,預計成為大算力必經之路,預計 2026 年市場年市場規模規模接近接近 130 億美元。億美元。由于GPU 存在大量并行運行的線程的訪存請求,要求配備高
91、帶寬大容量的存儲器,小尺寸高帶寬、高容量的 HBM 應運而生。而在 AI 大算力的需求下,行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 36 of 76 高帶寬存儲器(HBM)基本成為當前突破內存墻的必經之路。2022 年,HBM市場需求量 181.3 百萬 GB,預計未來 2 年,HBM 市場年增速有望達到52.5%。受 AI 算力推動,行業權威機構上調預期,根據 Yole 預測,以 11.7美元/GB 測算,2025 年 HBM 市場規模有望近 200 億美元。英偉達、AMD、谷歌等 AI 服務器均使用 HBM,帶動 HBM 產業鏈加速增長。英
92、偉達 B200在 COMPUTEX 2024 上,英偉達確認其計劃于 2026 年推出“Rubin”下一代數據中心 GPU 架構,Rubin GPU 配備 8 個 HBM4 芯片,其增強版 Rubin Ultra GPU 將配備 12 個 HBM4,計劃于 2027 年推出,預示著 HBM 堆棧個數進一步提升。圖圖63:未來未來 2 年全球年全球 HBM CAGR 預計為預計為 52.5%圖圖64:2025 年年 HBM 市場規模有望近市場規模有望近 200 億美元億美元 數據來源:Yole,國泰君安證券研究 數據來源:Yole,國泰君安證券研究 表表11:AI 算力帶動算力帶動 HBM 成“
93、最強輔助”成“最強輔助”公司公司 英偉達英偉達 AMD 產品名稱 A100 H100 H200 B200 Rubin MI250X MI300(CPU+GPU)發布時間 2020.5 2022.3 2023.11 2024.3 2024.6 2021.11 2023.1 量產時間 2Q21 4Q22 2Q24 2Q3Q24 4Q25 4Q21 4Q23 搭載 HBM型號 HBM2E HBM3 HBM3E HBM3E HBM4 HBM2E HBM3 搭載顆數 5 5 6 8 8/12 8 8 總內存 40G/80G 80G 141GB 192GB/128GB 192GB 總帶寬 1.5TB/s
94、3TB/s 4.8TB/s 8TB/s/3.2TB/s 5.3 TB/s AI 應用 訓練 訓練 訓練 訓練 訓練 訓練 訓練 數據來源:公司公告,國泰君安證券研究 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 37 of 76 圖圖65:HBM 產業鏈構成產業鏈構成 數據來源:海力士官網 HBM 高帶寬低功耗,適用于內存和處理器間的高頻率遷移。高帶寬低功耗,適用于內存和處理器間的高頻率遷移。根據 JEDEC分類,HBM 屬于 GDDR(Graphics Double Data Rate,圖形 DDR 存儲器)的一種。此前主流 GDDR 存儲器主要
95、采用點對點的連接,而為了增加存儲帶寬或者容量,就需要更多的存儲通道,但這種方法受到封裝引腳和功耗的限制。HBM 則通過 TSV 硅通孔、微凸塊等先進封裝技術將多個 DRAM 垂直堆疊,與 GPU 通過中介層 interposer 互聯封裝。每個 HBM DRAM 芯片可通過多達 8 條通道與外部相連,每個通道可單獨訪問 1 組 DRAM 陣列,通道間訪存相互獨立。邏輯芯片可控制 DRAM 芯片,并提供與控制器芯片連接的接口,主要包括測試邏輯模塊和物理層(PHY)接口模塊,其中 PHY接口通過中間介質層與 CPU/GPU/SOC 直接高速連通,直接存?。―A)端口提供 HBM 中多層 DRAM
96、芯片的測試通道。從封裝上看,HBM 可以放在距離 GPU 更近的位置。HBM 具備高速、高帶寬、可拓展性(通過 4、8、12 等多層堆疊)、低功耗、小體積特點。舉例而言,雖然 HBM2E 單引腳最大 I/O 速度 3.2Gbit/s 低于 GDRR5 7.0Gbit/s,但由于其三維堆棧,總接口位寬 1024bit 比 GDDR5 32 大很多,所以總帶寬可以達到 GDRR5 的十倍。功耗上,由于用 TSV 和 bumping 技術實現了較短傳輸路徑、較低引腳 I/O 速度和電壓,以海力士 HBM3E 為例,功耗較 GDDR6 提升 70%,而帶寬提升至 18.3 倍。圖圖66:HBM 堆疊結
97、構堆疊結構 圖圖67:HBM1 堆疊堆疊 DRAM 結構結構 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 38 of 76 數據來源:高帶寬存儲器的技術演進和測試挑戰 注:每個 DRAM 芯片具有 2 個 128 bit 通道,共有 8 個陣列(B0B7),最多支持 8 個 128 bit 通道,總帶寬為 128 GB/s。數據來源:高帶寬存儲器的技術演進和測試挑戰 圖圖68:HBM 具備高速、高帶寬特征具備高速、高帶寬特征 圖圖69:海力士海力士 HBM3E 帶寬提升至帶寬提升至 18.3 倍,功耗降低倍,功耗降低70%數據來源:高帶寬存儲器的
98、技術演進和測試挑戰,國泰君安證券研究 數據來源:海力士官網 HBM 成為軍備競賽核心。成為軍備競賽核心。從目前已量產的 HBM 來看,已經經歷了 4 代。第一代標準于 2013 年推出,2015 年,海力士推出 HBM1,實現了 128GB/s的總帶寬,最先搭載在 AMD 的 GPU Redeon R9 Fury X 上。第二代,HBM2芯片由 2016 年三星率先量產,堆疊層數達到 8 層,總帶寬提升至 307GB/s,是 GDDR5 的 9.6 倍。2016 年,HBM2 搭載于英偉達針對數據中心和 HPC場景的專業級 GPU Tesla P100 上,稱為“地表最強”并行計算處理器。此后
99、,英偉達用于數據中心加速計算的 GPU V100、A100、H100/200、到當前的 B200,均搭載 HBM 存儲器。第 3 代 HBM2E,三星 2020 率先量產,實現 460 GB/s 的帶寬、容量提升 1 倍到 16GB。第四代 HBM3,海力士 2022年率先量產,根據 JEDEC 標準,HBM3 在 HBM2E 的架構基礎上擴充升級,單引腳速率提升 1 倍,將獨立通道的數量從 HBM2 的 8 個增加到 16 個,每個通道有 2 個偽通道,HBM3 實際上支持 32 個通道。SK Hynix 的 HBM3 芯片最高帶寬達到了 819 GB/s,相比 HBM2E 提升了 78%。
100、2023 年 4 月,海力士推出 HBM3E,堆疊層數從 8 層增加到 12 層,最大帶寬 1.15TB/s。預計 HBM4 堆疊層數可能達到 16 層。頭部廠商積極布局,加快擴產。頭部廠商積極布局,加快擴產。當前,海力士已占據 90%以上 HBM3 全球份額。海力士 8 層堆疊 HBM3E 于 2024 年 2 月量產,將搭載到英偉達最新H200、B200 上,將于 2024 年 Q2 開始出貨。4 月,海力士計劃與臺積電合作開發 HBM4,采用臺積電先進制程對 base logic die 增加更多功能,預計在 2026 年投產。三星 HBM3 已經 23 年年末供貨,HBM3E 順利通過
101、客戶驗證,預計 2024 年上半年量產。美光 HBM3E 預計于 2024 年 Q2 出貨,且24 年 HBM 產能已銷售完畢,2025 年絕大部分產能已被預定。產能方面,海力士 2024 年資本支出約 76 億美元,同比提升約 3040%。海力士預計,2030 年 HBM 年出貨量將超過 1 億顆。三星將投資 7000-10000 億韓元投資新封裝線,預計 2024 年 HBM 出貨量將提升 2.9 倍,2025 年可能再翻倍。行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 39 of 76 圖圖70:HBM 歷史演進圖歷史演進圖 數據來源:海力士
102、公告,國泰君安證券研究 圖圖71:2023 海力士占據海力士占據 HBM3 90%市場份額市場份額 圖圖72:2023 全球全球 HBM 競爭格局競爭格局 數據來源:海力士官網 數據來源:海力士、三星、美光公告,國泰君安證券研究 表表12:HBM 迭代歷程迭代歷程 海力士 三星 美光 產品類別 HBM1 HBM2 HBM2E HBM3 HBM3E HBM4 HBM2 HBM2E HBM3E HBM4 HBM2E HBM3E HBM4 堆棧層數(層)4 8 8 12 12 16 8 8 12 16 4/8 8/12 16 單芯片容量(GB)0.25 1 2 2 3 3 1 2 3 3 2 3 3
103、 最大容量(GB)1 8 16 24 36 48 8 16 36 48 16 36 48 總接口位寬(bit)1024 1024 1024 1024 1024 2048 1024 1024 1024 2048 1024 1024 2048 單引腳傳1 2.4 3.6 6.4 9.2/2.4 3.6 9.8/3.2/3.6 9.2/行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 40 of 76 輸 速 率(Gbps)最高帶寬(GB/s)128 370 460.8 819.2 1150 1500 307.2 460 1280 1500 410 1200
104、 1500(預計)量產時間 2014 2018H2 2020.7 2022.6 2024.3 2025.Q4 2018 2020H1 2024H2 2026 2021 2024H2 2026 數據來源:公司公告,國泰君安證券研究 表表13:三大頭部廠商三大頭部廠商 HBM 規劃總結規劃總結 Brand Speed(Gbps)Tech Nodes 2022 2023 2024 2025 2026 1Q22 2Q22 3Q22 4Q22 1Q23 2Q23 3Q23 4Q23 1Q24 2Q24 3Q24 4Q24 1Q25 2Q25 3Q25 4Q25 1Q26 2Q26 3Q26 4Q26 H
105、BM2E SK hynix 3.6 1Y 16Gb 8/16GB Samsung 3.6 1Y 16Gb 8/16GB Micron 3.2-3.6 1Z 16Gb 16GB HBM3 SK hynix 5.6-6 1Z 16Gb 16GB 24GB Samsung 6.4 1Z 16Gb 16GB 24GB HBM3E SK hynix 9.2 1beta 24Gb 24GB 36GB Samsung 9.8 1alpha 24Gb 24GB 36GB Micron 9.2 1beta 24Gb 24GB 36GB HBM4 SK hynix Samsung Micron 數據來源:Tren
106、dForce,公司公告,國泰君安證券研究 3.2.2.小體積、高傳輸,小體積、高傳輸,HBM 封裝核心在晶圓堆疊封裝核心在晶圓堆疊 HBM 核心工藝包含成孔、晶圓支撐(減?。?、微凸塊制備及芯片堆疊,尤核心工藝包含成孔、晶圓支撐(減?。?、微凸塊制備及芯片堆疊,尤其晶圓堆疊決定其晶圓堆疊決定 HBM 公司核心競爭力。公司核心競爭力。HBM 的制備流程主要包括:TSV通孔刻蝕(和常規 TSV 刻蝕類似,包含硅刻蝕、沉銅、CMP 露銅等)、Bumping、晶圓減?。ㄍㄟ^臨時鍵合形成支撐,減薄背面露銅、背面形成 bump)、解鍵合及 wafer 堆疊和后道封裝。其中,TSV 成孔、晶圓支撐(減?。?、微凸
107、塊制備及芯片堆疊為核心工藝。而如何堆疊芯片成為 HBM 公司最核心的考量因素。圖圖73:HBM 核心工藝流程核心工藝流程 數據來源:海力士官網,國泰君安證券研究 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 41 of 76 圖圖74:HBM 四大核心工藝示意圖四大核心工藝示意圖 數據來源:海力士官網,公司公告,國泰君安證券研究 TSV 通孔難度提升,刻蝕機及電鍍液為核心考量。通孔難度提升,刻蝕機及電鍍液為核心考量。和傳統的內存和處理器直接基于 PCB 互聯不一樣,HBM 是將 DRAM 芯片用 TSV 方法在 3D 方向上實現連接。此外,HBM
108、芯片通過硅中介層,即 interposer 與處理器互聯,實現近存計算,再通過封裝基板與 PCB 連接。根據三星,該工藝相較傳統POP 封裝,即 package on package 節省了 35%的封裝尺寸,降低了 50%的功耗,并帶來 8 倍的帶寬提升。以 HBM3 為例,TSV 通孔數大于 5.6k,而芯片面積僅為 1111mm2。TSV 的尺寸多為 10m100m 和 30m200m,開口率介于 0.1%1%。而在 HBM 中,隨著芯片厚度從一般中介層厚度 300400m 縮小到 4050m,按深寬比 20:1 計算,開孔孔徑將縮小到22.5m,刻蝕及鍍銅難度提升顯著。從 3D 堆疊成
109、本看,4 顆 HBM 堆疊在 1 顆邏輯芯片上,99.5%良率下,TSV 工藝成本占比高達 30%。而對 TSV成本結構細拆,通孔刻蝕占比高達 44%,填充占比 25%,其次為減薄,占比 24%。設備端,TSV 深孔刻蝕機設備公司有望受益,如北方華創、中微公司等。材料端,TSV 工藝核心材料為電鍍液,電鍍液決定了是否能夠在深寬比大于 10:1 的深孔內完成無缺陷填充,且填充良率99%。電鍍液成分負載,配方為核心,主要包括硫酸、銅離子、氯離子、加速劑、抑制劑和整平劑 6 種組分。理想的填充過程是自下而上的沉積過程,這就需要鍍銅溶液中抑制劑、加速劑等不同添加劑的合理配比,來達到“孔內加速、孔口抑制
110、”的效果,從而實現低電阻率、無空洞和高可靠性的硅通孔結構。電鍍液推薦安集科技等。行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 42 of 76 圖圖75:3D HBM 封裝中芯片封裝中芯片 BOM 拆分拆分 圖圖76:TSV 工藝各環節成本拆分工藝各環節成本拆分 數據來源:Cost Breakdown of 2.5D and 3D Packaging,國泰君安證券研究 數據來源:IME,國泰君安證券研究 晶圓減薄主要通過臨時鍵合晶圓減薄主要通過臨時鍵合/解鍵合和晶圓減薄共同完成。解鍵合和晶圓減薄共同完成。隨著 HBM 快速迭代,對晶圓減薄需求愈高。
111、例如,三星 3D 熱壓鍵合封裝方案中單片 wafer厚度僅 40m。而進一步升級至 HBM4,可能采用混合鍵合方案時,單片wafer 厚度進一步減少為 10m。隨著厚度減小,芯片需要通過臨時鍵合至載片上,進行下一步減薄步驟,減薄完成后,再解鍵合釋放。過程中,如何排除鍵合層中心及邊緣的氣泡(可能導致分層及污染)和如何抗翹曲(翹曲過大會影響減薄過程中的平坦化和芯片碎裂)尤為重要,工藝也需與臨時鍵合膠的選擇相匹配。此外,對晶圓減薄設備要求進一步提升。全球減薄機廠商主要包括日本 Disco、東京精密(TOKYO SEIMITSU)、G&N 等,CR3 約為 85%,其中 DISCO 份額最高,達到 2
112、/3。根據 DISCO 對 HBM 芯片減薄方案,在切割前,需對晶圓邊緣進行切割,以防止在薄片化過程中可能產生的邊緣崩壞。當前,中國大陸對日本 DICSO 的 DPG-8761 機型應用廣泛,可穩定地進行厚度在 25m 以下的減薄加工。圖圖77:臨時鍵合及減薄工藝流程臨時鍵合及減薄工藝流程 數據來源:Temporary Bonding and Debonding in Advanced Packaging:Recent Progress and Applications 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 43 of 76 圖圖78:DI
113、SCO 針對針對 HBM 的切割方案的切割方案 數據來源:Disco Tech Briefing 2023 如何實現極薄尺寸、極小間距下如何實現極薄尺寸、極小間距下 wafer 的堆疊與連接是的堆疊與連接是 HBM 公司核心競公司核心競爭力。爭力。當前,bump pitch 正朝著 20m 甚至 10m 方向演進,bump 密度從1000 bump/mm2向 10000 bump/mm2演變?;诖?,傳統回流焊不再適用,TCB 熱壓鍵合走入人們視野。比如美光、三星、海力士 HBM2 均用此方法。預先沉積一層非導電膜 NCF 控制翹曲,再進行熱壓鍵合,一次僅鍵合一片芯片,即,先真空吸附芯片,對位
114、,其上的熱壓頭升溫使焊料熔化,熱壓頭保持最高溫幾秒,等兩側焊料完全融合,熱壓頭會快速冷卻,無需 280 度高溫的回流焊,因此避免了翹曲發生率。三星最新 HBM3E 也沿用此方法,為實現 12 層堆疊,三星把 NCF 材料進一步減薄,chip 間距壓縮到業內最低的 7 微米。比上一代 HBM3 8H 產品垂直堆疊密度提升 20%,AI 訓練速度提升 34%。并且為了緩解翹曲問題,三星在芯片連接時采用了不同大小的bump。小 bump 用于信號傳輸需要,大 bump 則放置在需要散熱的部分。該方法同樣利于提升產率。而海力士則采用不同的方法,從 HBM3 開始,海力士采用 MR-MUF(批量回流模制
115、底部填充)工藝。海力士通過改善焊接工藝,并將液態環氧塑封料一次性注入堆疊好的芯片間,實現低壓填充并粘結。這樣做有 2 個好處:1)一片 HBM 僅需一次性回流焊,而熱壓鍵合需要每一個芯片都鍵合一次,所以能夠大幅提升量產效率;2)液態環氧塑封料的加入,能夠提升熱傳導效率,HBM3E 熱傳導速率是 HBM2 的 2 倍。海力士當前最先進的 HBM3E 因為要達到 12 層堆疊,要求:1)每個 wafer 減薄 40%,wafer 間距減少 13%,這就使得對控制翹曲的要求更高。海力士做了 3 件事:1)用新的工藝阻止芯片翹曲。2)在堆疊過程中,通過暫時施加熱度使 bump 均勻連接;3)在真空和
116、70 噸壓力下采用新的 EMC 材料填充,從而實現 12 層堆疊。行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 44 of 76 下一代下一代 HBM 堆疊堆疊16 層,可能采取層,可能采取 HCB 即混合鍵合的方式。即混合鍵合的方式。如果 JEDEC標準下,HBM4 封裝厚度需做到 720m 以下,三星 X-CUBE HCB 方案或者海力士 HBM4 則需要采用混合鍵合工藝。該方式從本質上對原有封裝方式完全顛覆,無需 bump,在高度潔凈平坦表面進行直接 Cu-Cu 鍵合。舉例而言,X-Cube(TCB)采用了 25m 的微凸塊間距和 40m 的
117、硅片厚度,而 X-Cube(HCB)則只有 4m 的微凸塊間距和更薄的 10m 硅片厚,帶寬提高40150 倍,功耗效率也能提升 30%。海力士 HBM4 預計 2025 年年底量產,三星 HBM4 預計 2025 年推出,美光 HBM4 預計 2025 推出??偨Y而言,海力士 MR-MUF 方法吞吐量更大,約 8000 dies bonded/小時,一次鍵合,良率改進快,售價便宜,僅 100 萬美元/臺,良率幾乎達到 100%。TCB 法更穩健,單次 bonding 時間短(幾秒 vs 幾分鐘),翹曲風險低,但吞吐量較低,僅為 1500 dies bonded/小時,售價在 200300 萬
118、美元/臺,但無需其他附加設備?;旌湘I合設備精度最高,可以實現極低間距、極高密度的堆疊,售價與產能與熱壓鍵合一致,但需進行額外 CMP、等離子切割、PECVD、等離子活化等工序。設備端,推薦熱壓鍵合設備及混合鍵合設備,拓荊科技、華卓精科(擬上市)、華封科技(未上市)有望受益。材料端,如果采用海力士 MR-MUF 工藝,模塑底部環氧塑封料為核心材料,當前海力士采用松下 CV8581MU 系列餅狀,該產品能夠在極低縫隙下實現均勻填充,且翹曲控制優異。國內受益標的如華海誠科、聯瑞新材。圖圖79:海力士海力士 HBM 工藝路線演進工藝路線演進 數據來源:海力士公告 行業公司更新行業公司更新 請務必閱讀正
119、文之后的免責條款部分請務必閱讀正文之后的免責條款部分 45 of 76 圖圖80:海力士海力士 TC-NCF 工藝和工藝和 MR-MUF 工藝對比工藝對比 數據來源:海力士公告 圖圖81:海力士三代海力士三代 HBM 芯片參數對比芯片參數對比 圖圖82:混合鍵合結構示意圖混合鍵合結構示意圖 數據來源:海力士公告 數據來源:海力士公告 圖圖83:三星三星 HBM 工藝路線演進工藝路線演進 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 46 of 76 數據來源:Semi analysis 表表14:不同鍵合設備對比不同鍵合設備對比 鍵合設備名稱 單次
120、鍵合耗時(小時/次)平均價格(萬美元)鍵合效率 外部設備/環境需求 供應商 TCB(Flux and Fluxless)1500 200(Flux)/300(Fluxless)近似 100%Limited ASMPT,KILIC,Hanmi,Semi,Toray,BESI Hybrid Bonding 1500 200 99.90%CMC,等 離 子 切割,PCVD,等離子活化 BESI,EV Group Flip Chip(高精度 FC)8000 100 近似 100%回流焊爐 Shibaura,BESI,KLIC,ASMPT 數據來源:TD Cowen,國泰君安證券研究 3.2.3.HBM
121、 對測試提出更嚴苛要求對測試提出更嚴苛要求 HBM 新增新增 KGSD(Known Good Stacked Die)測試,帶來測試廠商新機會。)測試,帶來測試廠商新機會。與常規 DRAM 芯片不同,HBM 新增 KGSD 設計,最終產品以 KGSD 形式提供,因此對 HBM 的測試技術提出了重要挑戰。常規 DRAM 芯片包括晶圓級測試和封裝級測試。晶圓級測試包括晶圓老化(WLBI)、高低溫測試和存儲修復等,通過測試的晶圓方可進行封裝。封裝級測試主要包括高低溫條件下的功能、電性能、電參數以及老化應力測試等。HBM 測試則包括晶圓級擦拭和 KGSD 測試。晶圓級測試針對 DRAM 芯片和邏輯芯片
122、,邏輯晶圓需增加邏輯測試。測試合格的 HBM 晶圓進行切片和多層堆疊處理,形成KGSD 產品,再進行 KGSD 測試。與常規 DRAM 測試相比,HBM KGSD 裸片測試的挑戰主要由于 KGSD 非常敏感,而 I/O bump 數量、TSV 數量巨大,直徑、間距很小,如何提升測試的可靠性和準確性尤為重要,核心步驟包括邏輯芯片測試、動態向量老化應力測試、TSV 測試、高速性能測試、PHY I/O 測試以及 2.5D SIP 測試。此外,由于直接用探針測試微凸點可能對其造成破壞,DRAM 的微凸點間會增加鋁墊作為測試觸點,這就要求測試廠同 HBM 客戶間加強協作,探針測試臺價格也更高。推薦賽騰股
123、份、華峰測控、偉測科技等。行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 47 of 76 圖圖84:DRAM 和和 HBM 測試流程對比測試流程對比 數據來源:高帶寬存儲器的技術演進和測試挑戰 4.設備機會梳理:先進封裝賣鏟人,國產破局正當時設備機會梳理:先進封裝賣鏟人,國產破局正當時 先進封裝將帶動封裝設備價值量提升。先進封裝將帶動封裝設備價值量提升。根據 SEMI,2023 年全球封裝設備規模為 41 億美元,占半導體設備市場約 4%。由于周期下行,2023 年設備市場有所收縮,隨著 AI 等高性能計算、5G 通信、先進封裝等需求拉動,預計20
124、24 年封裝市場將恢復增長,2025 年預計提升至近 60 億美元,2023-2025CAGR 達 20.5%。從細分市場看,傳統封裝設備價值量占比較為均衡,主要來自于貼片機、劃片機、引線鍵合、塑封/切筋設備。隨著先進封裝要求提升,更多前道設備及其他高端設備將進入封裝賽道,預計將拉動封裝設備價值量顯著提升,且設備結構將發生變化,如 2022 年鍵合設備價值量占比提升 5%。圖圖85:2023 年全球封裝設備占比年全球封裝設備占比 4%圖圖86:2024 年封裝設備預計恢復增長(億美元)年封裝設備預計恢復增長(億美元)數據來源:SEMI,國泰君安證券研究 數據來源:SEMI,國泰君安證券研究 前
125、道設備,90%測試設備,6%封裝設備,4%行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 48 of 76 圖圖87:2020 年年傳統封裝設備價值量均衡傳統封裝設備價值量均衡 圖圖88:2022 年鍵合設備價值量提升年鍵合設備價值量提升 數據來源:TechInsights,國泰君安證券研究 數據來源:TechInsights,國泰君安證券研究 圖圖89:傳統封裝基本流程及相應先進封裝變化傳統封裝基本流程及相應先進封裝變化 數據來源:各公司公告,國泰君安證券研究 從全球市場看,當前先進封裝主要由從全球市場看,當前先進封裝主要由 AI 云側需求帶動,核
126、心聚焦云側需求帶動,核心聚焦 COWOS及及 COWOS 產業鏈帶來的投資機會。產業鏈帶來的投資機會。根據產業鏈調研,一條 COWOS 產線(100 萬顆)總投資約 15 億元,核心設備投資在 1012 億元。其中,CMP+研磨切割設備占比最高,達 21%,固晶機占比第二,達 13%,其次臨時鍵合/解鍵合、FT 測試機、電鍍銅設備、光刻機排名前列。從工藝路線角度,COWOS 帶來設備的主要變動包括:1)研磨切割+CMP 減薄設備:COWOS封裝對晶圓減薄技術要求明顯提升,一般芯片厚度需要從晶圓 720m 減薄到 250300m,而 HBM 芯片厚度可能僅有 4050m,對精度的控制也進一步提升
127、。此外,除了 GPU 搭載 HBM 顆數帶來的減薄增量外,大芯片減薄、TSV 露銅、環氧塑封料也為研磨拋光帶來新增量。2)固晶機:先進封裝對固晶機的穩定性、加工精度提出更高要求,比如,傳統封裝最高精度在10-25m,而先進封裝則需要控制在 5m 以下。在效率上,傳統封裝 UPH值在 10k 以下,而先進封裝則可能提升到 20-30k。單臺價值量也從幾十萬提升至 500 萬以上。從 COWOS 流程上看,HBM 堆疊、芯片埋入、interposer放置、晶圓級塑封均需采用固晶機。3)此外,當前較成熟的 HBM 工藝所用熱壓鍵合設備,價值量略高于固晶機,可替代其直接進行堆疊及熱壓,在OW 端具備增
128、長潛力。檢測、切割與其他,34%引線鍵合,22%固晶,27%塑封,16%電鍍,1%檢測、切割與其他,35%引線鍵合,22%固晶,23%其他鍵合,5%塑封,14%電鍍,1%行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 49 of 76 圖圖90:COWOS 產線設備價值量拆分產線設備價值量拆分 數據來源:國泰君安證券研究測算 圖圖91:COWOS 封裝核心標的一覽封裝核心標的一覽 數據來源:各公司公告,國泰君安證券研究 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 50 of 76 圖圖92:COWOS
129、需用到研磨切割流程一覽需用到研磨切割流程一覽 數據來源:DISCO 2023 技術說明會,國泰君安證券研究 圖圖93:先進封裝對固晶機提出更高要求先進封裝對固晶機提出更高要求 數據來源:灼識咨詢,國泰君安證券研究 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 51 of 76 圖圖94:2.5D/3D 封裝工藝中需要用到固晶機示意圖封裝工藝中需要用到固晶機示意圖 數據來源:Besi 官網 4.1.DISCO 回顧:“切磨拋”護城河高筑,進軍先進封裝打開市回顧:“切磨拋”護城河高筑,進軍先進封裝打開市場空間場空間 劃片減薄設備龍頭,市占率達劃片減薄設
130、備龍頭,市占率達 73-78%。DISCO 起家于超薄樹脂刀片和樹脂砂輪的生產,1956 年成功研制并量產出日本的第一批用于切割鋼筆筆尖的超薄樹脂砂輪,至此業務迅速發展。1970 年,DISCO 發布了首臺 DAS/DAD劃片機,從工具進軍設備領域,并逐步發展成“Kiru(切)、Kezuru(磨)、Migaku(拋)”三大核心技術。至今,憑借技術端的精益求精和客戶至上的定制化服務,DISCO 已確立了在劃片與減薄設備的領導地位。2022 年,DISCO 在劃片及研磨機全球市占率達到 73-78%。2022 年,劃片機市場規模約 17 億美元,預計到 2030 年有望達到 25.2 億美元。20
131、22 年,全球研磨機市場規模約 8 億美元,2029 年有望達到 13 億美元。我們以 HHI 指數(赫芬達爾-赫希曼指數)來衡量各半導體設備行業集中度,劃片減薄設備是除了光刻機、涂膠顯影機以外第三大集中度的設備行業,DISCO 有望在市場需求擴張中持續受益。圖圖95:2022 年全球劃片機市場規模約年全球劃片機市場規模約 17 億美元億美元 圖圖96:2022 年研磨機全球市場規模約年研磨機全球市場規模約 8 億美元億美元 數據來源:共研產業咨詢,pragma market research,國泰君安證券研究 數據來源:YH Research,國泰君安證券研究 行業公司更新行業公司更新 請務
132、必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 52 of 76 圖圖97:2022 年年 DISCO 在劃片在劃片&研磨設備市場市占率達研磨設備市場市占率達73-78%圖圖98:劃片機劃片機&減薄機壟斷程度極高減薄機壟斷程度極高 數據來源:彭博,國泰君安證券研究 數據來源:彭博 技術先發技術先發+卓越投資回報構筑強大護城河。卓越投資回報構筑強大護城河。2023 財年,DISCO 實現營業收入 3075 億日元,創歷史新高,毛利率達到 67.8%,同比增加近 3 個百分點,同樣創歷史新高,凈利率高達 27%。其中,64%的收入來源于設備收入,劃片機占比 32%,研磨機占比 28%
133、。此外,DISCO 體現出極其優異的盈利能力,回看其過去 15 年歷史,ROE 顯著上升,刨除行業低谷期,基本能保持15%以上 ROE,2023 財年達到 22%,體現出公司強大的護城河及高質量增長模式。從產品看,DISCO 產品覆蓋硅片制備、前道晶圓制備、后道封裝測試等多個環節,DISCO 工藝不斷迭代,陸續開發出獨創“TAIKO”工藝(保留晶圓外圍邊緣,減少晶圓翹曲及崩裂)、DBG 工藝(半切割+保護膜+背面減薄,減少晶片背面崩裂)、KABRA 工藝(針對碳化硅激光切割),在切、磨、拋解決方案上先發制人。圖圖99:DISCO 2006 至今收入穩步上升至今收入穩步上升 圖圖100:DISC
134、O 2006 至今毛利率及凈利率提升顯著至今毛利率及凈利率提升顯著 數據來源:DISCO,國泰君安證券研究 數據來源:DISCO,國泰君安證券研究 DISCO,73-78%東京精密,13-14%其他-60%-40%-20%0%20%40%60%80%0500100015002000250030003500FY2006FY2007FY2008FY2009FY2010FY2011FY2012FY2013FY2014FY2015FY2016FY2017FY2018FY2019FY2020FY2021FY2022FY2023營業總收入(億日元)YOY0%10%20%30%40%50%60%70%80%
135、FY2006FY2007FY2008FY2009FY2010FY2011FY2012FY2013FY2014FY2015FY2016FY2017FY2018FY2019FY2020FY2021FY2022FY2023毛利率凈利率 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 53 of 76 圖圖101:2023 年年 DISCO 業務構成業務構成 圖圖102:DISCO ROE 呈現顯著上升趨勢呈現顯著上升趨勢 數據來源:DISCO 公告,國泰君安證券研究 數據來源:彭博,國泰君安證券研究 圖圖103:DISCO 產品被廣泛應用于半導體芯片制造工
136、序產品被廣泛應用于半導體芯片制造工序 數據來源:DISCO 官網 精密加工工具22%其他14%劃片機32%減薄機28%附屬設備3%精密加工設備64%精密加工工具其他劃片機減薄機附屬設備0%5%10%15%20%25%30%行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 54 of 76 圖圖104:DISCO 核心工藝包含核心工藝包含 TAIKO、DBG、KABRA 等等 數據來源:DISCO 官網 從后道走向中道,率先受益于先進封裝。從后道走向中道,率先受益于先進封裝。受到 AI 需求拉動,HBM 堆疊也呈現出層數逐步增多而芯片厚度逐步減薄的趨勢,
137、對高質量的研磨提出新的需求。DISCO 的 DGP8761 提供了研削磨拋一體的解決方案,采用 3 軸結構,適用于高速研削加工,有助于縮短薄型晶圓的加工時間??蓽p薄至 150m,再進行 CMP 拋光,精度可達 25m,而前一代 DFG8560 研磨設備精度在 100m。公司研磨設備正從后道走向前道,市場空間被進一步打開。除了 HBM 外,COWOS 對研磨設備需求也進一步上升,未來三年,DISCO有望跟隨臺積電 CoWoS 的擴產維持 60-65%的高毛利率。圖圖105:DGP8761 300mm 研削拋光機研削拋光機 圖圖106:DFG8560 300mm 研削機研削機 數據來源:DISCO
138、 官網,國泰君安證券研究 數據來源:DISCO 官網,國泰君安證券研究 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 55 of 76 圖圖107:DISCO 方案對方案對 2.5D 封裝及封裝及 HBM 封裝封裝 數據來源:DISCO 2023 技術說明會,國泰君安證券研究 4.2.BESI 回顧:固晶機龍頭,混合鍵合勢如破竹回顧:固晶機龍頭,混合鍵合勢如破竹 BESI 固晶機份額全球第一,先進封裝固晶機市占率高達固晶機份額全球第一,先進封裝固晶機市占率高達 93%。根據TechInsights,2023 年全球固晶機市場約 9.6 億美元,預計
139、到 2026 年,達到19.4 億美元,3 年 CAGR 達 26.4%。2023 年,BESI 76.8%收入來源于固晶機,共占據 50%固晶機市場份額,在 3.2 億先進固晶機(貼片精度7m)市場中,BESI 占比高達 93%,占據絕對領導地位,證明其在固晶精度和吞吐量上的強大軟硬件實力。核心客戶主要包括安靠、日月光、甬矽電子、富士康、華天科技、英飛凌、中際旭創、英特爾、LG Innotek、美光科技、英偉達、恩智浦、意法半導體、通富微電、德州儀器、臺積電等頭部封裝廠、晶圓代工廠、IDM 廠等。圖圖108:2026 年固晶機市場有望達到年固晶機市場有望達到 19.4 億美元億美元 圖圖10
140、9:BESI 2023 年固晶機占比達年固晶機占比達 76.8%數據來源:TechInsights,國泰君安證券研究 數據來源:BESI,國泰君安證券研究 0%5%10%15%20%25%30%35%050010001500200025002023E2024E2025E2026E固晶機YOY0%20%40%60%80%100%201820192020202120222023Die AttachPackagingPlating 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 56 of 76 圖圖110:2023 年年 BESI 在固晶機市場在固晶機市
141、場市占率達市占率達 50%圖圖111:2023 年年 BESI 在先進固晶機占比達在先進固晶機占比達 93%數據來源:TechInsights,國泰君安證券研究 數據來源:TechInsights,國泰君安證券研究 Besi 穿越牛熊,實現收入及利潤雙增長。穿越牛熊,實現收入及利潤雙增長。2006 年至今,BESI 體現出極強的韌性及活力,盡管收入及利潤隨周期波動,但每輪均值均大幅提升,2023 年收入達 5.79 億歐元,盡管處于下行周期,2006-2023 年 CAGR 仍達到 5.8%。BESI 能成功穿越牛熊,且利潤水平穩步提升,主要來源于兩大重要戰略:1)產品定位高端化。BESI 始
142、終追求產品研發,生產高端產品,且希望提供客戶較低購買成本的同時,實現產品的精準度、可靠性及吞吐量。2023 年,Besi 收入的 70%來源于先進封裝,其中,50%來源于最先進的放置精度在 7m 以下的封裝設備,這也賦予了 BESI 65%的高毛利率。2)嚴格控制費用。自 2007 年起,BESI 決定徹底重整國際運營及管理團隊,精簡人員,減少單位制造成本,將歐洲生產總部幾乎完全搬到亞洲,銷售費用率從 2007年 65.5%大幅下降到 2023 年 35.1%,管理費用率從 2007 年 25.1%大幅下降到2023年18.3%。而2023年,亞洲產值達到73.1%,人數占比也達到66.5%。
143、發展亞洲靈活的供應鏈是 BESI 在動蕩的半導體設備市場和低資本密集度中盈利的重要因素。圖圖112:BESI 2006 至今收入隨周期波動但呈上行趨勢至今收入隨周期波動但呈上行趨勢 圖圖113:BESI 2006 至今毛利率及凈利率提升顯著至今毛利率及凈利率提升顯著 數據來源:BESI,國泰君安證券研究 數據來源:BESI,國泰君安證券研究 BESI,50%ASMPT,24%Other,26%9.6億美元BESI,93%Other,7%3.2億美元-40%-20%0%20%40%60%80%2006200720082009201020112012201320142015201620172018
144、20192020202120222023毛利率凈利率 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 57 of 76 圖圖114:BESI 2006 至今管理費用率大幅下降至今管理費用率大幅下降 圖圖115:BESI 2010 至今亞洲至今亞洲直接出貨量占比直接出貨量占比及人頭逐及人頭逐步提升步提升 數據來源:BESI,國泰君安證券研究 數據來源:BESI,國泰君安證券研究 以先進封裝做基石,以混合鍵合拔增速。以先進封裝做基石,以混合鍵合拔增速。BESI 產品結構中,2023 年固晶機占比 76.8%,其中,58%為 7m 以下精度的先進固晶機,1
145、6%為混合鍵合設備。BESI 未來業務增長主要來源于兩方面:1)BESI 核心業務的增長,主要來源于移動通訊(端側/AI 手機、相機及 3D 成像、AR/VR、5G 通訊等,提供硅光共封、chiplet 異構集成、光波導組件等解決方案)、計算(生成式 AI 引擎、超級計算機、數據中心等,提供 2.5D/3D 異構集成、HBM 堆疊、硅光共封等)、汽車等領域帶來的對封裝業務的拉動。根據 TechInsights預測,2024 年封裝設備市場將觸底反彈,2024-2026 年 CAGR 達到 16.3%。BESI所在固晶、封裝、電鍍市場占總封裝市場36%,且綜合市占率達到42%,根據行業增速,BE
146、SI 未來三年核心業務增速有望達到 23.5%。2)混合鍵合業務的巨大想象空間。BESI 憑借其在機械工具及軟件配合上的積累,在精準度和放置速度上的絕對優勢,當前幾乎是 D2W 混合鍵合的唯一玩家。和競爭對手 ASMPT、Shibaura 等相比,BESI 的 D2W 設備已率先被下游認證達標。2023 年,Besi 混合鍵合系統安裝數量提升到 40 套,客戶從 3 家提升至 9 家,包括北美、歐洲、中國臺灣和韓國客戶,主要用于邏輯和存儲。此外,BESI 和應材的深度綁定也讓其混合鍵合方案具備高客戶黏性。由于混合鍵合對于超高平整度及潔凈界面、TSV 刻蝕以及 3D 連接的要求,而應材的 CMP
147、、刻蝕、沉積/金屬化解決了混合鍵合的前置核心條件,BESI+應材組合拳將幫助其快速攻城略地。根據 BESI 測算,在中性假設下,2027 年混合鍵合設備需求有望達到 340 臺,以 200 萬歐元/臺計算,混合鍵合帶來的收入有望達到 6 億歐元,成為未來核心支撐。此外,BESI 首臺 C2W TCB設備也于 2023 年出貨,可能提升其在 TCB 領域的滲透率。行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 58 of 76 圖圖116:2023 年年 BESI 業務構成業務構成 數據來源:BESI,國泰君安證券研究 圖圖117:手機、計算、汽車為手
148、機、計算、汽車為 BESI 主要收入來源主要收入來源 圖圖118:全球封裝市場將于全球封裝市場將于 2024 年迎來反彈年迎來反彈 數據來源:BESI,國泰君安證券研究 數據來源:TechInsights,國泰君安證券研究 圖圖119:BESI 細分市場有望迎來高增速細分市場有望迎來高增速 圖圖120:2023 年年 BESI 在其核心業務市場市占率為在其核心業務市場市占率為 42%數據來源:TechInsights,國泰君安證券研究 注:BESI 在其核心固晶、封裝、電鍍市場的市占率 數據來源:TechInsights,國泰君安證券研究 封裝機17%電鍍機6%高精度固晶機58%混合鍵合16%
149、一般固晶機26%固晶機,77%封裝機電鍍機高精度固晶機混合鍵合一般固晶機-40%-20%0%20%40%60%80%010203040506070802019 2020 2021 2022 2023E2024E2025E2026E市場規模(億美元)BESI,42%Other,58%15億美元 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 59 of 76 圖圖121:BESI 8800 Chameo 系列混合鍵合設備系列混合鍵合設備 數據來源:BESI 官網 圖圖122:BESI 和和 AMAT 在混合鍵合合作方案在混合鍵合合作方案 數據來源:AM
150、AT 公告 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 60 of 76 圖圖123:BESI 混合鍵合盈利預測混合鍵合盈利預測 數據來源:BESI 公告,國泰君安證券測算 4.3.HANMI:深度綁定海力士,熱壓鍵合增長迅速:深度綁定海力士,熱壓鍵合增長迅速 受益于熱壓鍵合起量,受益于熱壓鍵合起量,HANMI 盈利有望快速增長。盈利有望快速增長。Hanmi 成立于 1980 年,起家于生產載體模具與封裝注塑等塑封設備。公司主要產品包括熱壓鍵合機、倒裝機、電磁屏蔽設備及切割設備,其中,電磁屏蔽設備占全球 90%市場份額。目前 HANMI 已獲得客
151、戶包括 ASE、Amkor、英飛凌、ST Micro、SPIL、PTI 等全球半導體公司,以及中國公司長電科技、華天科技、立訊精密等,韓國公司 SK 海力士、三星電子等。2017 年,公司與 SK 海力士共同研發了 HBM 封裝及 2.5D 封裝的 Dual TC Bonder。通過和海力士的深度綁定,公司近年來盈利迎來增速期。2023 年,公司凈利潤達 2672 億韓元,增速達到 189.58%。2023 年 HANMI 首次出貨 Dual TC Bonder 超級型號 GRIFFIN 和高級型號 DRAGON,均為基于 TSV 芯片堆疊的雙機臺鍵合設備,以提高 HBM3E 和 HBM3 垂
152、直堆疊的生產率和精度。此外,公司還推出了適用于混合鍵合的設備,以助力把握未來 HBM4 的市場份額。自 2023年下半年以來,HANMI以超過 1.5 億美元的價格從 SK海力士獲得了DUAL TC BONDER GRIFFIN 設備的訂單(用于建立海力士清州工廠的新 HBM 產線,預計將 HBM 產能提升 2 倍)。2024 年,HANMI 與美光科技簽訂了 1600萬美元的“DUAL TC BONDER TIGER”的訂單。此外,公司還于 2023 年推出了適用于臺積電 CoWoS 的 2.5D 封裝鍵合設備 TC Bonder 2.0CW,用于將 GPU 與 HBM 連接到硅中介層上。根
153、據公司預測,2024 年,公司年收入有望提升至 5500 億韓元,并于 2025 年實現 1 萬億韓元的目標。0%20%40%60%80%100%120%010020030040050060020232024E2025E2026E2027E混合鍵合營收(百萬歐元)滲透率 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 61 of 76 圖圖124:2023 年年 HANMI 毛利率維持毛利率維持 50%高位高位 圖圖125:2023 年年 HANMI 凈利潤創歷史新高凈利潤創歷史新高 數據來源:HANMI 年報,國泰君安證券研究 數據來源:HANMI
154、 年報,國泰君安證券研究 圖圖126:DUAL TC BONDER 系列產品系列產品 數據來源:HANMI 官網 4.4.國內廠家搶占先進封裝賽道,國產替代有望突破國內廠家搶占先進封裝賽道,國產替代有望突破 關注切割研磨設備、固晶機、鍵合設備廠商的邊際增量機會。關注切割研磨設備、固晶機、鍵合設備廠商的邊際增量機會。研磨減薄設備方面,光力科技在切割劃片設備全球市占率排名前三,已開始布局減薄機及減薄-研磨一體機,其中,減薄機 3230 使用公司自主研發的高剛度高功率氣浮主軸和氣浮轉臺,在保證加工精度的前提下,具有靈活的工藝適配能力和較高的加工效率,目前已進入驗證階段;華海清科作為國內 CMP 龍頭
155、,全國市占率 44%,基于自身對 CMP 設備領域的深耕和技術積累,開發出用于 3D IC 背面減薄的 Versatile-GP300 減薄拋光一體機,可以滿足 3D IC 對超精密磨削、CMP 及清洗的一體化工藝需求,其超精密晶圓磨削系統穩定實現了 12 英寸晶圓片內磨削 TTV1m,達到了國內領先和國際先進水平,在客戶端驗證順利。晶亦精微固晶機方面,新益昌為國內 LED 固晶機龍頭,其半導體封裝固晶 HAD812 系列在客戶端導入順利,華封科技、景焱智能、艾克瑞思等率先布局先進封裝;鍵合領域,拓荊科技走在行業前列,其應用于晶圓級三維集成領域的混合鍵合設備順利通過客戶端產業化驗證,實現了首臺
156、的產業化應用。芯源微主要設備為臨時鍵合/解鍵合,已進入客戶驗證階段。此外,華卓精科的主要產品臨時鍵合設備、混合鍵合設備,走在晶圓級鍵合設備前列。表表15:國內相關設備廠商布局國內相關設備廠商布局 公司公司 公司公司進展進展 研磨切割設備研磨切割設備 0%10%20%30%40%50%60%05001000150020002500300035004000201820192020202120222023營業總收入(億韓元)毛利率050010001500200025003000201820192020202120222023凈利潤(億韓元)行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請
157、務必閱讀正文之后的免責條款部分 62 of 76 光力科技 切割劃片設備全球市占率排名前三。12 英寸全自動雙軸切割劃片機 8230 處于國際一流水平,已經進入頭部封測企業并形成批量銷售,成功實現了高端切割劃片設備的國產替代;雙主軸三工位全自動減薄機 3230 適用于6、8、12 英寸晶圓的減薄加工,也可用于碳化硅等超硬材料的加工,于 2023 年 6 月推出后,獲得了行業內廣泛關注,正在驗證階段。華海清科 公司先進封裝、大硅片領域的 CMP 設備已批量交付客戶大生產線;用于 3DIC 背面減薄的 Versatile-GP300 減薄拋光一體機在客戶端驗證順利。晶亦精微(45 所)目前國內唯一
158、實現 8 英寸 CMP 設備境外批量銷售的設備供應商;公司 12 英寸 CMP 設備已在 28nm 制程國際主流集成電路產線完成 Cu 工藝的工藝驗證,設備性能和技術指標均可滿足該客戶產線要求,已獲得多家客戶訂單;截至 2023 年底,可用于包含碳化硅、氮化鎵等第三代半導體材料的 6/8 英寸兼容 CMP 設備已向境內客戶 A 銷售 1 臺。邁為股份 公司率先實現了激光開槽、激光改質切割、刀輪切割、研磨、研拋一體設備(國內首款干拋式機臺)等半導體晶圓磨劃裝備的國產化,并聚焦半導體泛切割、2.5D/3D 先進封裝,提供封裝工藝整體解決方案;多款裝備已交付長電科技、華天科技、三安光電等客戶并實現穩
159、定量產。固晶機固晶機 新益昌 國內 LED 固晶龍頭,客戶包括國星光電、三安光電、鴻利智匯、瑞豐光電、雷曼光電等,并與國際知名廠商SAMSUNG、億光電子保持良好合作;半導體封裝固晶 HAD812 系列近年來客戶導入順利,成熟產品以 IC 框架類為主,如 DFN/QFN、SOP 系列等 華封科技 對先進封裝貼片工藝實現全面覆蓋,包括 FOWLP、POP、MCM、EMCP、StackDie、SIP、2.5D/3D、FCCSP、FCBGA 等,其固晶精度最高至 1.5 微米;客戶包括臺積電、日月光、矽品、長電科技、通富微電、DeeTee 等。景焱智能 公司已開發用于封裝工藝的固晶設備,包含出扇出型
160、固晶設備、FC 基板固晶設備和共晶的鍵合設備,已完成三代產品迭代,小批量試產經過客戶驗證,其固晶精度已達 3-5m。艾克瑞思 先進封裝領域固晶機覆蓋 FOWLP、SIP 封裝等工藝,其中 QX3000 最高精度達到 3m。鍵合設備鍵合設備 拓荊科技 應用于晶圓級三維集成領域的混合鍵合設備順利通過客戶端產業化驗證,實現了首臺的產業化應用,該設備還能兼容熔融鍵合?;旌湘I合包括 W2W(Dione300)和 D2W 晶圓表面預處理(Pollux)兩類。芯碁微裝 推出 WB8 晶圓鍵合機,能夠實現所有類型的鍵合,包括陽極鍵合、熱壓鍵合等;支持最大晶圓尺寸為 8 英寸,采用半自動化操作,可運用于先進封裝
161、、MEMS 等多種應用;采用了上下對稱的快速加熱和冷卻系統,并配備高性能施壓系統,鍵合過程中的最大壓力可達 100kN,最高溫度可達 550。芯源微 自主研發的全自動臨時鍵合及解鍵合機,主要針對 Chiplet 技術解決方案,可應用于 InFO、CoWoS、HBM 等2.5D、3D 技術路線產品,整體達到國際先進水平,均已進入客戶驗證階段。華卓精科 目前公司生產的鍵合設備包括混合鍵合、臨時鍵合、熱壓鍵合設備等,可應用于 3DIC、先進封裝等領域,為國內前沿技術產品。其中 WB 系列晶圓鍵合設備是面向晶圓級鍵合需求而開發的鍵合設備,其性能覆蓋絕大多數鍵合工藝的參數范圍,包括有機膠黏鍵合、金屬共融
162、晶鍵合、金屬擴散鍵合等。艾克瑞思 2024 年首次推出納米級高精度 C2W 混合鍵合設備 QX8800,可用于 2.5D/3D、Chiplet 封裝,最高精度達到500nm,潔凈度達 Class10,在前道晶圓制造產線已實現 95%的鍵合良率。邁為股份 2024 年首次推出全自動晶圓臨時鍵合設備和晶圓激光解鍵合設備,以及全自動混合鍵合設備,適用于 2.5D/3D、FO12inch 晶圓、CIS、3DNAND、DRAM、MicroLED 等多款產品,其中臨時鍵合設備鍵合 TTV5m,混合鍵合設備分辨率2nm。芯??萍?公司晶圓鍵合系列產品包括臨時鍵合/解鍵合、激光解鍵合、永久鍵合設備。最新自主研
163、發生產的 12 英寸臨時鍵合設備可應用于 Fan-out、2.5D、3Dinterposer 等先進封裝相關工藝鍵合,對位精度能夠達到50m,處于研發早期階段。博納半導體 目前公司晶圓鍵合設備主要包括臨時鍵合、解鍵合設備、臨時解鍵合清洗一體機三款產品。臨時解鍵合清洗一體機是國內首創的解鍵合、清洗工藝融合一起的設備。臨時鍵合設備以正式訂單形式,已交付長電科技量產線,是國內首臺正式交付量產線的臨時鍵合設備。建華高科 已成功研發 WB-1200 自動晶圓鍵合機,主要適用于 8”、12”基片的自動對準、晶圓級臨時鍵合工藝,采用全 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文
164、之后的免責條款部分 63 of 76(晶亦精微控股子公司)自動 Robot 上下基片,工藝過程連續處理,自動運行。數據來源:各公司公告,國泰君安證券研究 5.材料機會梳理:封裝材料率先國產化突破,散熱需求材料機會梳理:封裝材料率先國產化突破,散熱需求帶來新成長帶來新成長 半導體材料國產化趨勢確立,先進材料替代空間廣闊。半導體材料國產化趨勢確立,先進材料替代空間廣闊。2022 年全球半導體材料市場銷售額 727 億美元,其中中國大陸半導體材料銷售額 130 億美元,占全球市場占比 17.8%。中國大陸半導體材料從 2020 年提速顯著,19-22 年CAGR 達到 14%,主要跟隨材料鏈國產化需
165、求提升,疊加本土供應鏈服務、成本優勢,半導體材料端加速趨勢確立。半導體材料主要分為晶圓制造材料和封裝材料,晶圓制造材料包括硅片、光刻膠、濕電子化學品、前驅體、電子特氣、CMP 相關材料,占半導體材料市場規模 61%,封裝材料包括封裝基板、引線框架、鍵合絲、環氧塑封料、底填膠等,占比 39%。2022 年封裝材料市場規模為 261 億美元,預計 2027 年達 298 億美元,5 年 CAGR 達2.7%。目前國內半導體材料在中低端材料、傳統封裝領域國產化率已達到50%以上,但諸如 I/K/A 線光刻膠、功能性濕電子化學品、先進封裝材料等國產化率仍不足 20%,部分甚至小于 5%,國產替代空間廣
166、闊。圖圖127:全球半導體材料規模逐步提升(十億美元)全球半導體材料規模逐步提升(十億美元)圖圖128:中國大陸半導體材料增速顯著(十億美元)中國大陸半導體材料增速顯著(十億美元)數據來源:SEMI,國泰君安證券研究 數據來源:SEMI,國泰君安證券研究 圖圖129:全球半導體材料封裝材料占比全球半導體材料封裝材料占比 39%圖圖130:2027 年封裝材料市場規模預計達年封裝材料市場規模預計達 298 億美元億美元 數據來源:SEMI,國泰君安證券研究 數據來源:SEMI,國泰君安證券研究 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 64 of
167、 76 圖圖131:2023 年年全球制造材料拆分全球制造材料拆分 圖圖132:2023 年年全球封裝材料拆分全球封裝材料拆分 數據來源:SEMI,國泰君安證券研究 數據來源:SEMI,國泰君安證券研究 表表16:國內半導體材料國產化率國內半導體材料國產化率 制造材料制造材料 國產化率國產化率 封裝材料封裝材料 國產化率國產化率 硅片 6 英寸及以下 90%,8 英寸國產化率 55%,12 英寸國產化率 10%封裝基板 ABF 載板5%,BT 載板 光刻膠 ArF1%,KrF5%,I 線20%,G 線30%,配套試劑50%引線框架/鍵合絲 20%濕電子化學品 通用型達 50%,功能型如電鍍液1
168、0%環氧塑封料 傳統封裝達 50%,先進封裝10%前驅體 邏輯45%底填膠 10%電子特氣 40%,品種20%固晶膠 10%CMP 相關材料 CMP 拋光液15%,CMP 拋光墊10%電鍍化學品 先進70%靶材 30%光掩膜版 10%數據來源:智研咨詢,國泰君安證券研究 半導體材料國產化趨勢確立,先進材料替代空間廣闊。半導體材料國產化趨勢確立,先進材料替代空間廣闊。先進封裝端,除了由封裝基板替代引線框架的趨勢以外(可參考國君產業研究報告 先進封裝產業鏈深度報告(一)(二),COWOS 鏈材料環節變動主要在:1)前端制造材料在先進封裝側的率先國產化突破機會:包括 CMP 步驟提升帶動下的相關耗材
169、(拋光液、拋光墊等)、先進封裝需求提升的電鍍液等功能性濕電子化學品機會;2)基于高集成、高功耗、輕薄化下的散熱、應力釋放需求的新興材料機會:包括底部填充膠、TIM 熱界面材料等。行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 65 of 76 圖圖133:COWOS 封裝材料核心標的一覽封裝材料核心標的一覽 數據來源:各公司公告,國泰君安證券研究 5.1.CMP 材料隨減薄需求上量,電鍍液國產替代正當時材料隨減薄需求上量,電鍍液國產替代正當時 CMP 拋光液和拋光墊在拋光占比拋光液和拋光墊在拋光占比 80%以上,客戶壁壘高。以上,客戶壁壘高?;瘜W機械
170、拋光(CMP)是集成電路制造過程中實現晶圓表面平坦化的關鍵工藝。在工作過程中,待拋光材料被固定在拋光頭上,對拋光頭施加一定壓力使其與拋光墊充分接觸,電機旋轉,拋光液以一定流量滴加到拋光墊,在離心力作用下分布在整個拋光墊上,拋光液將拋光基底材料氧化,形成較軟的一層氧化膜層,再通過機械摩擦去除。如此反復化學-機械摩擦,達到有效拋光。從0.350.25m 技術節點開始,CMP 技術成為唯一可實現全局平坦化的 IC 關鍵技術。0.180.13m 開始,CMP 成為銅互聯必不可少工藝制程。65nm 以下,低 k 介質材料逐步取代傳統的 SiO2。而到 3020nm 時,基于鈷互聯技術的 CMP 成為又一
171、發展方向。此外,在 14nm 以下,CMP 也已發展成為FinFET、TSV 的關鍵技術。隨著工藝制程和技術節點的不斷推進,CMP 的數量也在提升,比如 14 納米以下邏輯芯片工藝要求的關鍵 CMP 工藝將達到 20 步以上,使用的拋光液將從 90 納米的五六種拋光液增加到 20 種以上,7 納米及以下邏輯芯片工藝中 CMP 拋光步驟甚至可能達到 30 步。在COWOS 封裝中,HBM 減薄、interposer 雙面研磨等多工藝步驟需要用到CMP 減薄,且 HBM 搭載顆數提升、厚度減薄均會對 CMP 提出更高要求。拋光液及拋光墊是拋光過程成本占比最大的2類材料,分別占據49%和33%。二者
172、均需與客戶維持高粘性,性能指標以客戶需求為導向,從研發起即需要與客戶的緊密合作,一旦認證通過后,新來者難以進入。拋光液配方型產品國產化趨勢已定,國內廠商全球市占率在拋光液配方型產品國產化趨勢已定,國內廠商全球市占率在 7%以上。以上。根據TECHCET,2023 年拋光液市場規模達 20 億美元,預計 2029 年有望達到 29億美元,CAGR 為 5%。目前拋光液細分產品包括銅及銅阻擋層拋光液、鎢拋光液、介電材料拋光液、硅拋光液等,市場主要被美國和日本企業所壟斷,2022 年英特格、日立化成、日本 Fujimi CR3 市占率接近 50%。安集科技率先實現 CMP 拋光液國產化突破,2023
173、 年拋光液銷售收入達到 10.7 億元,全球市占率達 7%。鼎龍股份拋光液也已實現大規模銷售。拋光墊核心壁壘在穩定性,近兩年國內廠商著力突破。拋光墊核心壁壘在穩定性,近兩年國內廠商著力突破。2023 年全球拋光墊市場規模為 9.35 億美元,預計 2029 年全球拋光墊規模將達到 14.6 億美元。拋光墊市場比拋光液更為集中,2022 年杜邦占據 60%以上市場份額。拋光墊通過拋光層澆筑成型為片材,再與基底或其他功能層粘結制成拋光墊,而澆筑是其最核心環節,對工藝控制要求高,而并不僅僅是配方。此外,拋光 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分
174、66 of 76 墊迭代速度小于拋光液,諸如普通硬質拋光墊可以用于 28nm 以上制程工藝,而制程進一步發展對拋光墊有了更高要求,諸如通過軟墊獲得表面損傷層更薄和表面粗糙度更低的拋光表面。因此,拋光墊的核心壁壘在批次穩定性、一致性,而下游廠商的更換需求弱于品類豐富的拋光液,國產化率也低于拋光液,杜邦市占率多年一直占據 80%。近兩年拋光墊國產化提速,2023年鼎龍股份拋光墊收入 8.57 億元,根據 GII,全球拋光墊市場規模為 4.2 億美元,鼎龍股份市占率約 6%,目前為國內首家也是唯一一家集成電路 CMP環節全產品綜合性方案提供商。圖圖134:CMP 工藝原理工藝原理 圖圖135:拋光液
175、和拋光墊在拋光液和拋光墊在 CMP 環節中成本占比最大環節中成本占比最大 數據來源:安集科技招股書 數據來源:SEMI,前瞻產業研究院,國泰君安證券研究 圖圖136:CMP 拋光步驟隨邏輯芯片技術進步而增加拋光步驟隨邏輯芯片技術進步而增加 圖圖137:CMP 拋光步驟隨存儲芯片技術進步而增加拋光步驟隨存儲芯片技術進步而增加 數據來源:Cabot Microelectronics,國泰君安證券研究 數據來源:Cabot Microelectronics,國泰君安證券研究 圖圖138:2023 全球拋光液市場全球拋光液市場 2000 百萬百萬美元美元 圖圖139:2022 年全球拋光液市場競爭格局
176、年全球拋光液市場競爭格局 數據來源:TECHCET,國泰君安證券研究 數據來源:QYResearch,國泰君安證券研究 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 67 of 76 圖圖140:預計預計 2029 年全球拋光墊規模將達到年全球拋光墊規模將達到 14.6 億美億美元(百萬美元)元(百萬美元)圖圖141:2022 年全球拋光墊市場競爭格局年全球拋光墊市場競爭格局 數據來源:TECHCET,GII,國泰君安證券研究 數據來源:QYResearch,GII,國泰君安證券研究 表表17:國內國內 CMP 拋光材料廠商布局拋光材料廠商布局 公
177、司公司 公司公司進展進展 安集科技 2023 年拋光液收入 10.7 億元。多款鎢拋光液在邏輯芯片成熟制程和先進制程通過驗證,實現量產;基于氧化鈰磨料的拋光液產品突破技術瓶頸,目前已在 3D NAND 先進制程中實現量產并在逐步上量,多款新產品完成論證測試并實現量產銷售;襯底拋光液產品平臺進展快速,用于三維集成的多款拋光液與國內外數十個客戶進行合作,TSV 和混合鍵合工藝用多款拋光液和清洗液作為首選供應進入客戶產線,持續上量。鼎龍股份 1、在 CMP 拋光液產品方面,公司 CMP 拋光液搭配自主研磨粒子在客戶端持續推廣、導入,逐步形成規模銷售;2、拋光硬墊方面,國內邏輯晶圓廠開拓取得階段性成果
178、,制程節點覆蓋范圍進一步擴大,相關新增型號產品取得批量訂單;拋光軟墊方面,潛江工廠多個軟墊產品已實現批量銷售,測試通過的客戶增加,產量進入爬坡階段,包括無紡布類拋光墊也在多家客戶的 Grinding 制程測試通過并取得訂單。此外,拋光墊原材料自主化持續突破,自制 CMP 拋光硬墊用微球完成中試工作,已開始產業化建設,后續將實現 CMP 拋光硬墊三大核心原材料預聚體、微球、緩沖墊的全面自產。數據來源:公司公告,國泰君安證券研究 電鍍液國產化率較低,近年逐步突破。電鍍液國產化率較低,近年逐步突破。目前電鍍液主要用于兩類:1)前道制程的大馬士革工藝,在 8 英寸及以上晶圓、130nm 以下芯片制造中
179、得到廣泛應用。在制造過程中,采用電鍍工藝填充已經刻蝕好的 Via 和 Trench,需要通過調節電鍍液及添加劑如加速劑、抑制劑、整平劑等配方,實現填充效果的平整度。2)除了傳統引線框架類電鍍產品外,先進封裝的凸塊電鍍(Pillar bump、Solder bump、Gold bump)、再分布線(RDL)、硅通孔(TSV)均需用到電鍍液及添加劑。2023 年,全球電鍍液市場規模達 10.5 億美元,其中,銅大馬士革 6.75 億美元、封裝用電鍍液 3.75 億美元。2022 年電鍍液龍頭 Umicore 和 MacDermid 市占率分別達 23%和 22%,國內公司上海新陽和艾森股份市占率分
180、別為 3%和 1%。前端制程及先進封裝用電鍍液是當前國產化率最低的功能性濕電子化學品之一,不足 5%,突破的產品主要集中在硫酸銅基液,而添加劑、錫銀電鍍液、大馬士革銅電鍍液等仍在測試認證階段。主要由于電鍍液最終要留在器件上,產品驗證周期、產品一致性要求更高,下游客戶輕易難以更換供應商。隨著對供應鏈安全、材料降本空間等多方位考慮,2020 年以后電鍍液國產化進程加速,當前包括上海新陽、艾森股份、安集科技等電鍍液產品國產化已突破。表表18:電鍍液產品成分電鍍液產品成分 產品成分產品成分 簡介簡介 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 68 of
181、 76 主鹽 含有沉積金屬的鹽類,提供電沉積金屬的離子,它以絡合離子形式或水化離子形式存在于不同的電鍍液中;主鹽的濃度越高電流效率會越高,金屬的沉積速度也會加快,同時鍍層晶粒較粗,溶液分散能力下降。導電鹽 用于增加溶液的導電能力,從而擴大允許使用的電流密度范圍。陽極活性劑 能促進陽極溶解、提高陽極電流密度的物質,從而保證陽極處于活化狀態而能正常的溶解。緩沖劑 用來調節和控制溶液酸堿度的物質。這類物質具有良好的緩沖作用,但不應過多。添加劑 能改善鍍層的性能和電鍍質量的作用,如整平劑、光亮劑、抗針孔劑等。光亮劑主要用來增加鍍層的光亮度,少去了拋光的工序。潤濕劑的作用是加強電鍍金屬和溶液間的界面張力
182、。整平劑能夠改變金屬表面的微觀平整性。應力消除劑則能降鍍層的內應力,提高鍍層的韌性。數據來源:觀研天下,國泰君安證券研究 圖圖142:2023 電鍍液及添加劑市場規模電鍍液及添加劑市場規模 10.5 億美元(百億美元(百萬美元)萬美元)圖圖143:2022 年全球電鍍液及添加劑競爭格局年全球電鍍液及添加劑競爭格局 數據來源:TECHCET,國泰君安證券研究 數據來源:QYResearch,公司官網,國泰君安證券研究 表表19:國內電鍍液廠商布局國內電鍍液廠商布局 公司公司 公司公司進展進展 艾森股份 在先進封裝領域,公司先進封裝用電鍍銅基液(高純硫酸銅)已在華天科技正式供應;先進封裝用電鍍錫銀
183、添加劑已通過長電科技的認證,尚待終端客戶認證通過;先進封裝用電鍍銅添加劑已完成測試認證,現處于批次穩定性驗證。在晶圓領域,公司大馬士革銅互連工藝鍍銅添加劑產品已進入樣品試制和產品認證階段;14nm 先進制程的超高純硫酸鈷已完成樣品生產,在客戶端測試進展順利;晶圓制造銅制程用清洗液已完成客戶測試認證,實現小批量交付。上海新陽 公司布局規劃的電鍍液及添加劑、清洗液、刻蝕液、光刻膠、研磨液等化學品材料產能不斷加強完善,其中上海松江廠區年產能 1.9 萬噸擴充目標已建設完成,合肥第二生產基地一期 1.7 萬噸已基本具備投產條件。合肥二期規劃 5.3 萬噸年產能各類手續正在辦理中。2023 年公司化學品
184、產出近 1.4 萬噸,其中晶圓制造用化學材料產品產量占比超 70%。安集科技 先進封裝用電鍍液及添加劑市場開拓進展順利,多款產品實現量產銷售,產品包括銅、鎳、鎳鐵、錫銀等電鍍液及添加劑,應用于凸點、RDL 等技術;在集成電路制造領域,銅大馬士革工藝及 TSV 電鍍液及添加劑也按預期取得進展,進入測試論證階段。數據來源:公司公告,國泰君安證券研究 5.2.先進封裝膠材大有可為,熱界面材料大勢所趨先進封裝膠材大有可為,熱界面材料大勢所趨 先進封裝膠材前景廣闊,國內企業積極布局。先進封裝膠材前景廣闊,國內企業積極布局。Underfill 底部填充膠通過填充基板與芯片間的空隙、或以凸點連接的芯片與芯片
185、之間的空隙,緩解芯片封裝中不同材料之間熱膨脹系數不匹配帶來的應力集中問題。按填充工藝與組裝工藝的先后順序不同,底部填充工藝分為后填充(Post-Filling)和預填充(Pre-applied Underfill)兩種。其中,組裝后底部填充技術主要用于傳統 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 69 of 76 回流焊中,在完成倒裝芯片互連之后進行底部填充,最常用的材料為毛細管底部填充料(Capillary Underfill,CUF)和塑封底部填充料(Molded Underfill,MUF)。CUF 工藝利用毛細管在芯片側面注入底部填充
186、材料來填充凸點間隙,之后加熱固化,再使用標準塑封化合物將器件整體密封,起到保護封裝體的作用。而 MUF 工藝則將 mold 和 underfill 二者結合,在進行塑封的同時,底部填充料進入芯片和基板間的空隙中,隨后一起固化、密封,比 CUF工藝更簡單、更快速。海力士 HBM2E 及以后的倒裝回流焊工藝即用到 MUF材料,該材料由海力士和日本松下聯合研發,其結溫比 TC-NCF 低 14,導熱性更優異。預成型底部填充技術所用材料不再為流動態,在回流焊及熱壓過程中一次成型,無需再去除助焊劑。其中,熱壓鍵合可采用 NCP 或 NCF的方式,涂覆/黏接/底部填充工藝一次成型,通過熱壓讓凸點和焊盤直接
187、接觸實現電氣互連,同時采用無孔洞底部填充技術提供了更高的可靠性。常用TCB-NCF 材料為改性環氧樹脂(丙烯醇和環氧樹脂),在 8095下具有高流動性,在該溫度下可實現無孔洞層壓。根據 Research and Markets,2023年全球底部填充膠市場規模為 3.64 億美元,預計 2030 年增加到 5.82 億美元,CAGR 6.9%。當前底部填充膠主要生產企業包括德國漢高、美國 AIM solder、日本昭和電工、日本松下、日本長瀨等企業。近年包括德邦科技、鼎龍股份、華海誠科、回天科技等公司已率先布局。圖圖144:底部填充膠示意圖底部填充膠示意圖 注:1、芯片級底部填充膠;4、板級底
188、部填充膠 數據來源:德邦科技 圖圖145:底部填充材料分類底部填充材料分類 數據來源:集成電路先進封裝材料,國泰君安證券研究 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 70 of 76 圖圖146:底部填充材料分類底部填充材料分類 數據來源:SK 海力士,國泰君安證券研究 圖圖147:海力士海力士 MR-MUF 比比 TC-NCF 結溫更低結溫更低 圖圖148:2023 年全球底部填充膠市場空間為年全球底部填充膠市場空間為 3.64 億美億美元元 數據來源:海力士公告 數據來源:Research and Markets,國泰君安證券研究 表表2
189、0:國內底部填充膠進展國內底部填充膠進展 公司公司 公司公司進展進展 德邦科技 板級相關技術已達到國際先進水平,產品在小米、華為、三星、舜宇等量產使用,同時還配合華為開發芯片級底填,正在進行驗證測試,部分型號獲得關鍵客戶驗證通過。窄間距大尺寸芯片封裝用底部填充膠材料在國內關鍵封測廠商推廣,達到小批量供貨測試的目標。行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 71 of 76 華海誠科 2023 年公司攻克了底部填充材料高導熱技術;為 POP 封裝、芯片疊層封裝重點開發了非流動底部填充材料;2.5D/3D 封裝用高導熱 FC 底填膠和不流動的底填膠
190、正在研發。已完成驗證的芯片級底填正在做前期重復性量產準備,與最終客戶協同開發的 Chiplet 封裝用的特殊性能底部填充膠正在認證考核?;靥煨虏?用于 CSP 或 BGA 的環氧底部填充膠已在標桿客戶華為、歐菲光等測試通過并批量使用,目前在消費電子、芯片封裝、汽車電子等領域標桿客戶處快速上量。鼎龍股份 底部填充膠已經完成小試配方開發 數據來源:公司公告,國泰君安證券研究 熱界面材料需求凸顯,國內廠商正突破。熱界面材料需求凸顯,國內廠商正突破。在先進封裝中,隨著堆疊密度提升,需要熱界面材料(Thermal Interface Material,TIM)以實現散熱升級需求。熱界面材料主要用于填充電
191、子元件和散熱器間空氣的間隙,建立有效熱傳導通道,可以大幅降低熱阻,使散熱器作用得到充分發揮。其中,TIM1 主要放置在芯片和散熱金屬蓋之間,TIM2 主要放置在半導體封裝外部和散熱器之間。按材料劃分,熱界面材料主要有有機硅系材料(硅油、硅膠、導熱硅脂、導熱凝膠、導熱硅膠片)、導熱相變材料(以熱塑性聚合物為基體,包括聚烯烴、低分子量的聚乙烯、丙烯酸樹脂等)、液態金屬 TIM 材料等。根據 Precendence Research,2023 年全球熱界面材料市場規模 42.1 億美元,2033 年有望增長至 113.7 億美元,2024-2033 年 CAGR 達 10.49%,其中流動態導熱油脂
192、占據較大規模。國內德邦科技走在最前列,布局芯片級導熱界面材料,部分型號已獲得關鍵客戶驗證通過。此外,近年來國內廠商在上游原材料球形氧化鋁上也有國產化突破,聯瑞新材低放射性高純度球形氧化鋁粉已銷售至行業領先客戶;壹石通應用于高端芯片封裝領域的 Low-球形氧化鋁產品已具備量產條件,對日韓客戶的送樣驗證工作在持續推動。圖圖149:ZEON 公司官網公司官網 數據來源:ZEON 公司官網 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 72 of 76 圖圖150:熱界面材料市場規模熱界面材料市場規模 數據來源:Precendence Research,國
193、泰君安證券研究 表表21:熱界面材料企業布局熱界面材料企業布局 公司公司 公司公司進展進展 德邦科技 芯片級導熱界面材料(TIM1)在國內多個客戶同時推進驗證、導入,部分型號獲得關鍵客戶驗證通過,后續有望實現出貨量快速增長貢獻業績。高導熱聚合物熱界面材料處于研究開發階段。聯瑞新材 公司低放射性高純度球形氧化鋁粉已銷售至行業領先客戶 壹石通 在高端芯片封裝材料領域,公司 Low-球形氧化鋁產品已具備量產條件,對日韓客戶的驗證工作已接近尾聲;low-射線高純氧化鋁送樣中,可用于下游 AI、自動駕駛等高帶寬、高散熱需求芯片的顆粒狀封裝材料。懷遠基地 200 噸芯片封裝用 low-射線球形氧化鋁產能已
194、完成產線調試、具備投產條件,正在加快推動市場導入;重慶基地 9,800 噸導熱用球形氧化鋁產能預計 24Q2 投產,可用于液冷板導熱膠。數據來源:公司公告,國泰君安證券研究 6.投資建議投資建議 我們認為先進封裝是大算力時代崛起的必經之路,是其突破“存儲墻”“面積墻”“功耗墻”和“功能墻”的關鍵路徑之一。先進封裝處于早期發展階段,國內外差距較小,且可部分規避制程封鎖,國內企業有望積極向國際龍頭看齊,跟隨需求多樣化、供應鏈國產化趨勢,迎來“彎道超車”機會。我們推薦國內晶圓代工、封測廠及測試設備、先進封裝設備及材料相關企業。6.1.晶圓代工及封測廠晶圓代工及封測廠 我們推薦中芯國際(688981.
195、SH)、華虹半導體(1347.HK)、通富微電(002156.SZ)、長電科技(600584.SH)、華天科技(002185.SZ)、甬矽電子(688362.SH)、晶方科技(603005.SH)、華峰測控(688200.SH)、偉測科技(688372.SH)等。相關公司估值表及估值理由如下:表表22:晶圓代工及封測廠估值表(收盤價參考晶圓代工及封測廠估值表(收盤價參考 6 月月 28 日)日)證券代碼證券代碼 證券簡稱證券簡稱 收盤價收盤價 PE 營業收入(百萬元營業收入(百萬元/美元美元*)PS 2024E 2025E 2026E 2024E 2025E 2026E 2024E 2025E
196、 2026E 688981.SH 中芯國際中芯國際 46.1 114.55 84.85 68.47 49723 56568 65411 7.38 6.48 5.61 1347.HK 華虹半導體華虹半導體*21.95 57.16 32.19 13.37 2044 2486 2871 2.58 2.12 1.84 02040608010012020232024E2025E2026E2027E2028E2029E2030E2031E2032E2033E全球熱界面材料市場(億美元)行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 73 of 76 002156
197、.SZ 通富微電通富微電 22.39 41.34 26.21 20.83 26356 30373 34367 1.29 1.12 0.99 600584.SH 長電科技長電科技 31.71 27.88 20.03 15.27 33601 38066 42707 1.69 1.49 1.33 002185.SZ 華天科技華天科技 8.15 33.75 22.08 17.67 13617 16257 19601 1.92 1.61 1.33 688362.SH 甬矽電子甬矽電子 19.46 135.22 27.85 16.86 3673 5192 6773 2.16 1.53 1.17 60300
198、5.SH 晶方科技晶方科技 20.38 42.67 29.13 21.59 1268 1766 2281 10.49 7.53 5.83 688200.SH 華峰測控華峰測控 91.75 30.37 22.63 18.50 885 1082 1276 14.04 11.48 9.73 688372.SH 偉測科技偉測科技 39.33 21.35 13.93 10.08 1104 1472 1849 4.04 3.03 2.41 注:*為美元計價,且華虹半導體收盤價為港元 數據來源:Wind,國泰君安證券研究 表表23:晶圓代工及封測廠估值理由晶圓代工及封測廠估值理由 證券代碼 證券簡稱 估值理
199、由 688981.SH 中芯國際 公司為全球第三大晶圓代工廠,24Q1 稼動率達 80.8%,受益于國際消費市場復蘇、智能手機補庫等產品起量,有望進一步搶占市場份額。公司具有先進制程產線稀缺性,受益手機芯片需求恢復及 AI 端側落地,參考可比行業 6.21 倍 PS,給予公司 2024 年 10 倍 PS 1347.HK 華虹半導體 公司依托“8+12”布局優勢,圍繞特色工藝開展持續創新,公司 12 英寸特色工藝新產線即將產能釋放,帶動盈利能力。預計其 2024-2026 年 EPS 為 0.05/0.10/0.23 美元,首次覆蓋,給予增持評級 002156.SZ 通富微電 公司是全球領先封
200、測領域,深度綁定 AMD,跟隨大客戶市場復蘇業績逐步修復,公司前瞻性布局 chiplet、2.5D/3D 封裝,有望受益于 MI200 和 MI300 系列的放量,看好公司 AI 領域成長性,參考行業可比估值 46.8 倍 PE,給予其 2024 年 56 倍 PE 600584.SH 長電科技 公司為全球第三大封測廠,疊加 24 年 3 月宣布收購先進閃存存儲產品封測廠晟碟半導體,加強與西部數據合作,參照可比行業 46.8 倍 PE,考慮到公司為先進封裝領軍企業,在高性能計算、高性能存儲及汽車電子領域增速可期,給與公司估值水平 2024 43x PE 002185.SZ 華天科技 公司是國內
201、封測龍頭,國內銷售額占比超過 60%,率先受益于國內消費電子復蘇回暖,稼動率持續提升,參照行業估值 46.8 x PE,考慮公司多點布局先進封裝,華天江蘇、華天南京、Unisem Gopeng 等產能持續落地,成長空間廣闊,給與公司估值水平 2024 年 49x PE。688362.SH 甬矽電子 公司堅持中高端先進封裝業務定位,二期項目建設順利推進。公司在包括中國臺灣地區頭部客戶在內的大客戶群取得重大突破,24Q1 業績復蘇顯著??紤]公司先進封裝一站式交付能力,成長空間廣闊,參考同行可比 6.21 倍 PS,給與公司估值水平 2024 年 5.0 x PS 603005.SH 晶方科技 公司
202、為全球影像傳感器用晶圓級芯片尺寸封裝(WLCSP)的先行者與引領者,具有國內車規級12 英寸 TSV-CIS 封裝產線,充分受益于汽車智能化浪潮??紤]公司為車載攝像頭 CIS 封測稀缺標的,且拓展布局光學器件業務,參考行業可比 46.8 倍 PE,給予 2024 年 55 倍 PE 688200.SH 華峰測控 公司為國內半導體測試設備龍頭,受消費電子復蘇拉動訂單率先回暖,跟隨新品釋放,公司在SOC 及存儲器測試等高端領域滲透率有望提升??紤]公司為國內測試機領域稀缺性標的,導入高性能計算、存儲等高成長領域,未來空間廣闊,參考可比行業估值 46.8 倍 PE,給予 2024 年60 倍 PE 6
203、88372.SH 偉測科技 公司為國內第三方集成短路測試服務龍頭,由于公司聚焦高算力芯片、先進架構及先進封裝芯片、高可靠性芯片測試,產品高端化延伸逐步見效,行業空間廣闊,參考可比行業估值 46.8 倍PE,給予 2024 年 54.5 倍 PE 數據來源:Wind,國泰君安證券研究 6.2.先進封裝設備及材料先進封裝設備及材料 設備端,我們推薦拓荊科技(688072.SH)、芯碁微裝(688630.SH)、芯源微(688037.SH)、盛美上海(688082.SH)、中微公司(688012.SH)、光力科技(300480.SZ)、賽騰股份(603283.SH),相關受益標的華海清科(機械組);
204、行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 74 of 76 材料端:我們推薦安集科技(688019.SH)、鼎龍股份(300054.SZ),相關受益標的德邦科技(建材&化工組)、聯瑞新材(化工組)、艾森股份、上海新陽、華海誠科。相關公司估值表及估值理由如下:表表24:設備及材料設備及材料公司估值表(收盤價參考公司估值表(收盤價參考 6 月月 28 日)日)證券代碼證券代碼 證券簡稱證券簡稱 收盤價收盤價 PE 營業收入(百萬元)營業收入(百萬元)PS 2024E 2025E 2026E 2024E 2025E 2026E 2024E 2025E
205、 2026E 688072.SH 拓荊科技拓荊科技 120.11 43.95 30.99 24.29 3947 5326 6642 8.47 6.28 5.03 688630.SH 芯芯碁微裝微裝 62.57 24.18 12.83 9.07 1460 2129 3040 5.63 3.86 2.70 688037.SH 芯源微芯源微 89 35.34 23.65 17.02 2253 2987 3891 5.45 4.11 3.15 688082.SH 盛美上海盛美上海 84.51 32.35 21.83 16.02 5446 6990 8756 6.76 5.27 4.21 688012.
206、SH 中微公司中微公司 141.26 42.69 31.54 24.03 8281 11199 14672 10.58 7.82 5.97 300480.SZ 光力科技光力科技 15.83 46.50 38.51 31.61 906 1200 1458 6.15 4.64 3.82 603283.SH 賽騰股份賽騰股份 76.4 17.27 14.23 11.72 5771 6959 8395 2.65 2.20 1.82 688019.SH 安集科技安集科技 125.8 34.41 27.00 22.12 1643 2101 2587 9.86 7.71 6.26 300054.SZ 鼎龍股
207、份鼎龍股份 22.68 47.54 33.72 25.73 3263 4011 4658 6.52 5.31 4.57 數據來源:Wind,國泰君安證券研究 表表25:設備及材料公司估值理由設備及材料公司估值理由 證券代碼 證券簡稱 估值理由 688072.SH 拓荊科技 公司為薄膜沉積設備龍頭,已形成 PECVD、ALD、SACVD、HDPCVD 等薄膜設備產品,公司混合鍵合設備走在前列,在 3D NAND、HBM、先進晶圓制程及高端 CIS 等應用不斷拓展??紤]公司為國內混合鍵合設備領軍企業,行業空間廣闊,參考行業可比估值 46.8 倍 PE,給予 2024 年 75.4 倍 PE 688
208、630.SH 芯碁微裝 公司是國內直寫光刻設備細分龍頭,產品滲透率快速增長,伴隨 PCB 下游復蘇及中高端 PCB需求增長,公司業績有望提速,考慮到公司作為直寫光刻龍頭,且在先進封裝領域有望大展拳腳,參考行業 2024 年平均 46.8 倍 PE,給與 2024 年 45 倍 PE 688037.SH 芯源微 公司為前道涂膠顯影設備龍頭,橫向布局前道清洗、先進封裝領域,涂膠顯影設備已成功覆蓋offline、I-line、KrF 及 ArF 等多種型號,憑借卡位優勢,公司有望持續提升滲透率??紤]公司國內唯一能提供中高端量產型涂膠顯影設備廠商且先進封放量可期,參考行業 2024 年平均46.8 倍
209、 PE,給予 2024 年 60 倍 PE 688082.SH 盛美上海 公司是能夠進入本土 12 英寸晶圓廠清洗設備的領軍國內公司,在國內清洗設備市占率已達23%。公司積極擴大產品組合,跟隨新工藝新技術及國外大客戶拓展,參考可比行業公司平均46.8 倍 PE,考慮到公司濕法技術領先優勢及電鍍、先進封裝等增量市場布局,給予其 2024 年46.1 倍 PE 688012.SH 中微公司 公司為刻蝕設備龍頭,跟隨下游半導體尤其存儲客戶擴產訂單持續高增,考慮公司國內刻蝕設備龍一,刻蝕設備覆蓋度已達到邏輯 100%、存儲 92%以上水平,公司借助自身研發優勢,進軍薄膜沉積、量測設備等低國產化率領域,
210、行業空間廣闊,參考行業可比 46.8 倍估值,給予一定估值溢價,給與公司 2024 年 58.7 倍 PE 300480.SZ 光力科技 公司為全球排名前三的半導體切割劃片設備企業,并積極布局先進封裝領域,跟隨先進封裝劃片機及切磨拋一體機工序提升及價值量提升,疊加公司產能落地,公司增長可期??紤]到公司為高端劃片機稀缺性標的,且劃片研磨一體機有望在先進封裝快速上量,同業可比 6.21 倍 PS,給予其合理估值溢價,2024 年 10.6 倍 PS 603283.SH 賽騰股份 公司是綜合型自動化設備供應商,覆蓋消費電子、半導體、新能源等領域,通過收購 optima 切 行業公司更新行業公司更新
211、請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 75 of 76 入半導體領域,配合一線客戶開發高端晶圓檢測系統,充分受益于 AI 高需求,疊加蘋果新產品落地帶來的自動化設備需求,成長空間廣闊,預計其 2024-2026 年 EPS 為 4.35/5.33/6.50元??紤]到公司深度布局 AI 領域,成長空間廣闊,參考半導體設備行業可比估值 46.8 倍 PE,給予其 2024 年 42 倍 PE 688019.SH 安集科技 公司是國內功能性濕電子化學品龍頭,CMP 拋光液全國第一,近三年全球市占率達 5%、7%、8%,穩步提升,公司電鍍液及添加劑已在客戶端 PCN 驗證順
212、利,亟待放量,成長空間廣闊,考慮到公司是 CMP 拋光液稀缺標的,布局材料均具備國產化率極低的高端、稀有產品屬性,參考可比行業平均 46.8 倍 PE,給予一定估值溢價,給與 2024 年 65 倍 PE 300054.SZ 鼎龍股份 公司是國內 CMP 拋光墊龍頭,是國內唯一一家全面掌握 CMP 拋光墊全流程核心研發技術和生產工藝的 CMP 拋光墊供應商,且全面布局銅、鎢、介電層等拋光液產品,公司柔性面板顯示多款材料已成為主流面板企業的第一供應商,參考行業可比公司平均 46.8 倍 PE,考慮到公司在半導體材料領域的龍頭地位,多線布局半導體制造、顯示、先進封裝材料,給予 2024 年62.5
213、 倍 PE 數據來源:Wind,國泰君安證券研究 表表26:半導體制造半導體制造行業行業可比可比公司公司估值表(收盤價參考估值表(收盤價參考 6 月月 27 日)日)證券代碼證券代碼 證券簡稱證券簡稱 PE 營業收入(百萬元)營業收入(百萬元)PS 2024E 2025E 2026E 2024E 2025E 2026E 2024E 2025E 2026E 300456.SZ 賽微電子 43.79 32.37 21.32 1587 2191 2543 7.16 5.19 4.47 688249.SH 晶合集成 35.42 22.88 19.32 10086 12969 15063 2.93 2.
214、28 1.96 688396.SH 華潤微 36.56 30.37 26.20 10784 12009 13231 4.57 4.11 3.73 688135.SH 利揚芯片 59.90 30.39 19.78 651 820 994 4.83 3.84 3.16 688352.SH 頎中科技 28.70 22.15 17.93 1975 2422 2958 6.84 5.58 4.57 688403.SH 匯成股份 29.67 21.77 22.22 1517 1950 2004 4.63 3.61 3.51 002371.SZ 北方華創 29.75 22.05 17.11 30214 39
215、751 50064 5.62 4.27 3.39 003043.SZ 華亞智能 33.58 26.88 21.89 518 613 715 6.06 5.11 4.39 300604.SZ 長川科技 34.87 22.27 18.01 3188 4193 5025 5.43 4.13 3.44 301297.SZ 富樂德 54.63 42.41 33.31 693 838 991 9.85 8.15 6.89 603061.SH 金海通 29.42 21.87 18.40 461 574 712 8.36 6.71 5.41 603690.SH 至純科技 16.49 12.86 10.86 4
216、152 5123 5779 2.13 1.73 1.53 688361.SH 中科飛測 77.66 51.10 35.43 1312 1832 2463 11.89 8.52 6.34 688409.SH 富創精密 37.65 26.13 20.24 3100 4271 5550 3.75 2.72 2.10 688419.SH 耐科裝備 23.32 17.20 13.78 362 487 593 6.70 4.98 4.09 688478.SH 晶升股份 30.65 20.99 19.02 751 1067 1259 5.31 3.74 3.17 002409.SZ 雅克科技 30.02 2
217、2.25 17.15 6662 8396 10257 4.46 3.54 2.90 300666.SZ 江豐電子 36.80 27.25 20.12 3308 4292 5570 3.77 2.91 2.24 605358.SH 立昂微 60.61 32.51 25.62 3275 4140 4941 4.69 3.71 3.11 688126.SH 滬硅產業 160.05 112.76 88.10 3935 4836 5896 9.57 7.78 6.38 688138.SH 清溢光電 30.82 24.64 18.82 1134 1422 1791 5.02 4.00 3.18 68814
218、6.SH 中船特氣 36.78 29.83 27.37 2051 2494 2810 7.58 6.23 5.53 688233.SH 神工股份 64.57 17.35 9.68 298 633 1077 10.89 5.14 3.02 688234.SH 天岳先進 134.74 58.28 34.37 2337 3517 4798 8.62 5.73 4.20 688401.SH 路維光電 23.80 17.92 14.48 930 1223 1476 5.38 4.09 3.39 688530.SH 歐萊新材 56.38 46.71 38.45 528 605 705 6.18 5.40
219、4.63 688661.SH 和林微納 32.93 20.53 14.98 613 809 1001 5.69 4.31 3.49 688720.SH 艾森股份 68.00 48.80 35.28 449 552 669 8.00 6.50 5.36 835179.BJ 凱德石英 20.83 13.66 9.04 304 410 554 4.04 3.00 2.22 行業公司更新行業公司更新 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 76 of 76 平均值平均值 46.84 30.90 23.73 6.21 4.72 3.85 注:可比估值采用 Wind 一致預期 數
220、據來源:Wind,國泰君安證券研究 7.風險提示風險提示 下游需求復蘇不及預期下游需求復蘇不及預期。先進封裝產業與下游需求緊密相關。如果下游需求復蘇不及預期,將對行業內公司收入增長及盈利水平帶來不利影響。技術進步不及預期技術進步不及預期。先進封裝行業對產品及技術迭代要求較高,突破難度大,國內廠商研發、投資、擴產等環節存在瓶頸。如技術進步不及預期,則影響企業盈利能力。國際局勢不穩定。國際局勢不穩定。半導體產業已成為國際貿易沖突的重點領域,例如美國推出多項貿易管制政策限制中國半導體部分項目的進口及出口。如果國際貿易摩擦加劇,將限制國內半導體行業的發展。請務必閱讀正文之后的免責條款部分請務必閱讀正文
221、之后的免責條款部分 股票研究股票研究 行業公司更新(公司更新)行業公司更新(公司更新)證券研究報告證券研究報告 中芯國際中芯國際(688981)Table_Invest 評級:評級:增持增持 上次評級:增持 Table_Target 目標價格:目標價格:62.50 上次預測:68.20 Table_CurPrice 當前價格:46.10 Table_Date 2024.06.30 Table_Market 交易數據 52 周內股價區間(元)周內股價區間(元)40.33-58.31 總市值(百萬元)總市值(百萬元)366,780 總股本總股本/流通流通A股(百萬股)股(百萬股)7,956/1,9
222、74 流通流通 B 股股/H 股(百萬股)股(百萬股)0/5,983 Table_Balance 資產負債表摘要(LF)股東權益(百萬元)股東權益(百萬元)142,638 每股凈資產(元)每股凈資產(元)17.93 市凈率(現價)市凈率(現價)2.6 凈負債率凈負債率 12.06%Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 絕對升幅 7%5%-11%相對指數 12%6%-4%Table_Report 相關報告 業績逐步修復,上調資本開支,開啟擴張新周期 2023.11.27 先進設備有望攻克,景氣度逐步觸底,開啟擴張新周期 2023.09.04 業績略超
223、指引,擴產驅動增長 2022.09.09 逆勢擴產彰顯信心,周期復蘇未來可期逆勢擴產彰顯信心,周期復蘇未來可期 table_Authors 舒迪舒迪(分析師分析師)文越文越(分析師分析師)021-38676666 021-38038032 登記編號 S0880521070002 S0880524050001 本報告導讀:本報告導讀:受下游需求回暖,公司產能利用率逐季修復,受下游需求回暖,公司產能利用率逐季修復,2024 年資本開支預計與年資本開支預計與 2023 年持平,年持平,達達 75 億美元,彰顯公司發展信心。億美元,彰顯公司發展信心。投資要點:投資要點:Table_Summary 維持
224、“增持”評級,維持“增持”評級,下調下調目標價目標價至至 62.5 元。元。國際消費市場復蘇、智能手機補庫,公司全年業績有望高于同業平均增速。由于公司折舊壓力持續,我們下調公司2024-2025年營收至497/566億元(前值為521/618億元),并新增 2026 年營收 654 億元。2024-2025 年 EPS 至 0.40/0.54元(前值為 1.06/1.41),并新增 2026 年 EPS 0.67 元。公司具有先進制程產線稀缺性,受益手機芯片需求恢復及 AI 端側落地,參考可比行業 6.21 倍 PS,給予公司 2024 年 10 倍 PS,下調目標價至 62.5 元。行業景氣
225、恢復,公司市場份額迎來新高。行業景氣恢復,公司市場份額迎來新高。中芯國際 1Q24 收入 126 億元,環比+4.3%,顯著高于業績指引增速 02%。根據 Counterpoint 研究報告,2024 年 Q1,公司收入首次超越格芯、聯電,躍升為全球第三大晶圓代工廠,全球代工行業市占率達到 6%。從稼動率看,1Q24稼動率達 80.8%,環比+4pcts,其中 2 月以來 12 英寸晶圓產線均滿載,主要受益于國際消費市場復蘇、智能手機補庫、及體育相關機頂盒等產品起量。8 英寸仍較低迷,預計年中恢復正常水平。2024 年預計公司收入增速將高于同業平均增速,有望進一步搶占市場份額。逆勢擴產逆勢擴產
226、 12 英寸,特色工藝穩步落地。英寸,特色工藝穩步落地。公司逆勢持續擴產,預計 24年資本開支基本與 23 年持平,維持 75 億美元,折舊同上年提升近 3成。逆勢擴產彰顯公司發展信心,公司擴產四大成熟制程工廠上海、北京、天津、深圳當前工程進度已實現 80%/91%/97%/85%,隨著廠房封頂落地,有望緩解 12 英寸滿載壓力,在車用、工業等市場迎來快速發展。催化劑:催化劑:需求逐步回暖;12 英寸產線持續落地 風險提示:風險提示:半導體景氣度恢復不及預期;產品驗證不及預期。Table_Finance 財務摘要(百萬元)財務摘要(百萬元)2022A 2023A 2024E 2025E 202
227、6E 營業收入營業收入 49,516 45,250 49,723 56,568 65,411(+/-)%39.0%-8.6%9.9%13.8%15.6%凈利潤(歸母)凈利潤(歸母)12,133 4,823 3,202 4,323 5,356(+/-)%13.0%-60.3%-33.6%35.0%23.9%每股凈收益(元)每股凈收益(元)1.52 0.61 0.40 0.54 0.67 凈資產收益率凈資產收益率(%)9.1%3.4%2.2%2.9%3.4%市盈率市盈率(現價現價&最新股本攤?。┳钚鹿杀緮偙。?0.23 76.05 114.55 84.85 68.47 -22%-15%-8%-1%
228、6%13%2023-062023-102024-022024-0652周股價走勢圖周股價走勢圖中芯國際上證指數 中芯國際中芯國際(688981)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 2 Table_Forcast 財務預測表財務預測表 資產負債表(百萬資產負債表(百萬元)元)2022A 2023A 2024E 2025E 2026E 利潤表(百萬元)利潤表(百萬元)2022A 2023A 2024E 2025E 2026E 貨幣資金 74,922 51,235 51,079 67,093 84,008 營業總收入營業總收入 49,516 45,250 49
229、,723 56,568 65,411 交易性金融資產 2,617 1,520 1,520 1,520 1,520 營業成本 30,553 35,346 39,686 44,253 50,714 應收賬款及票據 5,329 3,944 4,823 5,487 6,345 稅金及附加 272 223 162 184 327 存貨 13,313 19,378 21,757 19,282 22,098 銷售費用 226 254 227 258 298 其他流動資產 19,391 20,497 22,488 22,780 22,991 管理費用 3,042 3,153 3,054 3,475 4,018
230、 流動資產合計流動資產合計 115,572 96,574 101,667 116,163 136,962 研發費用 4,953 4,992 4,972 5,658 6,543 長期投資 13,380 14,484 14,484 14,484 14,484 EBIT 11,976 2,526 4,881 6,447 7,797 固定資產 85,403 92,432 98,150 99,622 98,068 其他收益 1,946 2,577 2,983 3,394 3,925 在建工程 45,762 77,003 75,762 75,762 75,762 公允價值變動收益 91 357 0 0 0
231、 無形資產及商譽 3,428 3,344 3,443 3,543 3,643 投資收益 832 250 275 313 362 其他非流動資產 41,559 54,626 51,483 51,483 51,483 財務費用-1,552-3,774 668 759 598 非流動資產合計非流動資產合計 189,532 241,889 243,322 244,894 243,441 減值損失-442-1,334 0 0 0 總資產總資產 305,104 338,463 344,989 361,058 380,403 資產處置損益 311-1 0 0 0 短期借款 4,519 3,398 398 1
232、,398 2,398 營業利潤營業利潤 14,762 6,906 4,213 5,688 7,199 應付賬款及票據 4,013 4,940 5,339 5,838 6,690 營業外收支-2-65 0 0 0 一年內到期的非流動負債 4,764 5,533 6,903 6,903 6,903 所得稅 106 444 211 284 504 其他流動負債 34,559 38,744 36,733 41,008 46,828 凈凈利潤利潤 14,654 6,396 4,002 5,403 6,696 流動負債合計流動負債合計 47,855 52,614 49,373 55,146 62,819
233、少數股東損益 2,520 1,573 800 1,081 1,339 長期借款 46,790 59,032 64,032 69,032 74,032 歸屬母公司凈利潤歸屬母公司凈利潤 12,133 4,823 3,202 4,323 5,356 應付債券 4,167 4,243 4,243 4,243 4,243 租賃債券 402 186 86 0 0 主要財務比率主要財務比率 2022A 2023A 2024E 2025E 2026E 其他非流動負債 4,185 3,918 3,902 3,902 3,902 ROE(攤薄,%)9.1%3.4%2.2%2.9%3.4%非流動負債合計非流動負債
234、合計 55,544 67,379 72,263 77,177 82,177 ROA(%)5.5%2.0%1.2%1.5%1.8%總負債總負債 103,399 119,993 121,636 132,323 144,996 ROIC(%)4.5%0.8%1.6%2.0%2.2%實收資本(或股本)225 226 226 226 226 銷售毛利率(%)38.3%21.9%20.2%21.8%22.5%其他歸母股東權益 133,147 142,250 146,333 150,634 155,967 EBIT Margin(%)24.2%5.6%9.8%11.4%11.9%歸屬母公司股東權益歸屬母公司
235、股東權益 133,372 142,476 146,559 150,859 156,193 銷售凈利率(%)29.6%14.1%8.0%9.6%10.2%少數股東權益 68,333 75,994 76,795 77,876 79,215 資產負債率(%)33.9%35.5%35.3%36.6%38.1%股東權益合計股東權益合計 201,705 218,470 223,354 228,735 235,408 存貨周轉率(次)2.9 2.2 1.9 2.2 2.5 總負債及總權益總負債及總權益 305,104 338,463 344,989 361,058 380,403 應收賬款周轉率(次)10.
236、8 10.9 12.7 12.2 12.3 總資產周轉周轉率(次)0.2 0.1 0.1 0.2 0.2 現金流量表(百萬現金流量表(百萬元)元)2022A 2023A 2024E 2025E 2026E 凈利潤現金含量 3.0 4.8 8.2 9.7 8.0 經營活動現金流 36,591 23,048 26,302 41,890 42,816 資本支出/收入 84.3%119.0%61.3%53.6%46.3%投資活動現金流-69,468-41,701-28,865-29,987-29,938 EV/EBITDA 11.37 20.69 12.70 10.84 9.36 籌資活動現金流 23
237、,269 15,728 1,179 4,111 4,038 P/E(現價&最新股本攤薄)30.23 76.05 114.55 84.85 68.47 匯率變動影響及其他 3,248-1,338 1,228 0 0 P/B(現價)2.75 2.57 2.50 2.43 2.35 現金凈增加額-6,361-4,263-156 16,014 16,915 P/S(現價)7.41 8.11 7.38 6.48 5.61 折舊與攤銷 15,388 18,860 25,925 28,728 31,754 EPS-最新股本攤薄(元)1.52 0.61 0.40 0.54 0.67 營運資本變動 6,031-
238、2,424-5,079 6,291 2,788 DPS-最新股本攤薄(元)0.00 0.00 0.00 0.00 0.00 資本性支出-41,724-53,828-30,500-30,300-30,300 股息率(現價,%)0.0%0.0%0.0%0.0%0.0%數據來源數據來源:Wind,公司公告,國泰君安證券研究 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 股票研究股票研究 行業公司更新(公司更新)行業公司更新(公司更新)證券研究報告證券研究報告 通富微電通富微電(002156)Table_Invest 評級:評級:增持增持 上次評級:增持 Table_Target
239、目標價格:目標價格:30.26 上次預測:30.26 Table_CurPrice 當前價格:22.39 Table_Date 2024.06.29 Table_Market 交易數據 52 周內股價區間(元)周內股價區間(元)17.81-26.20 總市值(百萬元)總市值(百萬元)33,979 總股本總股本/流通流通A股(百萬股)股(百萬股)1,518/1,517 流通流通 B 股股/H 股(百萬股)股(百萬股)0/0 Table_Balance 資產負債表摘要(LF)股東權益(百萬元)股東權益(百萬元)14,026 每股凈資產(元)每股凈資產(元)9.24 市凈率(現價)市凈率(現價)2.
240、4 凈負債率凈負債率 66.25%Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 絕對升幅 0%1%1%相對指數 6%6%20%Table_Report 相關報告 Q1 業績向好,擬收購京隆電子深化封測布局2024.04.29 業績逐步修復,AI 應用領域高成長2023.12.05 先進封裝技術領先,產品布局持續優化2023.03.22 先進封裝龍頭,看好先進封裝龍頭,看好 AI 芯片放量芯片放量 table_Authors 舒迪舒迪(分析師分析師)文越文越(分析師分析師)021-38676666 021-38038032 登記編號 S08805210700
241、02 S0880524050001 本報告導讀:本報告導讀:公司是全球領先封測企業公司是全球領先封測企業,跟隨市場景氣度回升業績回暖,公司率先布局人工智能、,跟隨市場景氣度回升業績回暖,公司率先布局人工智能、高性能計算、大數據存儲等相關高端封裝領域,未來成長可期。高性能計算、大數據存儲等相關高端封裝領域,未來成長可期。投資要點:投資要點:Table_Summary 維持增持評級維持增持評級,維持目標價,維持目標價 30.26 元元。公司是全球領先封測領域,深度綁定 AMD,跟隨大客戶市場復蘇業績逐步修復,公司前瞻性布局chiplet、2.5D/3D 封裝,有望跟隨 AI 芯片迎來新增量。我們小
242、幅上調公司 2024-2026 年 EPS 至 0.54/0.85/1.07(原值 0.53/0.83/1.07)元。公司有望受益于 AMD MI200 和 MI300 系列的放量,看好公司 AI 領域成長性,參考行業可比估值 46.8 倍 PE,給予其 2024 年 56 倍 PE,維持目標價 30.26 元,維持增持評級。綁定綁定 AMD 等大客戶,引領先進封裝浪潮。等大客戶,引領先進封裝浪潮。公司收購 AMD 蘇州及AMD 檳城各 85%股權,深度綁定大客戶,通富超威蘇州成為第一個為 AMD 提供全系列 7nm 封測產品的工廠。2023 年,通富微電成為AMD 最大封測供應商,占其訂單總
243、量 80%以上。跟隨 PC 換機需求及 AI PC 需求拉動,公司業績有望進一步提升。通富積極布局先進封裝,公司超大尺寸 2D+封裝技術、3 維堆疊封裝技術、大尺寸多芯片 chip last 封裝技術已驗證通過;在存儲器產品方面,通過了客戶的低成本方案驗證;在 SiP 產品方面,實現國內首家 WB 分腔屏蔽技術研發及量產。公司已經具備規模生產 Chiplet 能力,7nm 產品已實現量產,5nm 也已創收,有望跟隨 COWOS 封裝快速上量。同時,公司積極擴張先進封裝版圖,重大項目建設持續穩步推進。通富通科廠房三層機電安裝改造施工完成,一次性通過消防備案;南通通富三期土建工程順利推進,2D+項
244、目機電安裝工程基本完成;通富超威蘇州辦公樓及變電站項目 7 月全面封頂;通富超威檳城新工廠下半年已取得突破性進展。公司及下屬控制企業計劃在設施建設、生產設備、IT、技術研發等方面投資共計 48.9 億元,為產業復蘇、擴大封測版圖積極做好準備。2024 年年 Q1 業績修復,業績修復,24 年增量看年增量看 chiplet 等先進封裝等先進封裝。公司 2024 一季度實現營業收入 52.82 億元,同比+13.8%。歸母凈利潤達到 0.98 億元,同比扭虧為盈,大幅+2064%。跟隨未來高性能運算及 AI 需求釋放,公司有望跟隨 chiplet 等先進封裝上量。催化劑催化劑:公司稼動率回暖;新生
245、產基地放量 風險提示:風險提示:公司新產品放量不及預期;市場需求不及預期。Table_Finance 財務摘要(百萬元)財務摘要(百萬元)2022A 2023A 2024E 2025E 2026E 營業收入營業收入 21,429 22,269 26,356 30,373 34,367(+/-)%35.5%3.9%18.4%15.2%13.2%凈利潤(歸母)凈利潤(歸母)502 169 822 1,296 1,630(+/-)%-47.5%-66.2%385.0%57.7%25.8%每股凈收益(元)每股凈收益(元)0.33 0.11 0.54 0.85 1.07 凈資產收益率凈資產收益率(%)3
246、.6%1.2%5.6%8.1%9.3%市盈率市盈率(現價現價&最新股本攤?。┳钚鹿杀緮偙。?7.71 200.54 41.35 26.22 20.84 -27%-18%-9%0%9%18%2023-062023-102024-022024-0652周股價走勢圖周股價走勢圖通富微電深證成指 Table_Forcast 財務預測表財務預測表 資產負債表(百萬資產負債表(百萬元)元)2022A 2023A 2024E 2025E 2026E 利潤表(百萬元)利潤表(百萬元)2022A 2023A 2024E 2025E 2026E 貨幣資金 4,242 4,468 4,978 6,450 9,397
247、 營業總收入營業總收入 21,429 22,269 26,356 30,373 34,367 交易性金融資產 137 4 4 4 4 營業成本 18,449 19,671 22,969 26,046 29,314 應收賬款及票據 4,694 3,946 5,229 6,653 6,819 稅金及附加 57 48 56 65 74 存貨 3,477 3,142 4,275 4,847 5,456 銷售費用 66 66 81 93 106 其他流動資產 584 625 618 648 677 管理費用 553 515 610 703 795 流動資產合計流動資產合計 13,133 12,184 1
248、5,103 18,601 22,352 研發費用 1,323 1,162 1,375 1,584 1,793 長期投資 397 409 493 600 600 EBIT 1,105 979 1,485 2,134 2,572 固定資產 15,129 15,912 16,647 16,760 16,251 其他收益 148 183 217 250 283 在建工程 4,370 3,542 3,542 3,542 3,542 公允價值變動收益 10 12 0 0 0 無形資產及商譽 1,454 1,444 1,436 1,428 1,419 投資收益-1 43 0 0 0 其他非流動資產 1,15
249、2 1,386 1,413 1,413 1,413 財務費用 634 795 344 334 308 非流動資產合計非流動資產合計 22,502 22,694 23,530 23,742 23,225 減值損失-47-23 0 0 0 總資產總資產 35,635 34,878 38,633 42,343 45,577 資產處置損益 15 15 3 3 3 短期借款 4,249 3,860 3,860 3,860 3,860 營業利潤營業利潤 471 243 1,141 1,800 2,264 應付賬款及票據 6,032 3,815 6,354 8,283 9,322 營業外收支-3-1 0 0
250、 0 一年內到期的非流動負債 1,947 4,146 4,014 4,014 4,014 所得稅-61 26 114 180 226 其他流動負債 1,519 1,166 1,532 1,732 1,938 凈凈利潤利潤 530 216 1,027 1,620 2,038 流動負債合計流動負債合計 13,748 12,987 15,760 17,889 19,134 少數股東損益 28 47 205 324 408 長期借款 6,026 6,003 6,003 6,003 6,003 歸屬母公司凈利潤歸屬母公司凈利潤 502 169 822 1,296 1,630 應付債券 0 0 0 0 0
251、 租賃債券 0 0 0 0 0 主要財務比率主要財務比率 2022A 2023A 2024E 2025E 2026E 其他非流動負債 1,302 1,194 1,159 1,159 1,159 ROE(攤薄,%)3.6%1.2%5.6%8.1%9.3%非流動負債合計非流動負債合計 7,328 7,197 7,162 7,162 7,162 ROA(%)1.7%0.6%2.8%4.0%4.6%總負債總負債 21,076 20,184 22,922 25,051 26,296 ROIC(%)4.7%3.0%4.5%6.2%7.0%實收資本(或股本)1,513 1,517 1,517 1,517 1
252、,517 銷售毛利率(%)13.9%11.7%12.9%14.2%14.7%其他歸母股東權益 12,318 12,400 13,212 14,469 16,051 EBIT Margin(%)5.2%4.4%5.6%7.0%7.5%歸屬母公司股東權益歸屬母公司股東權益 13,832 13,917 14,729 15,986 17,568 銷售凈利率(%)2.5%1.0%3.9%5.3%5.9%少數股東權益 728 777 982 1,306 1,714 資產負債率(%)59.1%57.9%59.3%59.2%57.7%股東權益合計股東權益合計 14,559 14,694 15,711 17,2
253、92 19,281 存貨周轉率(次)6.6 5.9 6.2 5.7 5.7 總負債及總權益總負債及總權益 35,635 34,878 38,633 42,343 45,577 應收賬款周轉率(次)6.2 5.2 5.8 5.2 5.2 總資產周轉周轉率(次)0.7 0.6 0.7 0.8 0.8 現金流量表(百萬現金流量表(百萬元)元)2022A 2023A 2024E 2025E 2026E 凈利潤現金含量 6.4 25.3 7.6 5.5 5.2 經營活動現金流 3,198 4,293 6,261 7,074 8,451 資本支出/收入 33.2%22.3%19.1%16.6%14.6%投
254、資活動現金流-7,196-4,868-5,174-5,139-5,031 EV/EBITDA 8.04 9.82 7.40 5.86 4.73 籌資活動現金流 4,265 861-582-463-473 P/E(現價&最新股本攤薄)67.71 200.54 41.35 26.22 20.84 匯率變動影響及其他 147-124 4 0 0 P/B(現價)2.46 2.44 2.31 2.13 1.93 現金凈增加額 414 162 510 1,472 2,947 P/S(現價)1.59 1.53 1.29 1.12 0.99 折舊與攤銷 2,991 3,566 4,308 4,930 5,55
255、1 EPS-最新股本攤薄(元)0.33 0.11 0.54 0.85 1.07 營運資本變動-953-310 495 103 441 DPS-最新股本攤薄(元)0.10 0.01 0.02 0.03 0.03 資本性支出-7,111-4,962-5,031-5,031-5,031 股息率(現價,%)0.4%0.1%0.1%0.1%0.1%數據來源數據來源:Wind,公司公告,國泰君安證券研究 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 股票研究股票研究 行業公司更新(公司更新)行業公司更新(公司更新)證券研究報告證券研究報告 長電科技長電科技(600584)Table_I
256、nvest 評級:評級:增持增持 上次評級:增持 Table_Target 目標價格:目標價格:49.02 上次預測:46.85 Table_CurPrice 當前價格:31.71 Table_Date 2024.06.28 Table_Market 交易數據 52 周內股價區間(元)周內股價區間(元)20.96-35.55 總市值(百萬元)總市值(百萬元)56,742 總股本總股本/流通流通A股(百萬股)股(百萬股)1,789/1,789 流通流通 B 股股/H 股(百萬股)股(百萬股)0/0 Table_Balance 資產負債表摘要(LF)股東權益(百萬元)股東權益(百萬元)26,221
257、 每股凈資產(元)每股凈資產(元)14.65 市凈率(現價)市凈率(現價)2.2 凈負債率凈負債率-6.61%Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 絕對升幅 26%10%2%相對指數 31%11%9%Table_Report 相關報告 業績逐步修復,布局先進封裝賽道 2023.11.26 2Q23 業績環比顯著改善,先進封裝助力成長2023.08.28 封測行業拐點期,Chiplet 打開新成長空間2023.05.15 封測領域龍頭,Chiplet 構筑第二增長點2023.03.22 先進封裝領軍,高性能計算先進封裝領軍,高性能計算/存儲加速釋放存
258、儲加速釋放 table_Authors 舒迪舒迪(分析師分析師)文越文越(分析師分析師)021-38676666 021-38038032 登記編號 S0880521070002 S0880524050001 本報告導讀:本報告導讀:公司為先進封裝領軍企業,率先布局高性能計算公司為先進封裝領軍企業,率先布局高性能計算/存儲等領域,未來增長空間廣闊。存儲等領域,未來增長空間廣闊。投資要點:投資要點:Table_Summary 維持增持評級維持增持評級,上調目標價至,上調目標價至 49.02 元元。公司為全球第三大封測廠,由于當前半導體行業未完全復蘇,我們下調公司 2024-2025 年 EPS
259、業績至 1.14/1.58(原值 1.54/1.96)元,并新增 2026 年 EPS 2.08 元。參照可比行業 46.8 倍 PE,考慮到公司為先進封裝領軍企業,在高性能計算、高性能存儲及汽車電子領域增速可期,給予公司估值水平 2024 43x PE,上調目標價至 49.02 元,維持增持評級。行業復蘇加速,行業復蘇加速,24Q1 業績同比提升。業績同比提升。一季度為傳統淡季,1Q24 實現營業收入 68.42 億元,同比+16.75%,環比-25.88%,已恢復至歷史Q1 營收次新高;歸母凈利潤 1.35 億元,同比+23.01%,環比-72.79%,業績重回增長軌道。其中,星科金朋 2
260、3 年營收降低主要受到海外客戶進入下行周期較晚影響,隨著 23 年 H2 海外客戶收入逐步提升,24年海外工廠增速有望快于公司平均增速。先進封裝領軍,高性能計算先進封裝領軍,高性能計算/存儲、汽車電子為未來看點。存儲、汽車電子為未來看點。存儲端,24年存儲市場回暖顯著,公司擁有 20 余年存儲產品封裝量產能力,疊加 24 年 3 月宣布收購先進閃存存儲產品封測廠晟碟半導體,加強與西部數據合作,未來高性能存儲領域放量可期。高性能計算上,公司于 2021 年推出 XDFOI全系列產品,2022 年形成穩定量產,目前已覆蓋當前市場上的主流 2.5D Chiplet 方案。隨著客戶訂單導入,公司在算力
261、領域份額將進一步提升。汽車電子領域,長電科技已獲增資 48億元,汽車芯片成品制造封測一期項目落地臨港,預計將推動長電長效穩定增長。催化劑:公司先進封裝產品放量;半導體行業復蘇催化劑:公司先進封裝產品放量;半導體行業復蘇 風險提示:公司新產品放量不及預期;下游市場需求不及預期。風險提示:公司新產品放量不及預期;下游市場需求不及預期。Table_Finance 財務摘要(百萬元)財務摘要(百萬元)2022A 2023A 2024E 2025E 2026E 營業收入營業收入 33,762 29,661 33,601 38,066 42,707(+/-)%10.7%-12.1%13.3%13.3%12
262、.2%凈利潤(歸母)凈利潤(歸母)3,231 1,471 2,035 2,833 3,716(+/-)%9.2%-54.5%38.4%39.2%31.2%每股凈收益(元)每股凈收益(元)1.81 0.82 1.14 1.58 2.08 凈資產收益率凈資產收益率(%)13.1%5.6%7.3%9.3%11.0%市盈率市盈率(現價現價&最新股本攤?。┳钚鹿杀緮偙。?7.56 38.58 27.88 20.03 15.27 -33%-23%-14%-4%5%14%2023-062023-102024-022024-0652周股價走勢圖周股價走勢圖長電科技上證指數 長電科技長電科技(600584)請務
263、必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 2 Table_Forcast 財務預測表財務預測表 資產負債表(百萬資產負債表(百萬元)元)2022A 2023A 2024E 2025E 2026E 利潤表(百萬元)利潤表(百萬元)2022A 2023A 2024E 2025E 2026E 貨幣資金 2,459 7,325 10,649 14,234 17,796 營業總收入營業總收入 33,762 29,661 33,601 38,066 42,707 交易性金融資產 4,316 2,306 2,306 2,306 2,306 營業成本 28,010 25,612
264、28,853 32,120 35,612 應收賬款及票據 3,689 4,185 4,373 4,954 5,558 稅金及附加 90 106 120 136 152 存貨 3,152 3,196 3,516 3,914 4,340 銷售費用 184 206 214 208 233 其他流動資產 527 608 692 781 886 管理費用 900 751 809 870 928 流動資產合計流動資產合計 14,143 17,619 21,535 26,188 30,884 研發費用 1,313 1,440 1,680 2,094 2,349 長期投資 765 695 610 525 44
265、0 EBIT 3,237 1,683 2,321 3,088 3,937 固定資產 19,517 18,744 17,060 16,122 14,942 其他收益 191 214 206 234 262 在建工程 807 1,053 1,381 1,502 1,616 公允價值變動收益-37 18 0 0 0 無形資產及商譽 2,693 2,910 3,083 3,156 3,191 投資收益 128 2 159 180 202 其他非流動資產 1,482 1,558 1,591 1,591 1,591 財務費用 126 192 214 154 90 非流動資產合計非流動資產合計 25,264
266、 24,960 23,725 22,895 21,780 減值損失-223-78 0 0 0 總資產總資產 39,408 42,579 45,260 49,083 52,665 資產處置損益 48 9 31 35 39 短期借款 1,174 1,696 1,696 1,696 1,696 營業利潤營業利潤 3,246 1,520 2,107 2,934 3,848 應付賬款及票據 4,973 5,005 5,685 6,329 7,017 營業外收支 46 2 0 0 0 一年內到期的非流動負債 3,096 1,491 1,537 1,537 1,537 所得稅 60 52 72 100 13
267、1 其他流動負債 1,791 1,490 1,651 2,265 1,711 凈凈利潤利潤 3,231 1,470 2,035 2,833 3,716 流動負債合計流動負債合計 11,033 9,682 10,570 11,828 11,961 少數股東損益 0 0 0 0 0 長期借款 2,721 5,777 5,777 5,777 5,777 歸屬母公司凈利潤歸屬母公司凈利潤 3,231 1,471 2,035 2,833 3,716 應付債券 0 0 0 0 0 租賃債券 562 530 530 530 530 主要財務比率主要財務比率 2022A 2023A 2024E 2025E 2
268、026E 其他非流動負債 448 440 445 445 445 ROE(攤薄,%)13.1%5.6%7.3%9.3%11.0%非流動負債合計非流動負債合計 3,732 6,746 6,751 6,751 6,751 ROA(%)8.4%3.6%4.6%6.0%7.3%總負債總負債 14,765 16,428 17,321 18,578 18,712 ROIC(%)9.9%4.6%6.0%7.4%8.7%實收資本(或股本)1,780 1,789 1,789 1,789 1,789 銷售毛利率(%)17.0%13.7%14.1%15.6%16.6%其他歸母股東權益 22,863 24,277 2
269、6,065 28,630 32,078 EBIT Margin(%)9.6%5.7%6.9%8.1%9.2%歸屬母公司股東權益歸屬母公司股東權益 24,643 26,066 27,854 30,419 33,867 銷售凈利率(%)9.6%5.0%6.1%7.4%8.7%少數股東權益 0 86 86 86 86 資產負債率(%)37.5%38.6%38.3%37.9%35.5%股東權益合計股東權益合計 24,643 26,151 27,940 30,505 33,953 存貨周轉率(次)8.8 8.1 8.6 8.6 8.6 總負債及總權益總負債及總權益 39,408 42,579 45,26
270、0 49,083 52,665 應收賬款周轉率(次)8.5 7.5 7.9 8.2 8.1 總資產周轉周轉率(次)0.9 0.7 0.8 0.8 0.8 現金流量表(百萬現金流量表(百萬元)元)2022A 2023A 2024E 2025E 2026E 凈利潤現金含量 1.9 3.0 3.1 2.6 1.9 經營活動現金流 6,012 4,437 6,264 7,236 7,151 資本支出/收入 11.3%10.3%7.8%8.7%7.6%投資活動現金流-5,358-998-2,398-3,038-2,974 EV/EBITDA 6.56 10.64 9.06 7.26 5.86 籌資活動現
271、金流-1,048 1,411-543-614-614 P/E(現價&最新股本攤薄)17.56 38.58 27.88 20.03 15.27 匯率變動影響及其他 84 22 2 0 0 P/B(現價)2.30 2.18 2.04 1.87 1.68 現金凈增加額-310 4,872 3,325 3,584 3,562 P/S(現價)1.68 1.91 1.69 1.49 1.33 折舊與攤銷 3,793 3,539 3,821 4,083 4,330 EPS-最新股本攤薄(元)1.81 0.82 1.14 1.58 2.08 營運資本變動-1,142-770 250 189-1,000 DPS
272、-最新股本攤薄(元)0.20 0.10 0.15 0.15 0.15 資本性支出-3,817-3,066-2,607-3,303-3,261 股息率(現價,%)0.6%0.3%0.5%0.5%0.5%數據來源數據來源:Wind,公司公告,國泰君安證券研究 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 股票研究股票研究 行業公司更新(公司更新)行業公司更新(公司更新)證券研究報告證券研究報告 11.76 華天科技華天科技(002185)Table_Invest 評級:評級:增持增持 上次評級:增持 Table_Target 目標價格:目標價格:11.76 上次預測:11.73
273、 Table_CurPrice 當前價格:8.15 Table_Date 2024.06.28 Table_Market 交易數據 52 周內股價區間(元)周內股價區間(元)5.85-10.07 總市值(百萬元)總市值(百萬元)26,117 總股本總股本/流通流通A股(百萬股)股(百萬股)3,204/3,204 流通流通 B 股股/H 股(百萬股)股(百萬股)0/0 Table_Balance 資產負債表摘要(LF)股東權益(百萬元)股東權益(百萬元)15,894 每股凈資產(元)每股凈資產(元)4.96 市凈率(現價)市凈率(現價)1.6 凈負債率凈負債率 20.28%Table_PicQu
274、ote Table_Trend 升幅(%)1M 3M 12M 絕對升幅 0%6%-10%相對指數 6%11%9%Table_Report 相關報告 業績回暖信號顯著,先進封裝規模提振2024.04.28 業績逐步回暖,持續推進先進封裝布局2023.09.05 國內封測領先者,成長空間較高 2023.03.22 業績逐步回暖業績逐步回暖,先進封裝,先進封裝全面布局全面布局 table_Authors 舒迪舒迪(分析師分析師)文越文越(分析師分析師)021-38676666 021-38038032 登記編號 S0880521070002 S0880524050001 本報告導讀:本報告導讀:跟隨
275、下游復蘇,跟隨下游復蘇,公司公司稼動率回暖顯著,公司持續開展先進封裝技術,覆蓋稼動率回暖顯著,公司持續開展先進封裝技術,覆蓋 FOPLP、2.5D 等工藝,業績有望持續提振等工藝,業績有望持續提振。投資要點:投資要點:Table_Summary 維持增持評級維持增持評級,上調目標價至上調目標價至 11.76 元元。公司是國內封測龍頭,國內銷售額占比超過 60%,率先受益于國內消費電子復蘇回暖,稼動率持續提升,我們小幅上調公司 2024-2025 年 EPS 業績為 0.24/0.37/0.46(原值 0.24/0.37/0.45)元。參照行業估值 46.8 x PE,考慮公司多點布局先進封裝,
276、華天江蘇、華天南京、Unisem Gopeng 等產能持續落地,成長空間廣闊,給與公司估值水平2024年49x PE,上調目標價至11.76元,維持增持評級。布局布局 FOPLP、3D Matrix 打造成長空間打造成長空間,多產能落地成長可期。多產能落地成長可期。公司持續開展先進封裝技術和工藝研發。華天科技在 CSPT2022 中國半導體封裝測試技術與市場年會上公布其 3D Matrix 平臺,該平臺由 TSV、eSiFo(Fan-out)、3D SIP 三大封裝技術構成,TSV 技術為華天傳統優勢技術;eSiFo 是華天獨有的硅基扇封裝,對標 eWLB,在硅上挖槽取代傳統塑封料;3D Si
277、P(即 eSinC),基于 eSiFO 結合 TSV 技術,基于 eSinC 有不同的實現 SiP 的結構,實現三維集成。借助 3D matrix 平臺,華天有望在 2.5D/3D 封裝中保持優勢。此外,華天設立江蘇盤古,推進 FOPLP 工藝,有望鞏固公司在 FO 封裝領域技術能力,實現低成本、小型化的封裝方案。跟隨募集資金投資項目及華天江蘇、華天上海穩步上量,公司封裝規模將不斷擴大。根據公司披露,2024 年度公司生產經營目標為全年實現營業收入 130 億元。2024 年復蘇回暖,公司稼動率持續回升年復蘇回暖,公司稼動率持續回升。公司 2024 年 Q1 實現營業收入 31.06 億元,同
278、比+38.72%,環比-3.83%,歸母凈利潤 0.57 億元,同比+1.63 億元,環比-60.24%。毛利率端,1Q24 毛利率 8.5%,同比+4.5pcts,利潤水平顯著提升。一季度公司稼動率回暖顯著,跟隨客戶持續導入及需求回暖,公司業績有望持續提升。催化劑催化劑:公司稼動率回暖;新生產基地放量 風險提示:風險提示:公司新產品放量不及預期;市場需求不及預期。Table_Finance 財務摘要(百萬元)財務摘要(百萬元)2022A 2023A 2024E 2025E 2026E 營業收入營業收入 11,906 11,298 13,617 16,257 19,601(+/-)%-1.6%
279、-5.1%20.5%19.4%20.6%凈利潤(歸母)凈利潤(歸母)754 226 774 1,183 1,478(+/-)%-46.7%-70.0%241.9%52.9%24.9%每股凈收益(元)每股凈收益(元)0.24 0.07 0.24 0.37 0.46 凈資產收益率凈資產收益率(%)4.8%1.4%4.7%6.7%7.7%市盈率市盈率(現價現價&最新股本攤?。┳钚鹿杀緮偙。?4.64 115.39 33.75 22.08 17.67 -35%-26%-17%-7%2%11%2023-062023-102024-022024-0652周股價走勢圖周股價走勢圖華天科技深證成指 華天科技華
280、天科技(002185)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 2 Table_Forcast 財務預測表財務預測表 資產負債表(百萬資產負債表(百萬元)元)2022A 2023A 2024E 2025E 2026E 利潤表(百萬元)利潤表(百萬元)2022A 2023A 2024E 2025E 2026E 貨幣資金 5,249 5,469 7,908 10,252 13,033 營業總收入營業總收入 11,906 11,298 13,617 16,257 19,601 交易性金融資產 299 876 876 876 876 營業成本 9,901 10,292
281、 11,252 13,154 15,858 應收賬款及票據 1,702 2,058 2,213 2,642 3,185 稅金及附加 63 70 84 101 121 存貨 2,254 2,126 2,094 2,448 2,951 銷售費用 110 110 126 150 181 其他流動資產 398 613 699 780 885 管理費用 569 608 733 875 1,055 流動資產合計流動資產合計 9,901 11,143 13,790 16,998 20,931 研發費用 708 694 836 998 1,204 長期投資 77 71 82 88 88 EBIT 977 24
282、 1,256 1,780 2,147 固定資產 16,430 16,292 15,747 15,025 14,128 其他收益 468 555 669 799 964 在建工程 1,821 2,876 2,876 2,876 2,876 公允價值變動收益 17 316 0 0 0 無形資產及商譽 1,175 1,214 1,217 1,220 1,223 投資收益 19-5 0 0 0 其他非流動資產 1,567 2,156 2,786 2,786 2,786 財務費用 94 96 181 137 95 非流動資產合計非流動資產合計 21,070 22,609 22,708 21,996 21
283、,101 減值損失-84-63 0 0 0 總資產總資產 30,971 33,752 36,499 38,994 42,032 資產處置損益 197 1 1 2 2 短期借款 2,119 2,405 2,405 2,405 2,405 營業利潤營業利潤 1,077 233 1,075 1,643 2,052 應付賬款及票據 2,770 3,001 3,723 4,569 5,508 營業外收支-7-2 0 0 0 一年內到期的非流動負債 2,219 3,247 4,113 4,113 4,113 所得稅 47-47 107 164 205 其他流動負債 1,044 960 1,231 1,44
284、7 1,744 凈凈利潤利潤 1,023 278 967 1,479 1,847 流動負債合計流動負債合計 8,151 9,612 11,472 12,533 13,770 少數股東損益 269 52 193 296 369 長期借款 2,656 4,032 4,032 4,032 4,032 歸屬母公司凈利潤歸屬母公司凈利潤 754 226 774 1,183 1,478 應付債券 0 0 0 0 0 租賃債券 141 125 125 125 125 主要財務比率主要財務比率 2022A 2023A 2024E 2025E 2026E 其他非流動負債 824 859 858 858 858
285、ROE(攤薄,%)4.8%1.4%4.7%6.7%7.7%非流動負債合計非流動負債合計 3,622 5,016 5,016 5,016 5,016 ROA(%)3.4%0.9%2.8%3.9%4.6%總負債總負債 11,773 14,628 16,487 17,549 18,785 ROIC(%)3.5%0.1%3.7%5.0%5.7%實收資本(或股本)3,204 3,204 3,204 3,204 3,204 銷售毛利率(%)16.8%8.9%17.4%19.1%19.1%其他歸母股東權益 12,585 12,645 13,339 14,477 15,910 EBIT Margin(%)8.
286、2%0.2%9.2%10.9%11.0%歸屬母公司股東權益歸屬母公司股東權益 15,789 15,850 16,544 17,682 19,114 銷售凈利率(%)8.6%2.5%7.1%9.1%9.4%少數股東權益 3,409 3,274 3,467 3,763 4,133 資產負債率(%)38.0%43.3%45.2%45.0%44.7%股東權益合計股東權益合計 19,198 19,124 20,011 21,445 23,247 存貨周轉率(次)4.5 4.7 5.3 5.8 5.9 總負債及總權益總負債及總權益 30,971 33,752 36,499 38,994 42,032 應收
287、賬款周轉率(次)6.9 6.0 6.4 6.7 6.7 總資產周轉周轉率(次)0.4 0.3 0.4 0.4 0.5 現金流量表(百萬現金流量表(百萬元)元)2022A 2023A 2024E 2025E 2026E 凈利潤現金含量 3.8 10.7 5.9 4.0 3.5 經營活動現金流 2,877 2,411 4,603 4,700 5,133 資本支出/收入 42.0%32.8%14.9%12.5%10.3%投資活動現金流-5,329-4,369-2,675-2,033-2,027 EV/EBITDA 8.63 12.77 7.55 5.86 4.69 籌資活動現金流 692 2,105
288、 533-324-324 P/E(現價&最新股本攤薄)34.64 115.39 33.75 22.08 17.67 匯率變動影響及其他 91-12-22 0 0 P/B(現價)1.65 1.65 1.58 1.48 1.37 現金凈增加額-1,669 136 2,439 2,343 2,782 P/S(現價)2.19 2.31 1.92 1.61 1.33 折舊與攤銷 2,319 2,453 2,571 2,747 2,923 EPS-最新股本攤薄(元)0.24 0.07 0.24 0.37 0.46 營運資本變動-496-244 785 197 85 DPS-最新股本攤薄(元)0.03 0.
289、02 0.01 0.01 0.01 資本性支出-5,004-3,707-2,027-2,027-2,027 股息率(現價,%)0.3%0.3%0.2%0.2%0.2%數據來源數據來源:Wind,公司公告,國泰君安證券研究 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 股票研究股票研究 行業公司更新(公司更新)行業公司更新(公司更新)證券研究報告證券研究報告 甬矽電子甬矽電子(688362)Table_Invest 評級:評級:增持增持 上次評級:增持 Table_Target 目標價格:目標價格:44.97 上次預測:43.40 Table_CurPrice 當前價格:19
290、.46 Table_Date 2024.06.28 Table_Market 交易數據 52 周內股價區間(元)周內股價區間(元)15.60-43.60 總市值(百萬元)總市值(百萬元)7,948 總股本總股本/流通流通A股(百萬股)股(百萬股)408/276 流通流通 B 股股/H 股(百萬股)股(百萬股)0/0 Table_Balance 資產負債表摘要(LF)股東權益(百萬元)股東權益(百萬元)2,385 每股凈資產(元)每股凈資產(元)5.84 市凈率(現價)市凈率(現價)3.3 凈負債率凈負債率 78.80%Table_PicQuote Table_Trend 升幅(%)1M 3M
291、12M 絕對升幅-7%-3%-43%相對指數-2%-1%-36%Table_Report 相關報告 業績逐季顯著回暖,先進封裝放量可期2024.04.19 2Q23 收入環比改善,先進封裝一站式推進2023.08.20 周期復蘇帶動稼動率改善,深度受益 Chiplet大趨勢 2023.05.10 深耕先進封裝,聚焦前沿成長 2023.03.22 客戶拓展順利,先進封裝拓寬成長空間客戶拓展順利,先進封裝拓寬成長空間 table_Authors 舒迪舒迪(分析師分析師)文越文越(分析師分析師)021-38676666 021-38038032 登記編號 S0880521070002 S088052
292、4050001 本報告導讀:本報告導讀:公司新客戶拓展順利,跟隨半導體下游市場復蘇,稼動率公司新客戶拓展順利,跟隨半導體下游市場復蘇,稼動率維持高位,二期先進封裝項維持高位,二期先進封裝項目即將通線,看好公司長期發展空間。目即將通線,看好公司長期發展空間。投資要點:投資要點:Table_Summary 維持增持評級維持增持評級,上調目標價,上調目標價至至 44.97 元元。公司堅持中高端先進封裝業務定位,二期項目建設順利推進。公司在包括中國臺灣地區頭部客戶在內的大客戶群取得重大突破,24Q1 業績復蘇顯著??紤]到公司處于業務擴張期,受到折舊、管理等費用等短期拖累,我們下調公司 2024-202
293、6 年 EPS 業績為 0.14/0.70/1.15(原值 0.73/1.03/1.65)元??紤]公司先進封裝一站式交付能力,成長空間廣闊,參考同行可比 6.21 倍PS,給與公司估值水平 2024 年 5.0 x PS,上調目標價至 44.97 元,維持增持評級。公司公司積極拓展核心客戶積極拓展核心客戶,打,打造造“Bumping+CP+FC+FT”一站式交付能”一站式交付能力。力。公司積極布局先進封裝和汽車電子領域,積極布局包括 Bumping、CP、晶圓級封裝、FC-BGA、汽車電子等新的產品線,公司“Bumping+CP+FC+FT”一站式交付能力已形成,bumping 爬坡符合預期,
294、積極布局扇出式封裝 Fanout 及 2.5D/3D 工藝,進一步拓寬公司成長空間??蛻舴矫?,公司在包括中國臺灣地區頭部客戶等大客戶群拓展順利,順利承接臺灣地區客戶產業轉移訂單,跟隨下游需求復蘇節奏,公司 QFN/DFN、FC 等成熟產線維持較高稼動率,價格水位良好。跟隨二期擴產項目釋放,預期公司收入端將快速提升。跟隨行業復蘇,跟隨行業復蘇,2024Q1 業績創歷史新高。業績創歷史新高。公司 2024 一季度實現營業收入 7.27 億元,同比大幅提升 71.11%,歸母凈利潤-0.35 億元,同比改善達+28.91%。主要受到新增投資導致折舊及財務費用上升、研發投入增加及二期項目建設帶來的人員
295、規模擴大影響。隨著公司二期項目產能逐步釋放,費用端壓力減緩,公司利潤水平有望大幅提升。催化劑催化劑:公司稼動率回暖;一站式交付能力形成 風險提示:風險提示:公司新產品放量不及預期;市場需求不及預期。Table_Finance 財務摘要(百萬元)財務摘要(百萬元)2022A 2023A 2024E 2025E 2026E 營業收入營業收入 2,177 2,391 3,673 5,192 6,773(+/-)%6.0%9.8%53.6%41.3%30.5%凈利潤(歸母)凈利潤(歸母)138-93 59 285 471(+/-)%-57.0%-167.5%162.8%385.5%65.2%每股凈收益
296、(元)每股凈收益(元)0.34-0.23 0.14 0.70 1.15 凈資產收益率凈資產收益率(%)5.4%-3.8%2.4%10.7%15.2%市盈率市盈率(現價現價&最新股本攤?。┳钚鹿杀緮偙。?7.43 135.47 27.90 16.89 -54%-38%-21%-5%11%28%2023-062023-102024-022024-0652周股價走勢圖周股價走勢圖甬矽電子上證指數 甬矽電子甬矽電子(688362)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 2 Table_Forcast 財務預測表財務預測表 資產負債表(百萬資產負債表(百萬元)元)20
297、22A 2023A 2024E 2025E 2026E 利潤表(百萬元)利潤表(百萬元)2022A 2023A 2024E 2025E 2026E 貨幣資金 986 1,965 2,818 2,147 3,896 營業總收入營業總收入 2,177 2,391 3,673 5,192 6,773 交易性金融資產 16 0 0 0 0 營業成本 1,700 2,058 2,901 4,072 5,241 應收賬款及票據 337 503 677 798 1,242 稅金及附加 5 6 9 12 16 存貨 321 358 467 633 786 銷售費用 23 30 46 65 68 其他流動資產
298、125 174 185 203 199 管理費用 139 238 366 330 431 流動資產合計流動資產合計 1,785 2,999 4,147 3,781 6,123 研發費用 122 145 223 315 411 長期投資 0 0 0 0 0 EBIT 292-24 245 562 820 固定資產 3,045 3,905 4,606 5,569 6,291 其他收益 105 81 110 156 203 在建工程 1,540 2,145 2,645 3,645 1,645 公允價值變動收益-13 14 0 0 0 無形資產及商譽 90 90 90 90 90 投資收益 0 4 6
299、 8 11 其他非流動資產 1,862 3,192 3,293 3,293 3,293 財務費用 122 161 173 210 240 非流動資產合計非流動資產合計 6,536 9,332 10,634 12,596 11,318 減值損失-2-19 0 0 0 總資產總資產 8,321 12,331 14,781 16,376 17,441 資產處置損益 0 0 0 0 0 短期借款 754 330 330 330 330 營業利潤營業利潤 156-167 72 352 581 應付賬款及票據 640 1,362 1,395 1,590 2,047 營業外收支-19-1 0 0 0 一年內
300、到期的非流動負債 697 623 871 871 871 所得稅 0-33 7 35 58 其他流動負債 206 198 318 447 577 凈凈利潤利潤 137-135 65 316 523 流動負債合計流動負債合計 2,297 2,513 2,915 3,239 3,825 少數股東損益-1-42 7 32 52 長期借款 1,084 3,567 5,567 6,567 6,567 歸屬母公司凈利潤歸屬母公司凈利潤 138-93 59 285 471 應付債券 0 0 0 0 0 租賃債券 1,588 1,673 1,673 1,673 1,673 主要財務比率主要財務比率 2022A
301、 2023A 2024E 2025E 2026E 其他非流動負債 406 580 640 640 640 ROE(攤薄,%)5.4%-3.8%2.4%10.7%15.2%非流動負債合計非流動負債合計 3,079 5,820 7,880 8,880 8,880 ROA(%)2.1%-1.3%0.5%2.0%3.1%總負債總負債 5,376 8,333 10,795 12,119 12,705 ROIC(%)4.1%-0.2%1.8%3.7%5.2%實收資本(或股本)408 408 408 408 408 銷售毛利率(%)21.9%13.9%21.0%21.6%22.6%其他歸母股東權益 2,14
302、6 2,041 2,023 2,263 2,688 EBIT Margin(%)13.4%-1.0%6.7%10.8%12.1%歸屬母公司股東權益歸屬母公司股東權益 2,554 2,449 2,430 2,670 3,096 銷售凈利率(%)6.3%-5.7%1.8%6.1%7.7%少數股東權益 391 1,549 1,556 1,587 1,640 資產負債率(%)64.6%67.6%73.0%74.0%72.8%股東權益合計股東權益合計 2,945 3,998 3,986 4,258 4,735 存貨周轉率(次)5.7 6.1 7.0 7.4 7.4 總負債及總權益總負債及總權益 8,32
303、1 12,331 14,781 16,376 17,441 應收賬款周轉率(次)6.0 5.8 6.3 7.2 6.8 總資產周轉周轉率(次)0.3 0.2 0.3 0.3 0.4 現金流量表(百萬現金流量表(百萬元)元)2022A 2023A 2024E 2025E 2026E 凈利潤現金含量 6.5-11.5 17.4 6.1 4.6 經營活動現金流 900 1,071 1,022 1,726 2,161 資本支出/收入 82.7%134.0%57.2%59.7%1.5%投資活動現金流-1,832-3,176-2,191-3,092-89 EV/EBITDA 16.31 28.97 11.
304、87 8.97 6.14 籌資活動現金流 1,499 2,575 2,026 694-323 P/E(現價&最新股本攤薄)57.43 135.47 27.90 16.89 匯率變動影響及其他 1-5-4 0 0 P/B(現價)3.11 3.25 3.27 2.98 2.57 現金凈增加額 567 465 853-671 1,749 P/S(現價)3.65 3.32 2.16 1.53 1.17 折舊與攤銷 445 539 899 1,138 1,378 EPS-最新股本攤薄(元)0.34-0.23 0.14 0.70 1.15 營運資本變動 173 530-141 19-7 DPS-最新股本攤
305、薄(元)0.10 0.00 0.11 0.11 0.11 資本性支出-1,801-3,203-2,100-3,100-100 股息率(現價,%)0.5%0.0%0.6%0.6%0.6%數據來源數據來源:Wind,公司公告,國泰君安證券研究 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 股票研究股票研究 行業公司更新(公司更新)行業公司更新(公司更新)證券研究報告證券研究報告 晶方科技晶方科技(603005)Table_Invest 評級:評級:增持增持 上次評級:增持 Table_Target 目標價格:目標價格:26.40 上次預測:30.41 Table_CurPric
306、e 當前價格:20.38 Table_Date 2024.06.28 Table_Market 交易數據 52 周內股價區間(元)周內股價區間(元)13.73-25.85 總市值(百萬元)總市值(百萬元)13,300 總股本總股本/流通流通A股(百萬股)股(百萬股)653/652 流通流通 B 股股/H 股(百萬股)股(百萬股)0/0 Table_Balance 資產負債表摘要(LF)股東權益(百萬元)股東權益(百萬元)4,134 每股凈資產(元)每股凈資產(元)6.33 市凈率(現價)市凈率(現價)3.2 凈負債率凈負債率-54.39%Table_PicQuote Table_Trend 升
307、幅(%)1M 3M 12M 絕對升幅 10%14%3%相對指數 14%16%10%Table_Report 相關報告 2Q23 業績環比顯著改善,車規 CIS 封裝和GaN 引領增長 2023.09.04 2Q23 業績環比復蘇,汽車 CIS 業務高增長2023.07.24 收購 VisIC 布局車規氮化鎵業務,打開成長空間 2023.03.04 汽車電子快速放量,光學布局未來可期汽車電子快速放量,光學布局未來可期 table_Authors 舒迪舒迪(分析師分析師)文越文越(分析師分析師)021-38676666 021-38038032 登記編號 S0880521070002 S08805
308、24050001 本報告導讀:本報告導讀:晶方科技具有國內稀缺車規級產品晶方科技具有國內稀缺車規級產品 12 英寸晶圓級硅英寸晶圓級硅通孔封裝技術量產線,受益于車通孔封裝技術量產線,受益于車載攝像頭需求提升快速放量,疊加光學器件布局進展順利,未來放量可期。載攝像頭需求提升快速放量,疊加光學器件布局進展順利,未來放量可期。投資要點:投資要點:Table_Summary 維持“增持”評級,維持“增持”評級,下調下調目標價目標價至至 26.4 元。元。公司為全球影像傳感器用晶圓級芯片尺寸封裝的先行者與引領者,具有國內車規級 12 英寸TSV-CIS 封裝產線,充分受益于汽車智能化浪潮。受消費電子未完
309、全復蘇,8 英寸 CIS 產線競爭較激烈拖累,我們小幅下調公司 2024-2025年 EPS 至 0.48/0.70 元(前值為 0.61/0.85),并新增 2026 年 EPS 0.94元。公司為車載攝像頭 CIS 封測稀缺標的,拓展布局光學器件,參考行業可比 46.8 倍 PE,給予 2024 年 55 倍 PE,下調目標價至 26.4 元。車載電子迎來放量,光學器件構筑新成長曲線。車載電子迎來放量,光學器件構筑新成長曲線。公司在 2014 年上市之初,即為中國大陸首家、全球第二大能夠為影像傳感器芯片提供WLCSP 量產的封測廠家,深度綁定大客戶,涵蓋索尼、豪威、格科微、斯特威等全球知名
310、傳感器設計企業,2023 年 CR5 客戶占比67.41%,保障了公司較高的毛利率水平。公司從 2018 年 Q3 通過汽車電子終端客戶認證,并于 2019 年導入量產,前后驗證時間長達 5 年左右,現已成為全球領先的車規級基于 TSV 技術的 12 英寸 CIS 封測廠家。隨著汽車智能化浪潮推進,根據 Yole 數據,203 年單車 CIS 數量預計從 2024 年 4 顆躍升至 8.9 顆。從出貨量看,2023 年,豪威首次超越安森美登頂汽車CIS 榜首,通過綁定大客戶及領先的 TSV-stack工藝,公司有望順利承接下游需求。光學器件方面,公司 2023 年并表荷蘭 Anteryon,順
311、利承接其光學器件業務。Anteryon 前身為荷蘭飛利浦光學電子事業部,其混合鏡頭可用于高精度光刻機、農業用機器人傳感器、低功率激光器等產品,是光刻機巨頭 ASML 的光學供應商之一,并逐漸從鏡頭向模組延伸。跟隨荷蘭分公司投建放量,高精度光刻機用光學模組有望跟隨光刻機高增速訂單而放量。此外,Anteryon的晶圓級微型光學器件(WLO)業務已順利搬遷至蘇州,在車載燈具中已逐步增長。下游消費復蘇,下游消費復蘇,1Q24 增速已現。增速已現。24Q1 公司收入 2.41 億,同比+7.9%,歸母凈利潤 0.49 億元,同比+72.4%,增速位居行業前列,主要受益于消費電子補庫存及汽車電子增速拉動。
312、汽車電子產線高稼動率有望延續,H2 消費電子有望受新機拉動,公司全年業績有望快速發展。催化催化劑劑:需求逐步回暖;汽車電子放量提速 風險提示風險提示:半導體景氣度恢復不及預期;產品驗證不及預期。Table_Finance 財務摘要(百萬元)財務摘要(百萬元)2022A 2023A 2024E 2025E 2026E 營業收入營業收入 1,106 913 1,268 1,766 2,281(+/-)%-21.6%-17.4%38.8%39.3%29.2%凈利潤(歸母)凈利潤(歸母)228 150 312 457 616(+/-)%-60.3%-34.3%107.7%46.5%35.0%每股凈收益
313、(元)每股凈收益(元)0.35 0.23 0.48 0.70 0.94 凈資產收益率凈資產收益率(%)5.7%3.7%7.2%9.7%11.9%市盈率市盈率(現價現價&最新股本攤?。┳钚鹿杀緮偙。?8.22 88.61 42.67 29.13 21.59 -31%-18%-6%6%18%31%2023-062023-102024-022024-0652周股價走勢圖周股價走勢圖晶方科技上證指數 晶方科技晶方科技(603005)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 2 Table_Forcast 財務預測表財務預測表 資產負債表(百萬資產負債表(百萬元)元)2
314、022A 2023A 2024E 2025E 2026E 利潤表(百萬元)利潤表(百萬元)2022A 2023A 2024E 2025E 2026E 貨幣資金 2,294 2,555 2,964 3,589 4,357 營業總收入營業總收入 1,106 913 1,268 1,766 2,281 交易性金融資產 0 0 0 0 0 營業成本 618 565 748 1,009 1,271 應收賬款及票據 89 100 113 157 203 稅金及附加 11 10 12 16 21 存貨 110 109 149 201 254 銷售費用 8 8 8 12 15 其他流動資產 20 17 18
315、20 22 管理費用 68 73 78 109 140 流動資產合計流動資產合計 2,513 2,780 3,244 3,967 4,835 研發費用 193 136 190 265 343 長期投資 362 327 327 327 327 EBIT 198 143 286 432 589 固定資產 884 944 1,073 926 729 其他收益 42 40 55 77 99 在建工程 290 249 49 9 9 公允價值變動收益 0 0 0 0 0 無形資產及商譽 394 387 381 375 369 投資收益-2-29 0 0 0 其他非流動資產 139 136 130 126
316、122 財務費用-62-48-47-55-67 非流動資產合計非流動資產合計 2,069 2,044 1,960 1,763 1,556 減值損失-53-19 0 0 0 總資產總資產 4,582 4,824 5,204 5,730 6,392 資產處置損益 0 0 0 0 0 短期借款 11 5 5 5 5 營業利潤營業利潤 258 161 332 487 657 應付賬款及票據 190 201 267 360 453 營業外收支-16 0 0 0 0 一年內到期的非流動負債 104 207 206 206 206 所得稅 8 5 11 16 22 其他流動負債 122 76 147 201
317、 257 凈凈利潤利潤 234 156 321 471 635 流動負債合計流動負債合計 426 489 624 772 921 少數股東損益 5 6 10 14 19 長期借款 3 101 101 101 101 歸屬母公司凈利潤歸屬母公司凈利潤 228 150 312 457 616 應付債券 0 0 0 0 0 租賃債券 35 34 34 34 34 主要財務比率主要財務比率 2022A 2023A 2024E 2025E 2026E 其他非流動負債 96 79 69 69 69 ROE(攤薄,%)5.7%3.7%7.2%9.7%11.9%非流動負債合計非流動負債合計 135 214 2
318、04 204 204 ROA(%)5.2%3.3%6.4%8.6%10.5%總負債總負債 561 703 828 976 1,125 ROIC(%)4.6%3.1%5.9%8.2%10.2%實收資本(或股本)653 653 653 653 653 銷售毛利率(%)44.2%38.2%41.0%42.9%44.3%其他歸母股東權益 3,334 3,436 3,680 4,046 4,538 EBIT Margin(%)17.9%15.7%22.5%24.5%25.8%歸屬母公司股東權益歸屬母公司股東權益 3,987 4,089 4,333 4,698 5,191 銷售凈利率(%)21.1%17.
319、1%25.3%26.6%27.8%少數股東權益 35 33 42 57 76 資產負債率(%)12.2%14.6%15.9%17.0%17.6%股東權益合計股東權益合計 4,021 4,122 4,375 4,755 5,267 存貨周轉率(次)4.7 5.2 5.8 5.8 5.6 總負債及總權益總負債及總權益 4,582 4,824 5,204 5,730 6,392 應收賬款周轉率(次)12.0 10.3 12.7 14.0 13.5 總資產周轉周轉率(次)0.2 0.2 0.3 0.3 0.4 現金流量表(百萬現金流量表(百萬元)元)2022A 2023A 2024E 2025E 20
320、26E 凈利潤現金含量 1.7 2.0 2.0 1.8 1.6 經營活動現金流 392 306 622 821 996 資本支出/收入 11.3%23.1%15.8%5.7%4.4%投資活動現金流-631-1,517-201-100-100 EV/EBITDA 27.58 37.94 18.84 13.80 10.36 籌資活動現金流 3 125-10-96-128 P/E(現價&最新股本攤薄)58.22 88.61 42.67 29.13 21.59 匯率變動影響及其他 5 6-1 0 0 P/B(現價)3.34 3.25 3.07 2.83 2.56 現金凈增加額-230-1,080 41
321、0 625 768 P/S(現價)12.02 14.56 10.49 7.53 5.83 折舊與攤銷 164 176 281 297 307 EPS-最新股本攤薄(元)0.35 0.23 0.48 0.70 0.94 營運資本變動-5-18 83 49 49 DPS-最新股本攤薄(元)0.07 0.05 0.10 0.14 0.19 資本性支出-125-211-200-100-100 股息率(現價,%)0.3%0.2%0.5%0.7%0.9%數據來源數據來源:Wind,公司公告,國泰君安證券研究 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 股票研究股票研究 行業公司更新(
322、公司更新)行業公司更新(公司更新)證券研究報告證券研究報告 華峰測控華峰測控(688200)Table_Invest 評級:評級:增持增持 上次評級:增持 Table_Target 目標價格:目標價格:181.20 上次預測:225.44 Table_CurPrice 當前價格:91.75 Table_Date 2024.06.28 Table_Market 交易數據 52 周內股價區間(元)周內股價區間(元)70.44-167.63 總市值(百萬元)總市值(百萬元)12,427 總股本總股本/流通流通A股(百萬股)股(百萬股)135/135 流通流通 B 股股/H 股(百萬股)股(百萬股)0
323、/0 Table_Balance 資產負債表摘要(LF)股東權益(百萬元)股東權益(百萬元)3,343 每股凈資產(元)每股凈資產(元)24.69 市凈率(現價)市凈率(現價)3.7 凈負債率凈負債率-61.84%Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 絕對升幅-15%-12%-40%相對指數-10%-10%-33%Table_Report 相關報告 上半年業績承壓,STS8600 打開 SoC 成長空間 2023.09.04 上半年業績達預期,功率和 SoC 測試加速放量 2022.09.13 市場復蘇訂單回暖,新品放量在即市場復蘇訂單回暖,新品放
324、量在即 table_Authors 舒迪舒迪(分析師分析師)文越文越(分析師分析師)021-38676666 021-38038032 登記編號 S0880521070002 S0880524050001 本報告導讀:本報告導讀:公司是國內領先半導體測試設備公司,跟隨行業回暖業績有望觸底反彈,延伸公司是國內領先半導體測試設備公司,跟隨行業回暖業績有望觸底反彈,延伸 SOC測試機領域擴寬市場空間,利潤有望持續改善。測試機領域擴寬市場空間,利潤有望持續改善。投資要點:投資要點:Table_Summary 維持“增持”評級,維持“增持”評級,下調下調目標價目標價至至 181.2 元。元。公司為國內半
325、導體測試設備龍頭,受消費電子復蘇拉動訂單率先回暖,跟隨新品釋放,公司在 SOC 及存儲器測試等高端領域滲透率有望提升。受下游復蘇持續性尚不明朗影響,我們下調公司 2024-2025 年 EPS 3.02/4.05 元(前值為 3.84/4.98),并新增 2026 年 EPS 4.96 元??紤]公司為國內測試機領域稀缺性標的,導入高性能計算、存儲等高成長領域,未來空間廣闊,參考可比行業估值 46.8 倍 PE,給予 2024 年 60 倍 PE,下調目標價至 181.2 元。專注半導體自動化測試領域,新品放量拉動公司持續增長。專注半導體自動化測試領域,新品放量拉動公司持續增長。公司專注于半導體
326、自動化測試系統領域,以自主研發的產品實現了模擬及混合信號類半導體自動化測試系統的進口替代,同時不斷拓展在氮化鎵、碳化硅以及 IGBT 等功率分立器件和功率模塊類半導體測試領域的覆蓋范圍。公司以模擬和功率集成電路測試 8200 機型為主力,跟隨下游模擬復蘇而放量,應用于混合信號和電源管理類測試領域的 8300機型逐漸成熟,有望在封測廠快速起量。公司于 2023 年推出新一代8600 機型,從傳統模擬拓展到數?;旌?、SOC 等領域,聚焦數據中心、高性能計算、汽車電子等,目前在導入驗證階段,公司市場空間有望提升 1 倍以上。半導體設備需求觸底,公司復蘇信號已至。半導體設備需求觸底,公司復蘇信號已至。
327、2024 年 Q1 公司實現營業收入1.37億元,同比-31.86%;實現歸母凈利潤0.23億元,同比-68.62%,毛利率 75.1%,同比改善。公司確認收入晚于訂單約 1 季度,Q1 發貨轉銷仍受到行業景氣度壓制。公司 2024 年月度訂單環比改善,合同負債及存貨與年初比均呈現上漲,跟隨下游模擬客戶逐步走出周期底部,公司全年主力產品有望實現較快增長。催化劑:催化劑:需求逐步回暖;主力訂單放量提速 風風險提示:險提示:半導體景氣度恢復不及預期;產品驗證不及預期。Table_Finance 財務摘要(百萬元)財務摘要(百萬元)2022A 2023A 2024E 2025E 2026E 營業收入
328、營業收入 1,071 691 885 1,082 1,276(+/-)%21.9%-35.5%28.0%22.3%18.0%凈利潤(歸母)凈利潤(歸母)526 252 409 549 672(+/-)%19.9%-52.2%62.5%34.2%22.3%每股凈收益(元)每股凈收益(元)3.89 1.86 3.02 4.05 4.96 凈資產收益率凈資產收益率(%)16.8%7.6%11.2%13.5%14.7%市盈率市盈率(現價現價&最新股本攤?。┳钚鹿杀緮偙。?3.61 49.38 30.38 22.64 18.51 -54%-42%-29%-17%-4%8%2023-062023-1020
329、24-022024-0652周股價走勢圖周股價走勢圖華峰測控上證指數 華峰測控華峰測控(688200)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 2 Table_Forcast 財務預測表財務預測表 資產負債表(百萬資產負債表(百萬元)元)2022A 2023A 2024E 2025E 2026E 利潤表(百萬元)利潤表(百萬元)2022A 2023A 2024E 2025E 2026E 貨幣資金 1,969 2,027 2,313 2,685 3,079 營業總收入營業總收入 1,071 691 885 1,082 1,276 交易性金融資產 0 94 94
330、94 94 營業成本 248 190 216 219 259 應收賬款及票據 430 327 344 421 497 稅金及附加 14 9 11 13 15 存貨 188 142 187 189 224 銷售費用 98 114 79 90 99 其他流動資產 20 52 51 51 52 管理費用 61 55 59 66 70 流動資產合計流動資產合計 2,607 2,642 2,988 3,440 3,945 研發費用 118 132 133 162 179 長期投資 0 0 0 0 0 EBIT 534 215 416 566 695 固定資產 419 402 351 300 249 其他
331、收益 28 24 27 32 38 在建工程 0 0 0 0 50 公允價值變動收益 9-3 0 0 0 無形資產及商譽 28 29 30 31 32 投資收益 5 2 2 2 3 其他非流動資產 317 395 448 476 504 財務費用-47-51-41-46-54 非流動資產合計非流動資產合計 765 825 829 807 835 減值損失-25 0 0 0 0 總資產總資產 3,371 3,467 3,817 4,247 4,780 資產處置損益 0 0 0 0 0 短期借款 0 0 0 0 0 營業利潤營業利潤 596 265 456 612 749 應付賬款及票據 62 2
332、2 25 25 30 營業外收支 3 0 0 0 0 一年內到期的非流動負債 2 2 3 3 3 所得稅 72 14 47 63 77 其他流動負債 147 86 122 139 164 凈凈利潤利潤 526 252 409 549 672 流動負債合計流動負債合計 211 110 150 168 197 少數股東損益 0 0 0 0 0 長期借款 0 0 0 0 0 歸屬母公司凈利潤歸屬母公司凈利潤 526 252 409 549 672 應付債券 0 0 0 0 0 租賃債券 3 1 1 1 1 主要財務比率主要財務比率 2022A 2023A 2024E 2025E 2026E 其他非流
333、動負債 18 24 25 25 25 ROE(攤薄,%)16.8%7.6%11.2%13.5%14.7%非流動負債合計非流動負債合計 21 24 25 25 25 ROA(%)16.7%7.4%11.2%13.6%14.9%總負債總負債 232 134 176 193 223 ROIC(%)14.9%6.1%10.2%12.5%13.7%實收資本(或股本)91 135 135 135 135 銷售毛利率(%)76.9%72.5%75.6%79.8%79.7%其他歸母股東權益 3,048 3,197 3,506 3,918 4,422 EBIT Margin(%)49.9%31.2%47.0%52.3%54.5%歸屬母公司股東權益歸屬母公司股東權益 3,139 3,332 3,642 4,054 4,557 銷售凈利率(%)49.2%36.4%46.2%50.7%52.6%少數股東權益 0