《電子行業報告·算力芯片系列:大算力時代的先進封裝投資機遇-230403(56頁).pdf》由會員分享,可在線閱讀,更多相關《電子行業報告·算力芯片系列:大算力時代的先進封裝投資機遇-230403(56頁).pdf(56頁珍藏版)》請在三個皮匠報告上搜索。
1、大算力時代的先進封裝投資機遇大算力時代的先進封裝投資機遇劉雙鋒劉雙鋒 電子行業首席分析師電子行業首席分析師執業證書編號:執業證書編號:S1440520070002S1440520070002發布日期:2023年4月3日范彬泰范彬泰 電子行業首席分析師電子行業首席分析師執業證書編號:執業證書編號:S1440521120001S1440521120001證券研究報告證券研究報告 電子行業報告電子行業報告 算力芯片系列算力芯片系列本報告由中信建投證券股份有限公司在中華人民共和國(僅為本報告目的,不包括香港、澳門、臺灣)提供。在遵守適用的法律法規情況下,本報告亦可能由中信建投(國際)證券有限公司在香港
2、提供。同時請參閱最后一頁的重要聲明。核心觀點大算力應用如高性能服務器大算力應用如高性能服務器(HPC)和自動駕駛和自動駕駛(ADAS)取代手機取代手機/PC成為新一輪半導體周期驅動力成為新一輪半導體周期驅動力,后摩爾定律時代高端封裝工藝迭代成為新的發展趨勢后摩爾定律時代高端封裝工藝迭代成為新的發展趨勢。以以Chiplet為代表的為代表的2.5D/3D封裝形式成為大芯封裝形式成為大芯片標配片標配,TSV/RDL/Fan-out等高端封裝技術帶來封裝環節價值占比提升等高端封裝技術帶來封裝環節價值占比提升。全球晶圓代工龍頭臺積電打全球晶圓代工龍頭臺積電打造全球造全球2.5D/3D先進封裝工藝標桿先進
3、封裝工藝標桿,未來幾年封裝市場增長主要受益于先進封裝的擴大未來幾年封裝市場增長主要受益于先進封裝的擴大。先進封裝市場先進封裝市場的快速增長的快速增長,有望成為國內晶圓代工廠商有望成為國內晶圓代工廠商(中芯國際中芯國際)與封測廠商與封測廠商(長電科技長電科技、通富微電和深科技通富微電和深科技)的的新一輪成長驅動力新一輪成長驅動力。OXkYjWPWjXiXoNmPsQ9PaO6MnPrRnPsRlOoOrNkPnNtP9PqRrONZnQtMMYqNqO報告核心觀點摘要1、應用:大算力應用如高性能服務器(、應用:大算力應用如高性能服務器(HPC)和自動駕駛()和自動駕駛(ADAS)取代手機)取代手
4、機/PC成為新一輪半導體周成為新一輪半導體周期驅動力,后摩爾定律時代高端封裝工藝迭代成為新的發展趨勢。期驅動力,后摩爾定律時代高端封裝工藝迭代成為新的發展趨勢。以臺積電下游應用來看,HPC的收入增速從2020年Q3超過手機后保持持續領先,對應的營收占比在在2022年Q1首次超過手機成為臺積電下游第一大應用,相比之下封測廠商在高價值量的運算類電子占比僅為16%。我們認為隨著大算力需求提升,先進封裝替代先進制程成為降低單位算力成本的最佳方案,進而拉高運算電子在封測廠商的價值量。2、工藝:以工藝:以Chiplet為代表的為代表的2.5D/3D封裝形式成為大芯片標配,封裝形式成為大芯片標配,TSV/R
5、DL/Fan-out等高端封裝技術帶等高端封裝技術帶來封裝環節價值占比提升來封裝環節價值占比提升。半導體價值量的增長下游從手機/PC向高算力的HPC和ADAS轉移,封裝工藝開始向Chiplet為代表的2.5D/3D封裝轉移,從封裝工藝流程來看,晶圓代工廠基于制造環節的的優勢擴展至TSV工藝,封測廠參與較多的是RDL和Fan-out等封裝工藝,隨著高算力芯片整體封測市場擴容,封測廠商逐步擴大2.5D和3D封測布局。3、市場:全球晶圓代工龍頭臺積電打造全球市場:全球晶圓代工龍頭臺積電打造全球2.5D/3D先進封裝工藝標桿,未來幾年封裝市場增長主要受先進封裝工藝標桿,未來幾年封裝市場增長主要受益于先
6、進封裝的擴大益于先進封裝的擴大。目前先進封裝營收規模最大是晶圓代工龍頭臺積電,預計2022年先進封裝貢獻了53億美元,全球封測龍頭日月光和安靠都推出了3D封測工藝平臺,積極搶占先進封裝的份額。預計2027年先進封裝市場規模增至651億美元,2021-2027年CAGR達到9.6%,先進封裝成為大算力時代封裝廠商新的增長動能。4、建議關注標的:、建議關注標的:中芯國際(國內邏輯芯片代工龍頭,Q2稼動率見底,行業周期反轉在即)長電科技(2H22推出XDFOI為代表的2.5D/3D封裝工藝平臺)通富微電(綁定AMD推出GPU/CPU/ASIC芯片chiplet解決方案)深科技(存儲封測龍頭,能夠實現
7、8層和16層存儲芯片堆疊工藝)目錄一、應用:手機封裝工藝一、應用:手機封裝工藝-汽車封裝工藝汽車封裝工藝-HPC二、工藝:先進封裝與二、工藝:先進封裝與2.5D/3D封裝關鍵工藝封裝關鍵工藝三、空間:行業規模與龍頭三、空間:行業規模與龍頭四、供給:國內晶圓廠與封裝廠四、供給:國內晶圓廠與封裝廠 2022年海外與中國大陸的邏輯類IC封測廠商實現雙位數增長2022年全球海外前十大封測廠商營收合計達到277億美元,yoy+9%,邏輯IC封測和測試廠商成長明顯,DDIC類封測公司受到行業周期下滑較多。2022 年中國大陸前十大封測廠商營收合計達到765億元人民幣,yoy+14%,邏輯IC封測和專業測試
8、廠商實現大幅增長。圖表:海內外封測大廠營業收入圖表:海內外封測大廠營業收入資料來源:各公司公告,中信建投,注:深科技、晶方科技、頎中科技2022年營收是年化所得,其余來自公司公告封測類型封測類型國際大廠國際大廠證券代碼證券代碼2021年年2022年年yoy%封測類型封測類型國內龍頭國內龍頭證券代碼證券代碼2021年年2022年年yoy%邏輯類日月光3711.TW11,713 13,066 12%邏輯IC長電科技600584.SH30,502 33,762 11%邏輯類安靠AMKR.O6,138 7,092 16%邏輯IC通富微電002156.SZ15,812 21,429 36%存儲類力成62
9、39.TW2,995 3,000 0%邏輯IC華天科技002185.SZ12,097 11,906 -2%CIS精材科技3374.TWO 274 276 1%邏輯IC甬夕電子688362.SH2,055 2,184 6%DDIC頎邦科技6147.TWO973 859 -12%存儲IC深科技000021.SZ2,885 3,058 6%DDIC南茂科技8150.TW984 844 -14%CIS封測晶方科技603005.SH1,411 1,145 -19%測試類京元電子2449.TW1,211 1,317 9%DDIC頎中科技A22097.SH1,320 1,372 4%測試類欣銓3264.TW
10、O426 518 22%DDIC匯成股份688403.SH796 940 18%測試類夕格6257.TW596 722 21%測試類偉測科技688372.SH493 733 49%25,309 27,694 9%67,372 76,529 14%營業收入 單位:US$M營業收入 單位:RMB¥M合計合計合計合計 2022年Q1開始HPC超越手機成為半導體第一大需求驅動力2020年第三季度臺積電HPC的收入增速首次超過手機應用后持續保持領先,營收占比也在2022年第一季度超過智能手機,成為邏輯芯片領域最重要的成長驅動力。2020年第三季度開始,汽車電子領域增速持續走高,在臺積電所有下游應用領域中
11、保持最高的成長速度。資料來源:臺積電,中信建投圖表:圖表:2018-2020年臺積電手機與年臺積電手機與HPC收入占比收入占比-50%-30%-10%10%30%50%70%90%110%130%150%手機HPC物聯網汽車消費電子其它25%30%35%40%45%50%55%手機高性能計算(HPC)資料來源:臺積電,中信建投圖表:圖表:2019-2020年臺積電各應用領域營收增速年臺積電各應用領域營收增速 HPC封裝價值并未流向OSAT廠商,主要由晶圓代工廠承接 全球封測廠商排名前兩位的是日月光投控與安靠,按照下游應用占比來看,手機依然是封測領域占比最高的,營收占比高達40-50%,與晶圓代
12、工龍頭臺積電的晶圓代工應用占比匹配。計算領域日月光與安靠的營收占比均為16%,明顯低于HPC領域晶圓代工環節超過40%的營收占比,可見HPC領域的封測并未由OSAT廠商承接,而是留在臺積電等聚焦先進制程代工的晶圓廠體內。圖表:日月光投控(包含夕品)封測下游應用占比圖表:日月光投控(包含夕品)封測下游應用占比%圖表:圖表:2021年安靠封測下游應用占比年安靠封測下游應用占比%53%52%53%52%52%53%53%53%14%15%15%16%16%16%16%16%33%33%32%32%32%31%31%31%0%10%20%30%40%50%60%70%80%90%100%21Q121Q
13、221Q321Q422Q122Q222Q322Q4通訊電腦汽車、消費電子及其他資料來源:日月光,中信建投資料來源:安靠,中信建投 ChatGPT引領算力新時代,HPC封裝成OSAT廠商必爭之地 2019年開啟的5G手機浪潮推動了射頻(RF)封裝工藝向SiP和AiP/AOP領域發展,攝像頭升級也拉動了CIS的封裝需求爆發。隨著5G手機滲透率逐步飽和,服務器(HPC)需求成為半導體行業新的驅動力,不同于智能手機追求輕薄和微縮的追求,服務器芯片更注重算力提升,以Chiplet為代表的2.5D和3D封裝工藝成為封裝需求的重要引擎。圖表:智能手機核心元件的封裝工藝圖表:智能手機核心元件的封裝工藝圖表:圖
14、表:AMD小芯片(小芯片(Chiplet)設計與先進封裝)設計與先進封裝資料來源:安靠,中信建投資料來源:AMD,中信建投 汽車芯片封裝趨勢:Fan-out、SiP等先進封裝需求增長在汽車領域,先進的駕駛員輔助系統(ADAS)、電氣化和虛擬駕駛艙等智能化升級,對于先進封裝的需求快速增加,尤其是ADAS對于大算力芯片使用量大幅提升,帶動2.5D/3D封裝需求。汽車電子是SiP封裝的重要應用場景,SIP封裝為汽車客戶提供一個平臺,將微處理器和其他功能模塊如SerDes、PMICs、存儲、MEMS傳感器等整合在一起成為完整的控制系統,在ECU、ABS、方向盤控制系統、座艙娛樂系統等各個單元得到采用。
15、扇出形封裝(Fan-out)已經在汽車毫米波雷達中得到了應用,在77GHz毫米波雷達上的性能已被證明優于FCBGA等其他封裝形式,因為RDL能夠實現低損耗布線和卓越的RF性能。圖表:汽車電子常用封裝方案圖表:汽車電子常用封裝方案資料來源:安靠,中信建投圖表:圖表:Molded Cavity和和Multi-sensor 集成光學傳感器封裝集成光學傳感器封裝資料來源:Chip Scale Review,中信建投 一、應用:手機封裝工藝一、應用:手機封裝工藝-汽車封裝工藝汽車封裝工藝-HPC二、工藝:先進封裝與二、工藝:先進封裝與2.5D/3D封裝關鍵工藝封裝關鍵工藝目錄三、空間:行業規模與龍頭三、
16、空間:行業規模與龍頭四、供給:國內晶圓廠與封裝廠四、供給:國內晶圓廠與封裝廠 AI應用對性能的極致追求與先進封裝技術的發展相輔相成除了除了AI芯片架構本身的進展外,更高性能的芯片往往離不開先進封裝芯片架構本身的進展外,更高性能的芯片往往離不開先進封裝。在AI運算中,神經網絡參數(權重、偏差、超參數和其他)需要存儲在內存中,常規存儲器與處理器之間的數據搬運速度慢,成為運算速度提升的瓶頸,且將數據搬運的功耗高。目前AI芯片通過NMP(近內存處理器)和PIM(存內處理)的架構設計降低上述限制。例如NMP包括2.5D封裝邏輯芯片與HBM,3D封裝邏輯芯片與堆疊的DRAM(HMC)。異構集成突破先進制程
17、的限制,降低單位算力成本:異構集成突破先進制程的限制,降低單位算力成本:在上述方案中,DRAM、邏輯和其他模塊來自不同的工藝節點,并使用先進封裝技術進行連接,形成異構集成。隨著人工智能架構變得越來越普遍,未來AI芯片還將集成來自其他領域(如模擬、射頻和光子學)的模塊,以及不同的工藝節點,滿足更多的應用需求。圖表:圖表:AI封裝架構封裝架構圖表:圖表:AI計算“內存墻”計算“內存墻”NMP:邏輯芯片與:邏輯芯片與HBM 2.5D封裝封裝NMP:邏輯芯片與:邏輯芯片與HBC 3D封裝封裝多芯片多芯片PIM加速器加速器邏輯、存儲未來與其他領域模塊邏輯、存儲未來與其他領域模塊形成的異構集成芯片形成的異
18、構集成芯片資料來源:知存科技,中信建投資料來源:Chip Scale Review,中信建投 Chiplet成為后摩爾時代發展趨勢后摩爾時代后摩爾時代Chiplet封裝為芯片制造提供了性能與成本平衡封裝為芯片制造提供了性能與成本平衡的最佳方案。的最佳方案。隨著半導體工藝尺寸進一步縮小,集成電路制造面臨的挑戰日益增大,摩爾定律日趨放緩,單位晶體管的成本不降反升,應用先進制程的芯片研發費用大幅增長。Chiplet即“小芯片”,是指預先制造好、具有特定功能、可組合集成的晶片(Die)。Chiplet技術背景下,可以將大型單片芯片劃分為多個相同或者不同的小芯片,這些小芯片可以使用相同或者不同的工藝節點
19、制造,再通過跨芯片互聯和封裝技術進行封裝級別集成,以在功率、性能和成本方面找到優化的平衡。圖表:將大芯片轉換為圖表:將大芯片轉換為Chiplets進行異構集成進行異構集成圖表:先進制程芯片的研發費用大幅上升圖表:先進制程芯片的研發費用大幅上升28.537.751.370.3106.3174.4297.8542.2010020030040050060065nm 40nm 28nm 22nm 16nm 10nm7nm5nm研發費用(百萬美元)圖表:每百萬門晶體管的成本在圖表:每百萬門晶體管的成本在28nm后開始上升后開始上升資料來源:Chip Scale Review,中信建投資料來源:IBS,中
20、信建投資料來源:IBS,中信建投 Chiplet為芯片制造提供了性能與成本平衡的新方案Chiplet封裝采用“小芯片”異構集成,大幅提升制造良率。封裝采用“小芯片”異構集成,大幅提升制造良率。理論上,如果Die尺寸足夠小,Wafer的利用率可達100%。如果考慮缺陷,隨著Die的減小,良率將得到提升。因此近幾年全球晶圓制造廠商積極發展先進封裝工藝,并且增加2.5D和3D封裝的資本開支,在后摩爾定律時代布局Chiplet成為半導體行業發展的必然趨勢。AMD以實現性能、功耗和成本的平衡為目標,推行Chiplet設計,并提出performance/W和performance/$衡量標準。Chiple
21、t具有成本效應,但其造價隨著核數的下降而變緩,因此可能有一個價格的均衡點來判斷是否采用Chiplet技術。AMD采用“采用“7nm+14nm”的芯片組方案相較于采用的芯片組方案相較于采用7nm制造同樣多核的芯片成本下降了制造同樣多核的芯片成本下降了50%左右。左右。圖表:用圖表:用Chiplet技術的技術的7nm+14nm的造價的造價 vs 7nm資料來源:AMD,中信建投資料來源:Wikichip,中信建投圖表:圖表:Chiplet有利于提升良率有利于提升良率 2D-3D的先進封裝依據物理結構和電氣連接特征區分 如果說chiplet是一種芯片設計設計方法,異構集成即是一種芯片封裝封裝方法。為
22、使異構集成的Chiplet封裝實現,需要借助到2D/2.1D/2.3D/2.5D/3D等一系列先進封裝工藝。先進封裝的不同層次主要依據多顆芯片堆疊的物理結構和電氣連接方式劃分,例如2D封裝中的芯片直接連接到基板,其他封裝則以不同形式的中介層完成互聯。圖表:先進封裝的層次圖表:先進封裝的層次圖表:先進封裝依據互連密度和性能排名圖表:先進封裝依據互連密度和性能排名資料來源:Recent Advances and Trends in Advanced Packaging,中信建投資料來源:Recent Advances and Trends in Advanced Packaging,中信建投 2D
23、-2.5D在XY平面上封裝多顆芯片,中介層是主要差異點2D:2D封裝是指在基板的表面水平安裝所有芯片和無源器件的集成方式,芯片之間的連接主要通過基板實現,少數通過鍵合線直接連接。2D典型案例包括TSMC的InFO封裝技術。2.5D:2.5D封裝特指采用了中介層(interposer)的集成方式,中介層多采用硅材料。芯片通常通過MicroBump和中介層相連接,作為中介層的硅基板采用Bump與基板相連,硅基板上下表面的上下表面的電氣連接通道通常由RDL與貫穿硅基板的TSV構建。2.5D典型案例包括TSMC的CoWoS-S技術。2.1D/2.3D:介于2D和2.5D硅轉接板之間,2.1D/2.3D
24、之間的間隙較為模糊,主要特點是采用薄膜、有機中介層、高密度RDL或嵌入基板的硅橋替代中介層進行互連,典型的案例包括Intel的EMIB封裝技術。由于不采用硅中介層有利于降低成本,2.1D/2.3D在一些應用中被視作2.5D封裝的一種替代選擇。圖表:圖表:2D與與2.5D封裝(下)結構示意圖封裝(下)結構示意圖資料來源:SiP與先進封裝,臺積電,中信建投圖表:圖表:2.1D 2.3D 2.5D封裝結構對比封裝結構對比資料來源:Recent Advances and Trends in Advanced Packaging,中信建投 3D封裝在Z軸上延伸物理結構,TSV可實現高密度電氣互連3D w
25、ith TSV:目前3D封裝通常特指芯片通過TSV直接進行高密度互連,典型的案例即HBM。3D without TSV:雖然多顆芯片在物理結構上呈現3D堆疊形態,但其電氣互連上均需要通過基板(除極少數通過鍵合線直接連接的鍵合點),即先通過鍵合線/凸點連接到基板,然后在基板上進行電氣互連,而非TSV。在某些場景下,此類集成也被歸類為2D+集成以與3D TSV進行區分,典型案例即TSMC的InFO_PoP。圖表:圖表:3D with TSV案例案例圖表:圖表:A10處理器采用的處理器采用的InFO_POP封裝即封裝即3D without TSV圖表:圖表:3D without TSV案例案例資料來
26、源:Recent Advances and Trends in Advanced Packaging,中信建投 完成前道工序的硅晶圓中TSV制造工藝 對應用3D IC封裝技術的有源器件晶片,主要使用via-middle工藝制造TSV。由于fab擁有相關設備,具備相關專業知識技能,有源器件的TSV應由fab廠制造,并且制造TSV的成本不到制造(32nm)器件晶片的成本的5%。MEOL步驟:步驟:通過凸點下金屬化(UBM)以及使用C4(普通晶圓凸點)焊接到整個晶片用粘合劑將TSV晶片臨時粘合到載體晶片上再將TSV晶片反向研磨至銅填充TSV頂部幾微米進行硅干法蝕刻,直到銅填充TSV頂部以下幾微米在整
27、個晶片上進行低溫隔離SiN/SiO2沉積使用CMP去除SiN/SiO2和Cu以及Cu填充TSV(Cu顯露)的晶種層在銅填充TSV的頂部制備UBM。上述所有步驟應由OSAT完成。TSV Via-Middle工藝:工藝:在FEOL(用于對器件進行圖案化)和MOL(用于形成金屬接觸)之后,通過五個關鍵步驟制造TSVs:蝕刻形成通孔通過等離子體增強化學氣相沉積(PECVD)電介質通過物理氣相沉積(PVD)阻擋層和種子層 使用電鍍銅填充和化學機械拋光(CMP)去除覆蓋的銅金屬層的堆積最后是鈍化/開口(BEOL)。所有這些步驟都應在FAB中完成。資料來源:半導體百科,中信建投圖表:圖表:TSV Via-M
28、iddle工藝制造存儲器的關鍵步驟和所有權工藝制造存儲器的關鍵步驟和所有權 硅中介層上TSV的制造工藝 對于無源轉接板(例如2.5D封裝中的硅轉接板),TSV和RDL既可以由fab制造也可由OSAT制造,取決于布局,設計和制造能力,尤其是RDL的線寬和間距。通常,OSAT可以完成幾微米的線寬和間距。TSV與與RDL步驟:步驟:在一塊硅襯底(無有源器件)上沉積鈍化層制作TSV 構建RDL并進行鈍化/開口封裝與測試步驟封裝與測試步驟:剝離載體1 進行C2W鍵合(器件芯片與TSV晶片的鍵合)剝離載體2并且TSV晶片被切割成單獨的TSV模塊TSV模塊可以組裝在封裝基板上進行測試。資料來源:半導體百科,
29、中信建投圖表:無源轉接板上圖表:無源轉接板上TSV制備的關鍵步驟和所有權制備的關鍵步驟和所有權MEOL步驟:步驟:在UBM之后,將TSV晶片臨時粘合到載體1進行背面研磨硅蝕刻,低溫鈍化和銅暴露完成UBM C4工藝以及與載體2的臨時粘合不帶TSV的器件晶圓分別用微焊料凸點或帶有焊帽的Cu柱對存儲器晶片進行微凸點處理將器件晶片切成有微凸點/Cu柱的單個芯片。臺積電先進封裝技術平臺3D Fabric 臺積電已將其先進封裝技術整合為一個品牌3D Fabric,其中包含三大技術平臺CoWoS(Chip on Wafer on Substrate),2.5D晶圓級封裝技術。InFO(Intergrated
30、 Fan-Out),采用RDL(重新布線層)代替硅中介層,無需TSV。SoIC(System on Integrated Chips),前端封裝技術,具有高密度垂直堆疊性能。圖表:臺積電先進封裝技術平臺圖表:臺積電先進封裝技術平臺圖表:臺積電不同封裝技術的封裝密度和鍵合間距圖表:臺積電不同封裝技術的封裝密度和鍵合間距資料來源:臺積電,中信建投資料來源:臺積電,中信建投 CoWoS:適用于HPC與AI計算領域的2.5D封裝技術圖表:臺積電圖表:臺積電CoWoS技術平臺技術平臺資料來源:臺積電,中信建投CoWoS-SCoWoS-RCoWoS-L CoWoS為為HPC和和AI計算領域廣泛使用的計算領
31、域廣泛使用的2.5D封裝封裝技術。技術。臺積電早在2011年推出CoWoS技術,并在2012年首先應用于Xilinx的FPGA上。此后,華為海思、英偉達、谷歌等廠商的芯片均采用了CoWoS,例如GP100(P100顯卡核心),TPU 2.0。如今CoWoS已成為HPC和AI計算領域廣泛應用的2.5D封裝技術,絕大多數使用HBM的高性能芯片,包括大部分創企的AI訓練芯片都應用了CoWoS技術。CoWoS可以分為為可以分為為CoWoS-S、CoWoS-R和和CoWoS-L三種。三種。CoWoS-S基于硅中介層為先進SoC和HBM提供系統集成;CoWoS-R更強調小芯片間的互連,利用RDL實現最小4
32、m的布線;CoWoS-L則是最新的CoWoS技術,結合了CoWoS-S和InFO兩種技術的優點,使用RDL與LSI(本地硅互連)進行互連,具有最靈活的集成性。InFO:適用于移動設備、HPC的封裝技術 InFO平臺提供針對特定應用優化的各種平臺提供針對特定應用優化的各種 2D 和和 3D 封裝方案。封裝方案。InFO技術首度使用于iPhone 7中的A10,相比于三星的PoP封裝技術,在封裝厚度上有明顯改善,InFO封裝也成為了臺積電獨占蘋果A系列處理器訂單的關鍵技術之一。InFO用于各種應用的高密度互連和性能,例如移動設備、HPC等。InFO主要分為主要分為InFO_PoP(層疊封裝)和(層
33、疊封裝)和InFO_oS(基板上的(基板上的InFO封裝)。封裝)。InFO_PoP是行業中首款3D晶圓級扇出封裝,專注于DRAM與邏輯芯片的集成,頂部DRAM 裸片上的凸塊利用直通互聯通孔(TIV)到達再分布層(RDL),主要應用于移動平臺;InFO_oS(基板上)可封裝多個裸片,高密度的RDL及其微突塊通過TSV連接到基板,專注于HPC客戶。資料來源:臺積電,中信建投資料來源:臺積電,中信建投圖表:圖表:InFo_PoP拓撲圖拓撲圖圖表:圖表:InFo_oS拓撲圖拓撲圖 InFO:新型拓撲方案有望改善性能并擴大應用場景 InFO_B:InFO_PoP 的DRAM 封裝是定制設計,只能在 T
34、SMC 制造。另一種 InFO_B 拓撲正在開發中,以使(LPDDR)DRAM 封裝能夠由外部合同制造商/OSAT提供。InFo_L:集成LSI的InFO變體,LSI用于兩個芯片之間的通信,達到極致互連帶寬和成本的折中。InFo_oS封裝體系下的新配置:封裝體系下的新配置:一種潛在的InFO_oS配置,邏輯芯片被I/O SerDes小芯片包圍,以支持高速/高基數網絡交換機。圖表:圖表:InFo_PoP向向InFO_B的轉變的轉變圖表:圖表:HPC應用的應用的InFO_oS資料來源:臺積電,中信建投資料來源:臺積電,中信建投圖表:圖表:InFO_oS對比對比InFo_LSI資料來源:臺積電,中信
35、建投 SoIC:用于3D異構集成的技術平臺 SoIC 技術將同構和異構小芯片集成到單個類似 SoC 的芯片中,該芯片具有更小的占用空間和更薄的外形,可以整體集成到CoWoS和InFO中。從外觀上看,新集成的芯片就像一個普通的SoC芯片,但嵌入了所需的異構集成功能。SoIC主要分為主要分為SoIC_CoW(Chip on Wafer)和)和SoIC_WoW(Wafer on Wafer)。)。SoIC_CoW技術將不同尺寸、功能、節點的晶粒進行異質整合。SoIC_WoW技術通過晶圓堆疊工藝實現異構和同質3D硅集成。緊密的鍵合間距和薄的 TSV 可實現最小的寄生以實現更好的性能、更低的功耗和延遲以
36、及更小的外形尺寸。WoW 適用于高良率節點和相同裸片尺寸的應用或設計,甚至支持與第 3 方晶圓的集成。資料來源:臺積電,中信建投資料來源:臺積電,中信建投圖表:圖表:SoIC與與InFO_PoP、CoWoS聯合應用聯合應用圖表:圖表:SoIC-WoW生產流程生產流程 SoIC:相比基于微凸點的3D封裝有諸多優勢 基于微凸塊的基于微凸塊的3D封裝借助微凸點連接芯片,封裝借助微凸點連接芯片,在連接密度、性能等方面受限。在連接密度、性能等方面受限。傳統3D封裝在后端工藝中借助微凸點(Pump)連接堆疊的芯片,但微凸點的尺寸很難縮小到10m以下,限制了堆疊芯片的I/O針腳計數。此外,按比例排列的微凸點
37、增加了寄生電容、電阻和電感,降低了其性能和功率。臺積電臺積電SoIC 3D封裝技術使芯片連接緊密,封裝技術使芯片連接緊密,并在互聯帶寬和散熱上表現優異。并在互聯帶寬和散熱上表現優異。臺積電SoIC的鍵合技術在前端工藝完成,接合間距更小,使芯片更緊密地連接在一起,提供超過10K/mm2的垂直互連密度,用于超高帶寬互連。在熱性能方面,臺積電SoIC鍵合的熱阻比微凸點下降低35%。資料來源:臺積電,中信建投圖表:圖表:SoIC與基于微凸點的與基于微凸點的3D封裝對比封裝對比圖表:圖表:SoIC具有更優異的熱性能表現具有更優異的熱性能表現 HBM 3D堆疊提升內存性能,GPGPU上應用廣泛隨著數據的爆
38、炸勢增長,內存墻對于計算速度的影響愈發顯現。為了減小內存墻的影響,提升內存帶寬一直是存儲芯片聚焦的關鍵問題。如同閃存從2D NAND向3D NAND發展一樣,DRAM也正在從2D向3D技術發展,HBM為主要代表產品。與傳統DRAM不同,HBM是3D結構,它使用TSV技術將數個DRAM裸片堆疊起來,形成立方體結構,與傳統內存相比,HBM的存儲密度更大、功耗更低、帶寬更高。目前HBM多用于與數據中心GPGPU配合工作,可以取代傳統的GDDR。圖表:圖表:HBM結構示意圖結構示意圖圖表:圖表:HBM與與DDR對比對比資料來源:AMD,中信建投資料來源:SK海力士,中信建投DDR4LPDDR4(X)G
39、DDR6HBM2HBM2E(JEDEC)HBM3(TBD)Data rate3200Mbps3200Mbps(up to 4266Mbps)14Gbps(up to 16Gbps)2.4Gbps2.8Gbps3.2GbpsPin countx4/x8/x16x16/ch(2ch per die)x16/x32x1024x1024x1024Bandwidth5.4GB/s12.8(17)GB/s56GB/s307GB/s358GB/s500GB/sDensity(per package)4GB/8GB8GB/16GB/24GB/32GB8GB/16GB4GB/8GB8GB/16GB8GB/16G
40、B/24GB(TBD)AI服務器對GPGPU需求旺盛,拉動HBM顯存需求激增GPGPU為為AI服務器主流協處理器選擇,平均搭載量大。服務器主流協處理器選擇,平均搭載量大。IDC統計數據表明,中國x86服務器以雙路服務器為主,占比在80%以上。根據Omdia預測,到2026年約30%的服務器將搭載協處理器,而GPGPU為協處理器的首選,份額在10%左右。與單臺服務器主要搭載兩顆CPU的情況不同,通常一臺加速服務器搭載4顆及以上的GPGPU。IDC數據顯示,2019年中國AI加速服務器單機GPGPU搭載量最多達到20顆,加權平均數約為8顆/臺。單顆GPU配套的HBM顯存存儲容量達到80GB,對應價
41、值量約為800美元。配置GPGPU數量出貨量20755162152910765819269639057524178733127421670813總計79318加權平均8.02圖表:圖表:2019年中國年中國AI服務器配置情況服務器配置情況資料來源:IDC,中信建投11.3%8.4%7.4%7.6%6.6%80.0%84.7%87.0%87.7%88.8%8.4%6.7%5.3%4.5%4.5%0%10%20%30%40%50%60%70%80%90%100%201620172018201920201路2路4路8路(含)以上圖表:圖表:2016-2020年中國年中國x86服務器路數分布情況服務器
42、路數分布情況資料來源:IDC,中信建投圖表:全球服務器出貨量份額預測圖表:全球服務器出貨量份額預測 HBM1HBM2 Gen1 HBM2 Gen2HBM2EHBM3HBM4OperatingFrequency(Mbps)160016002000-40003200-36004400-64008400-VDD1.2V1.2V1.2V1.2V1.1V1.1VDie Density(Stack)2GB(4-Hi)8GB(4Hi)8GB(4Hi/8Hi)16GB(4Hi/8Hi)16-24GB(4/8/12Hi)24-32GB(4/8/12/16Hi)Release Year20162017201820
43、2020222027(expected)500458557846122718942497-8.4%21.6%51.9%45.0%54.4%31.8%-20%-10%0%10%20%30%40%50%60%0500100015002000250030002019202020212022202320242025市場規模(百萬美元)YoY上下游廠商積極布局HBM,2025年市場規模近25億美元SK海力士是海力士是HBM開發的先行者,并在技術開發和市場份額上占據領先地位。開發的先行者,并在技術開發和市場份額上占據領先地位。2014年,SK海力士與AMD聯合開發了全球首款HBM產品。SK海力士的HBM3
44、發布7個月后實現了量產,將搭載于NVIDIAH100之上。根據BussinessKorea的報道,SK海力士在HBM市場已獲得60%-70%的市場份額。HBM賽場集齊三大賽場集齊三大DRAM巨頭,晶圓代工廠也通過升級相關封裝技術參與其中。巨頭,晶圓代工廠也通過升級相關封裝技術參與其中。SK海力士之后,三星、美光推出了各自的HBM產品,分別迭代至HBM3和HBM2E。晶圓代工廠商包括如臺積電、格芯等也在發力HBM相關的封裝技術。HBM在算力芯片中的應用范圍持續擴大,在算力芯片中的應用范圍持續擴大,2025年市場規模將接近年市場規模將接近25億美元。億美元??蛻舴矫?,AMD和NVIDIA兩大顯卡廠
45、商已多次在其GPGPU產品上采用HBM,Intel發布了全球首款集成HBM的x86 CPU,Xilinx在其FPGA產品中推出了搭載HBM的系列。隨著AI技術不斷擴大對高算力的需求,HBM銷售量有望迎來快速增長。Omdia預計2025年HBM市場規模將接近25億美元,是2020年的5倍多。資料來源:SK海力士,中信建投圖表:圖表:SK海力士的海力士的HBM產品迭代產品迭代圖表:全球圖表:全球HBM市場規模預測市場規模預測資料來源:Omdia,中信建投 Fan-out根據重構載體差異分為WLP和PLP兩類 Fan-out扇出形封裝可分為扇出形封裝可分為FOWLP和和FOPLP兩類,主要差異在于切
46、割后的晶粒放置于不同的載體兩類,主要差異在于切割后的晶粒放置于不同的載體上:上:FOWLP(Fan-out Wafer Level Package)晶圓級扇出形封裝,將切割后的晶粒組合成為重構晶圓,然后進行塑封、去除載片、制作RDL等工藝以完成封裝。FOWLP適合AP以及CPU、GPU、FPGA等算力芯片的封裝。FOPLP(Fan-out Panel Level Package)面板級扇出形封裝是FOWLP技術的延伸,但采用了更大的面板如PCB載板或液晶面板用的玻璃載板,因此可以量產出數倍于12英寸硅晶圓芯片的封裝產品,成本上更具優勢。FOPLP適合AP、功率器件、電源管理IC的封裝。圖表:圖
47、表:FOWLP與與FOPLP封裝過程中所用載體差異封裝過程中所用載體差異圖表:圖表:FOWLP與與FOPLP封裝成本差異封裝成本差異資料來源:SiP與先進封裝技術,中信建投資料來源:Yole,中信建投 Fan-out核心工藝步驟 Fan Out工藝流程可分為Mold first和RDL first兩類,區別主要在核心工藝步驟順序,以Mold first為例:1)從晶圓代工廠生產出的晶圓經過測試后,將來料晶圓切割成為裸晶;2)將切割后的裸晶嵌入粘接到人造塑料晶圓(重組晶圓)中,組合成為重構晶圓,與來料晶圓相比,重構晶圓上裸晶之間的距離相對更大。3)完成重構晶圓的貼片后,對重構晶圓進行塑封以固定和
48、保護裸晶。然后將重構晶圓載片移除,從而將裸晶對外的輸入輸出接口(I/O)露出。4)在晶圓上通過金屬布線工藝制作再布線層(RDL),并在RDL所連接的金屬焊盤上進行植球。最后將重構晶圓進行切割,以得到獨立的芯片。RDL first則是先在載板上生成RDL,再將裸晶接合。圖表:圖表:FOWLP/FOPLP工藝流程工藝流程資料來源:micromachines,中信建投 FOWLP在汽車電子應用廣泛,Fan-Out市場份額高度集中FOWLP發展更為成熟,是當前發展更為成熟,是當前Fan-out封裝市場主流選擇。封裝市場主流選擇。在Fan-out市場中,FOPLP的發展因受到良率產量、翹曲及設備投入研發
49、、投資回報率等種種挑戰,產業發展進程仍有待提高。根據Yole數據,2020年FOPLP在Fan-out市場中份額僅占3%,預計2026年升至7%。Fan-out參與者陣營持續擴充,市場份額集中度高。參與者陣營持續擴充,市場份額集中度高。OSAT、IDM、Fab等來自不同領域的制造商都布局了Fan-out技術,一些面板廠也切入FOPLP的研發,Fan-out封裝參與者陣營持續豐富擴充。根據Yole數據,Fan-out市場集中度較高,臺積電與全球前三大OSAT廠商2020年合計市場份額達到95%,2022年仍維持在90%以上。資料來源:國際電子商情,中信建投圖表:扇出形封裝參與廠商代表圖表:扇出形
50、封裝參與廠商代表66.9%20.0%5.1%3.0%1.9%1.9%0.7%0.5%TSMCASE長電科技AmkorNepesPTI三星電子華天科技圖表:圖表:2020年全球年全球Fan-Out封裝市場份額封裝市場份額資料來源:Yole,中信建投圖表:圖表:2026年年FOWLP/FOPLP市場份額預測市場份額預測93%7%FOWLPFOPLP資料來源:Yole,中信建投 三、空間:行業規模與龍頭三、空間:行業規模與龍頭二、工藝:先進封裝與二、工藝:先進封裝與2.5D/3D封裝關鍵工藝封裝關鍵工藝目錄一、應用:手機封裝工藝一、應用:手機封裝工藝-汽車封裝工藝汽車封裝工藝-HPC四、供給:國內晶
51、圓廠與封裝廠四、供給:國內晶圓廠與封裝廠 先進封裝市場快速成長,規模有望超越傳統封裝 預計預計2027年先進封裝市場規模增至年先進封裝市場規模增至651億美元,億美元,2021-2027年年CAGR達到達到9.6%。根據Yole數據,全球封裝市場中,先進封裝占比已由2015年的39%提升至2021年的44%。預計到2027年,先進封裝市場占比將增至53%,規模約為651億美元,2021-2027年CAGR約為9.6%,高于傳統封裝市場的3.3%和市場整體的6.3%。$21.5B,39%$33.0B,61%2015$54.3B$37.5B,44%$46.9B,56%2021$84.4B$65.1
52、B,53%$57.0B,47%2027$122.1B先進封裝傳統封裝圖表:全球封裝市場規模及結構預測圖表:全球封裝市場規模及結構預測資料來源:Yole,中信建投 先進封裝內部相對高階的封裝形式將呈現更快增速 倒裝穩占先進封裝最大份額,倒裝穩占先進封裝最大份額,2.5D/3D、嵌入式芯片和扇出成為增長最快的先進封裝平臺。、嵌入式芯片和扇出成為增長最快的先進封裝平臺。根據Yole數據,先進封裝內部份額最大的板塊為倒裝(包括FCBGA、FCCSP、FC-SiP),2021年市場規模約262.7億美元,占比70%。從增速角度來看,相對高階的封裝形式Fan-Out、2.5D/3D、Embedded Di
53、e在智能手機、HPC、自動駕駛等領域需求的推動下,保持高于先進封裝整體市場的復合增速。圖表:先進封裝市場規模及結構預測圖表:先進封裝市場規模及結構預測資料來源:Yole,中信建投2021$37.5B2027$65.1BCAGR2021-2027=10%封裝類型2021-2027 CAGRFan-out11%Fan-in WLP5%2.5D/3D14%Filp-chip9%Embedded Die24%Fan-outFan-in WLP2.5D/3DFlip-ChipEmbedded Die 臺積電先進封裝營收與資本開支持續增長 臺積電在先進封裝上已取得了可觀的收入體量,技術布局也進入關鍵節點,
54、未來投入規模將持續加臺積電在先進封裝上已取得了可觀的收入體量,技術布局也進入關鍵節點,未來投入規模將持續加碼。碼。根據Yole數據,2020-2022年,臺積電在先進封裝上的營收規模從36億美元增至53億美元,年復合增長率為21.3%;在先進封裝上的資本開支從15億美元增至40億美元,年復合增長率為63.3%。從市場份額來看,2022年臺積電在先進封裝上的營收規模和資本支出分別位列全球第三和第二。圖表:臺積電先進封裝營收規模及預測圖表:臺積電先進封裝營收規模及預測圖表:臺積電先進封裝資本開支預測圖表:臺積電先進封裝資本開支預測1,500 3,049 4,000 0100020003000400
55、050002020E2021E2022E百萬美元資料來源:Yole,中信建投資料來源:Yole,中信建投3,600 4,100 5,300 0100020003000400050006000202020212022E百萬美元 日月光VIPack先進封裝平臺包含六大核心技術 VIPac是日月光擴展設計規則并實現超高密度和性能設計的下一世代3D異質整合架構。此平臺利用先進的重布線層(RDL)制程、嵌入式整合以及2.5D/3D封裝技術,協助客戶在單個封裝中整合多個晶片來實現前所未有的創新應用,日月光VIPac由六大核心封裝技術組成。日月光基于高密度RDL的Fanout Package-on Pack
56、age(FOPoP)、Fanout Chip-on-Substrate(FOCoS)、Fanout Chip-on-Substrate-Bridge(FOCoS-Bridge)和Fanout System-in-Package(FOSiP),以及基于硅通孔(TSV)的2.5D/3D IC和Co-Packaged Optics。除了提供可優化時脈速度、頻寬和電力傳輸的高度整合矽封裝解決方案所需的制程能力,VIPack平臺更可縮短共同設計時間、產品開發和上市時程。圖表:日月光圖表:日月光VIPack先進封裝平臺先進封裝平臺資料來源:ASE,中信建投 日月光VIPack先進封裝平臺包含六大核心技術技
57、術名稱技術名稱示意圖示意圖連接層技術連接層技術應用應用FOPoPFan-Out Package on PackageRDL存儲IC與邏輯IC的3D封裝FOCoSFan-Out Chip on SubstrateRDL大尺寸和高I/O密度的網絡和服務器芯片FOCoS-BFan-Out Chip on Substrate-BridgeRDLSi Bridge封裝ASIC與HBMFOSiPFan-Out System-in-PackageRDL智能手機、平板電腦、射頻基礎設施、邊緣計算和物聯網芯片2.5D/3DTSV集成高端顯卡、FPGA等應用的HBMCo-Packaged OpticsTSV超大規
58、模數據中心的光收發器或板載/聯合封裝光學器件資料來源:ASE,中信建投 安靠推出五大先進封裝工藝平臺安靠推出五大先進封裝解決方案:安靠推出五大先進封裝解決方案:FCMCM(倒裝多晶片模組)與2.5D(TSV)已進入大規模量產階段。S-SWIFT HDFO利用銅微線光刻和有機介電質來實現高水平的信號路由密度,允許集成chiplet和HBM,已進入客戶驗證階段。S-Connect是一種組合HDFO和橋接的產品,目前處于內部鑒定階段。使用Cu混合鍵合的超密集3D芯片堆疊正在研發階段。圖表:安靠先進封裝解決方案圖表:安靠先進封裝解決方案資料來源:安靠,中信建投 全球OSAT龍頭營收規??焖僭鲩L,資本開
59、支相對穩定圖表:用于封裝的資本開支金額預測(百萬美元)圖表:用于封裝的資本開支金額預測(百萬美元)資料來源:Yole,中信建投221020002000553780950593650050010001500200025002020E2021E2022E日月光安靠長電圖表:封裝營收規模及預測(百萬美元)圖表:封裝營收規模及預測(百萬美元)9415116381253450516061704732854054484102000400060008000100001200014000202020212022E日月光安靠長電資料來源:Yole,中信建投 在OSAT廠商中,日月光、安靠、長電的封裝業務營收規模
60、位列全球前三,日月光因2020年與矽品合并,營收體量較大幅領先于安靠和長電。根據Yole數據,2020-2022年,三家公司的封裝營收規模均保持兩位數以上的年復合增速,其中長電增速最快,年復合增速約為21.4%。日月光在封裝上的資本開支穩定在20億美元左右,安靠與長電的資本開支保持上升。由于Intel、TSMC與三星在封裝領域的大規模投入,OSAT廠商的資本開支排名相比營收規模排名有所下滑,日月光、安靠、長電分別位列全球第三、第五、第六。三、空間:行業規模與龍頭三、空間:行業規模與龍頭四、供給:國內晶圓廠與封裝廠四、供給:國內晶圓廠與封裝廠目錄二、工藝:先進封裝與二、工藝:先進封裝與2.5D/
61、3D封裝關鍵工藝封裝關鍵工藝一、應用:手機封裝工藝一、應用:手機封裝工藝-汽車封裝工藝汽車封裝工藝-HPC AIGC開啟算力新時代,先進封裝延續摩爾定律大模型訓練對于算力芯片需求指數級提升,以GPU為主要算力芯片,ASIC/NPU等芯片方案也將快速發展。先進制程先進制程+先進封裝先進封裝,EUV極限是3nm,進一步提升芯片性能需要“chiplet”先進封裝工藝先進封裝工藝:bumping,2.5D/3D封裝行業參與者行業參與者:臺積電、日月光、安靠;國內先進封裝包括通富微電、長電科技、甬夕科技IP設計先進制程先進封裝工藝材料設備長電科技通富科技甬夕電子興森科技深南電路新益昌芯原股份海光信息龍芯
62、中科平頭哥中芯國際ChatGPT簡介基于多層transformer模型,改進訓練算法,模型參數龐大(開源模型OPT-175B),訓練數據集完備且加入人工干預機制openAI訓練使用10000張英偉達訓練卡訓練1750億參數的GPT-3,已經需要一個英偉達V100 GPU計算約335年,需要增加并行度175B模型需要顯存在700GB(半精度350GB)左右,需要至少10張80GB A100卡按照2.3TB顯存需求計算ChatGPT屬于聯系上下文對話類模型,屬于自然語言處理類(NLP)的AIGC應用單句對話成本在0.1美金左右 中芯國際:晶圓代工行業反轉在即,HPC/ADAS拉動新周期 IC設計公
63、司去庫存持續,晶圓代工廠稼動率預計設計公司去庫存持續,晶圓代工廠稼動率預計Q2見底見底:由于個人PC/智能手機等消費電子終端從2022年Q3開始明顯減弱,旺季不旺,IC設計公司在去年Q3陸續開始砍單,由于國內需求下降更明顯,同時公司部分產線進行了歲修,所以導致稼動率降至92%,環比下降了5個百分點。Q4開始海外晶圓代工廠聯電的稼動率也開始受到設計公司砍單影響下降了10個百分點,中芯國際的產能利用率進一步下降至70%,預計晶圓代工廠稼動率隨著下半年消費電子需求回暖Q3開始回升。高性能計算(高性能計算(HPC)和自動駕駛()和自動駕駛(ADAS)有望拉動新一輪半導體周期向上)有望拉動新一輪半導體周
64、期向上:2021年全球半導體市場規模達到5559億美元,按照下游占比來看,2022年占比分別為31%,30%和12%的智能手機、個人電腦市場和消費電子均出現大幅下滑,電動車延續高增長趨勢,我們認為AIGC為代表的大算力需求將大幅提升GPU的需求,同時電動車向更高階的自動駕駛演進,ADAS滲透率持續提升,大算力和汽車半導體有望成為新一輪半導體周期的核心驅動力。60%70%80%90%100%110%120%1Q123Q121Q133Q131Q143Q141Q153Q151Q163Q161Q173Q171Q183Q181Q193Q191Q203Q201Q213Q211Q223Q221Q23E聯電中
65、芯國際華虹半導體力積電平均資料來源:各公司公告,中信建投圖表:全球晶圓代工廠商產能利用率圖表:全球晶圓代工廠商產能利用率%圖表:圖表:2021年不同終端半導體價值量(年不同終端半導體價值量(US$B)與增速)與增速%資料來源:SIA,中信建投 中芯國際:積極擴產成熟制程,非手機領域需求占比提升12英寸產線英寸產線持股比例持股比例%技術節點技術節點月產能月產能 Kwp中芯北京100%0.18um-55nm65中芯上海100%14nm及以下35中芯南方中芯南方39%14nm及以下及以下15中芯北方51%65nm-24nm50中芯京城-在建51%28nm100中芯東方-在建66%28nm100中芯深
66、圳-在建55%28nm40合計等效8英寸(剔除在建)371合計等效8英寸(考慮在建)9118英寸英寸中芯上海100%0.35um-90nm155中芯天津100%0.35um-90nm120中芯深圳55%0.35um-0.15um55合計330晶圓產能合計(等效晶圓產能合計(等效8英寸)英寸)701晶圓產能合計(等效晶圓產能合計(等效8英寸)考慮在建英寸)考慮在建1613 48%47%46%37%35%32%32%31%29%25%26%29%16%21%21%16%14%12%13%13%14%16%15%11%18%17%17%20%20%25%24%24%23%24%23%22%18%20
67、%16%27%31%31%32%32%34%35%36%39%0%10%20%30%40%50%60%70%80%90%100%20Q1 20Q2 20Q3 20Q4 21Q1 21Q2 21Q3 21Q4 22Q1 22Q2 22Q3 22Q4智能手機智能家居消費電子其他國內晶圓代工產能市占率國內晶圓代工產能市占率25%:公司2022年晶圓出貨量(等效8英寸)達到71.0萬片/月,yoy+5%,實際產能達到75.7萬片/月,yoy+9%,是目前國內產能最大的晶圓代工廠。按照中國大陸300萬片/月(等效8英寸)的供給量測算,市占率達到25%。下游應用領域非手機占比持續提升推動成熟制程擴產下游應
68、用領域非手機占比持續提升推動成熟制程擴產:從公司下游應用占比來看,非手機應用如消費電子和其他工業/自動化等領域需求占比在過去三年大幅提升,這些應用領域主要應用28nm及以上成熟制程,為了更好的滿足新的市場需求,公司積極擴產成熟制程產能,預計擴產完成后超過160萬片/月(等效8英寸)。圖表:中芯國際產能分布與擴產規劃圖表:中芯國際產能分布與擴產規劃圖表:中芯國際下游應用營收占比變動圖表:中芯國際下游應用營收占比變動資料來源:中芯國際公告,中信建投資料來源:中芯國際公告,中信建投 中芯國際:2023年前低后高,下半年消費電子復蘇可期2022年公司營收增速明顯超過半導體行業:年公司營收增速明顯超過半
69、導體行業:2022年公司實現主營收入488.85億元,同比成長39.3%,其中,晶圓代工業務營收為452.93億元,同比增長41.0%。全球智能手機和個人電腦需求顯現疲軟,2022年出貨量同比分別下降11%和16%,拖累全球半導體行業增長僅為1.1%達到6017億美元,公司增速明顯超越行業增長。行業去庫存加速拖累行業去庫存加速拖累Q1營收和毛利率“雙降”營收和毛利率“雙降”:受到2022年Q3消費電子需求低迷的影響,公司單季度營收增速在2022年Q1見頂后放緩,預計2023年Q1隨著IC設計廠商去庫存減少下單,公司營收單季度同比增速轉負,同比下滑22%,環比下滑12%,Q1單季度毛利率下滑至2
70、0%,相較于上一個季度下滑12個百分點。2023年半導體行業展望前低后高,全年業績承壓:年半導體行業展望前低后高,全年業績承壓:展望2023年智能手機和消費電子行業回暖需要時間,工業領域相對穩健,汽車電子行業增量需求僅可以部分抵消手機和消費電子疲弱的負面影響。公司預計2023全年營收同比降幅為低十位數,毛利率在20%左右;-30%-20%-10%0%10%20%30%40%50%60%70%80%-2,000 4,000 6,000 8,000 10,000 12,000 14,000營收 百萬元人民幣營收同比%營收環比%圖表:圖表:SMIC單季度營收與同比單季度營收與同比/環比增速環比增速%
71、資料來源:公司公告,中信建投圖表:圖表:SMIC單季度毛利率和營業利潤單季度毛利率和營業利潤%-10%0%10%20%30%40%50%毛利率%營業利潤率%資料來源:公司公告,中信建投 長電科技:Chiplet封裝工藝平臺量產,聚焦2.5/3D封裝全球化產能優勢明顯:全球化產能優勢明顯:公司封測產能分布在中國、韓國和新加坡三地,其中國內長電先進聚焦bumping,Fan-out CSP晶圓級等先進封裝,本部以BGA、PA封裝,安徽的滁州和宿遷工廠則以傳統封裝為主,海外工廠包括位于韓國和新加坡的原星科金朋工廠,封裝工藝全球領先。長電先進承載長電先進承載2.5D/3D封裝工藝封裝工藝:2022年長
72、電先進完成了XDFOITM 2.5D試驗線的建設,已按計劃進入穩定量產階段,同步實現國際客戶4nm節點多芯片系統集成封裝產品出貨。在汽車電子領域,公司韓國工廠與下游企業合作研發了用于新能源汽車大客戶的芯片,并將用于該客戶車載娛樂信息和ADAS輔助駕駛。所屬所屬區域區域所在城市所在城市工廠名稱工廠名稱工藝工藝/產品產品國內無錫長電先進Fan-out CSP晶圓級封裝無錫長電本部BGA/PA封裝為主滁州滁州工廠DIP、SOT打線封裝,功率分立器件宿遷宿遷工廠國外新加坡SCK(原星科金朋)fan-in和fan-out晶圓級封裝韓國PoP,FC封裝,實現Bumping-FC的一站式封裝,主要產品是存儲
73、和礦機芯片韓國JSCK(新建)海外客戶SiP封裝圖表:長電科技圖表:長電科技Chiplet封裝工藝封裝工藝圖表:長電各個公司工藝產品圖表:長電各個公司工藝產品資料來源:長電科技,中信建投資料來源:長電科技,中信建投 長電科技:海外工廠受益SiP封測拉動和精益管理實現增長所屬區域所屬區域所在城市所在城市工廠名稱工廠名稱2021年年營收營收2021年年凈利潤凈利潤2022年年營收營收2022年年凈利潤凈利潤22/21收入收入yoy%22/21凈利潤凈利潤yoy%國內無錫長電先進2,104 416 1,682 248-20%-41%無錫長電本部8,979 1,865 7,078 552-21%-70
74、%滁州滁州滁州工廠1,354 260 1,074 133-21%-49%宿遷宿遷工廠1,227 154 1,113 76-9%-51%國外新加坡SCK(原星科金朋)11,098 923 13,035 1,828 17%98%韓國韓國JSCK(新建)9,904 458 12,387 413 25%-10%合計合計34,665 4,077 36,370 3,249 5%-20%國內工廠受到消費電子需求下滑獲利大幅衰退國內工廠受到消費電子需求下滑獲利大幅衰退:由于消費電子市場需求疲軟、訂單下降、價格競爭激烈使得產能利用率降低,長電先進營收及利潤較上年相比分別下降20%和40%。以成熟工藝為主的滁州和
75、宿遷工廠營收和利潤同樣出現大幅下滑,營收分別下降21%和9%,凈利潤較上一年同比減少49%和50%。海外工廠受益于高端封測營收占比實現逆勢增長海外工廠受益于高端封測營收占比實現逆勢增長:公司新加波和韓國工廠(SCK)通過技術升級與改造,優化產線產品結構,加大新產品導入量產力度,強化精益生產效率,使得收入和凈利潤快速上升,凈利潤同比大增98%,長電韓國JSCK主要進行高階SiP產品封裝測試,與去年同期相比,系統級封裝產品業務訂單增長拉動營收成長25%。2023年資本開支規劃年資本開支規劃:2023年計劃資本開支為65億元,同比增長62.5%,持續投資chiplet先進封裝工藝。資料來源:長電科技
76、,中信建投圖表:長電科技各工廠業績圖表:長電科技各工廠業績 長電科技:高性能計算與汽車電子成為增長驅動力2022年營收逆勢增長,海外工廠貢獻主要增量:年營收逆勢增長,海外工廠貢獻主要增量:2022年公司實現營收約337.62億,同比成長10.69%,歸母凈利潤為32.3億元,同比成長9.2%,全年毛利率為17.04%,公司毛利率從去年Q1見頂后開始下降,Q4單季度毛利率降至14.43%。運算電子和汽車電子拉動成長,手機運算電子和汽車電子拉動成長,手機/消費電子下滑:消費電子下滑:公司2022年度營業收入按市場應用領域劃分情況:通訊電子占比39.3%、消費電子占比29.3%、運算電子占比17.4
77、%、工業及醫療電子占比9.6%、汽車電子占比4.4%,報告期在汽車電子,高性能計算等領域完成了多項新技術開發及多家全球知名客戶新產品的量產導入;來自于汽車電子的收入2022年同比增長85%,來自于運算電子的收入同比增長46%。資料來源:公司公告,中信建投-5%0%5%10%15%20%-5,00005,00010,00015,00020,00025,00030,00035,00040,00020182019202020212022營業收入歸母凈利潤營業同比銷售毛利率圖表:圖表:2018-2022年通富微電經營業績年通富微電經營業績圖表:圖表:2022年不同下游應用營收占比年不同下游應用營收占比
78、%39.3%29.3%17.4%9.6%4.4%通訊電子消費電子運算電子工業及醫療汽車電子資料來源:公司公告,中信建投 通富微電:收購AMD封測工廠,打造高性能CPU/GPU封測平臺收購收購AMD封測業務,打造高端封測平臺:封測業務,打造高端封測平臺:2016年4月29日,公司投資3.71億美元,借力產業基金完成收購AMD蘇州及AMD檳城各85%股權,聯手AMD打造國內高性能服務器CPU/GPU封測工藝平臺。通過此次合作,包括兩家合資公司在內的通富微電集團將完全許可使用AMD的相關先進封測技術、專利。特別是蘇州工廠,作為高端處理器芯片封測基地,可以有效地填補國家在這一領域的空白,從而能夠更好的
79、支持國產CPU、GPU、網關服務器、基站處理器、FPGA(現場可編程門陣列)等產品的研發和量產。構建構建Chiplet封裝解決方案,高性能計算技術加速產品量產封裝解決方案,高性能計算技術加速產品量產:2021年8月19日,通富微電2.5D/3D生產線首臺設備化學機械拋光設備(CMP)順利搬入南通通富工廠,為通富微電進入2.5D/3D先進封裝領域翻開了新的篇章。該先進封裝生產線建成后,公司將成為國內最先進的2.5D/3D先進封裝研發及量產基地,實現國內在應用于HBM(高帶寬內存)高性能封裝技術領域的突破。通富超威蘇州、通富超威檳城憑借7nm、5nm、FCBGA、Chiplet等先進技術優勢,不斷
80、強化與AMD等行業領先企業的深度合作,鞏固和擴大先進產品市占率,實現銷售業績穩步增長。Bumping 多引腳和高性能多引腳和高性能ASICsCPU/GPU智能手機APMemory/RFCSP(Chip Size Package)-低引腳低引腳數的消費電子數的消費電子模數混合信號處理無線技術電源管理芯片汽車電子芯片圖表:通富微電晶圓級封裝工藝類別圖表:通富微電晶圓級封裝工藝類別資料來源:通富微電官網,中信建投 通富微電:需求低迷拖累本部營收下滑,合資工廠逆勢增長主要生產基地主要生產基地時間時間產品產品2021年年營收營收2021年年凈利潤凈利潤2022年年營收營收2022年年凈利潤凈利潤22/2
81、1收入收入yoy%22/21凈利潤凈利潤yoy%崇川廠2003傳統的有 MCU 產品,用于消費電子器件等;2021年實現汽車電子芯片封測量產 7,132 601 6,838 239-4%-60%合肥通富2014電源管理、DRAM、NAND、驅動 IC 1,097 74 863 -126-21%-269%南通通富2015電源管理、觸控、智能芯片等產品封測 1,367 84 1,725 -10126%-221%通富AMD蘇州2016CPU、GPU、基站芯片、FPGA 芯片 4,496 255 6,957 37855%48%通富AMD檳城2016CPU、GPU、基站芯片、FPGA 芯片 3,770
82、97 7,428 28997%198%廈門海滄2019驅動 IC 152 -122通富科技(蘇通)2019FO扇出型封裝,2.5D/3D封裝 國內工廠業績下滑明顯,國內工廠業績下滑明顯,AMD訂單帶動合資工廠收入大增訂單帶動合資工廠收入大增:公司國內工廠包括崇川本部以及合肥、南通和廈門子公司,與AMD合資工廠包括通富AMD蘇州與通富AMD檳城,2022年國內消費電子需求不振,導致國內工廠營收出現大幅下滑,崇川、合肥工廠營收分別下滑4%和21%,凈利潤下滑60%和269%。相比之下,合資工廠受益于AMD訂單拉動,2022年合計實現營收143.85億元,同比增長74%,合計實現凈利潤6.67億元,
83、同比增長89%。公司封測業務占公司封測業務占AMD份額達到份額達到43%,PC/服務器等下游需求恢復后有望受益服務器等下游需求恢復后有望受益AMD業績持續成長業績持續成長:AMD在2月初已公布其2022全年業績,由于嵌入式、數據中心和游戲業務的營業額增長,其2022年總營業額達到236億美元,較2021年同比增長44%。按照海光信息招股書披露的2021年采購封測服務占營收比例21%測算,AMD封測業務采購額一年為49.56億美元,對應332億元人民幣,通富占比達到43.32%。個人PC需求從2022年Q3開始大幅下滑,預計2023年下半年有望恢復,公司將受益于AMD下游需求轉暖帶動的增量訂單。
84、圖表:通富微電主要生產基地情況圖表:通富微電主要生產基地情況資料來源:通富微電年報,中信建投 通富微電:2023年營收目標增長16%,資本開支放緩 2023年營收目標年營收目標248億,資本開支放緩:億,資本開支放緩:2022年公司實現營業收入214.29億元,同比增長35.52%,在全球前十大封測企業中,營收年增速穩居第一,連續三年營收增速超過30%。歸母凈利潤為5.02億元,同比下滑47.53%,扣非歸母凈利潤為3.57億元,同比下滑55.21%。公司披露2023年營收目標為248億,同比增速降至16%,2023年資本開支規劃為42億,較2022年大幅放緩,2023年的收入增長絕對值與前一
85、年資本開支比例數也下降至2019年的47%。單季度毛利率觸及上一輪周期底部:單季度毛利率觸及上一輪周期底部:2022年公司單季度毛利率高點為第二季度,第三季度受到個人PC和手機銷量低迷拖累,公司稼動率下降拖累毛利率連續下降兩個季度,在2022年Q4毛利率達到9.7%,幾乎觸及上一輪周期底部水平,營業利潤率在去年第四季度達到1.1%,歸母凈利潤率達到0.7%,勉強維持盈利水平。圖表:通富微電年度營收、歸母凈利潤、資本開支變動趨勢圖表:通富微電年度營收、歸母凈利潤、資本開支變動趨勢圖表:通富微電季度毛利率、營業利潤率和歸母凈利潤率圖表:通富微電季度毛利率、營業利潤率和歸母凈利潤率資料來源:通富微電
86、年報,中信建投0%20%40%60%80%100%120%140%160%-5,000 10,000 15,000 20,000 25,000 30,000201820192020202120222023E營業收入歸母凈利潤資本開支收入yoy%收入增長/資本開支(百萬元)-10.0%-5.0%0.0%5.0%10.0%15.0%20.0%25.0%毛利率%營業利潤率%凈利潤率%資料來源:通富微電年報,中信建投 深科技:國內存儲封測龍頭,布局高端封測工藝收購沛頓科技切入存儲封測環節:收購沛頓科技切入存儲封測環節:2015年6月公司以1.1億美元價格收購沛頓科技,沛頓科技是全球第一大獨立內存制造商
87、美國金士頓科技公司于國內投資的外商獨資企業,專門從事動態隨機存儲(DRAM)芯片封裝和測試業務,通過并購整合公司成功進入存儲芯片封測領域。聯手大基金投資合肥沛頓擴充產能:聯手大基金投資合肥沛頓擴充產能:2020年10月公司聯手大基金二期通過非公開發行募集資金凈額14.62 億元,投建合肥沛頓科技,總投資規模超過30億元,公司持股比例為55.88%,2021年12月正式投產,2022年上半年已通過ISO 9001/14001/45001等多項體系認證,并通過現有客戶封裝產品大規模量產審核,計劃2022年下半年進一步積極導入新客戶,預計滿產后年產值可以達到28.63億元。持續投入先進封裝工藝保持技
88、術領先優勢:持續投入先進封裝工藝保持技術領先優勢:公司積極布局高端封測工藝,規劃建設凸塊(Bumping)項目,凈化間施工和首線設備采購正同步進行。未來公司將以滿足重點客戶產能需求和加強先進封裝技術研發為目標,聚焦倒裝工藝(Flip-chip)、POPt堆疊封裝技術的研發、16層超薄芯片堆疊技術的優化,且是國內唯一通過Intel CPU架構存儲認證的企業,所有測試過的存儲芯片產品可直接配套Intel服務器。資料來源:公司公告,中信建投資料來源:公司公告,中信建投圖表:多層芯片堆疊封測工藝(圖表:多層芯片堆疊封測工藝(8層和層和16層)層)圖表:圖表:SiP封裝工藝封裝工藝 深科技:深圳與合肥兩
89、地布局,存儲封測收入占比持續提升單位:百萬元單位:百萬元202020211H22存儲半導體營收2,361 2,885 1,529 yoy%22.2%9.18%毛利率%15.0%16.2%14.1%營收占比%15.8%17.5%20.3%子公司營收子公司營收深圳沛頓2,876 2,199 1,272 合肥沛頓34 126 合計合計2,876 2,233 1,398 子公司凈利潤子公司凈利潤深圳沛頓85 144 56 合肥沛頓-4-24 合計合計85 140 32 深圳、合肥兩地布局存儲封測產能:深圳、合肥兩地布局存儲封測產能:2020年開始公司單獨披露存儲半導體營收,根據年報披露,2021年公司
90、存儲半導體收入達到28.85億元,同比增長22.2%,毛利率從上一年的15.0%提升至16.2%,營收占比提升至17.5%,2022年隨著合肥沛頓工廠投產,上半年存儲半導體營收同比增長9.2%達到15.29億元,營收占比進一步提升至20.3%。AI服務器需求爆發,配套服務器需求爆發,配套GPU顯存顯存GDDR/HBM產業鏈迎來新機遇產業鏈迎來新機遇:目前在DRAM內存芯片領域合肥長鑫具有較強的技術優勢,推出首顆國產DDR4內存芯片,可應用于PC、筆記本電腦、服務器、消費電子類產品等領域。AIGC對于AI服務器需求大增,尤其是配套GPU的GDDR和HBM顯存需求有望成為DDR和LPDDR之后新的
91、內存增長領域。公司具備DRAM領域先進封裝工藝,能夠實現DDR和LPDDR產品的封測,未來有望受益于國內高端顯存芯片國產化機遇。資料來源:公司公告,中信建投資料來源:合肥長鑫,中信建投圖表:合肥長鑫產品圖表:合肥長鑫產品圖表:深科技存儲封測業務營收圖表:深科技存儲封測業務營收 深科技:發布股權激勵方案,彰顯公司長期成長信心分業務營收分業務營收 百萬元百萬元20152016201720182019202020211H22存儲半導體2,361 2,885 1,529 計量智能終端766 701 1,169 1,275 1,674 2,128 1,332 640 高端制造硬盤相關6,773 6,47
92、5 4,498 4,361 4,082 OEM7,767 7,845 8,503 10,338 7,388 高端制造合計14,540 14,320 13,001 14,699 11,470 10,218 12,144 5,309 其他業務56 49 40 87 79 259 127 73 合計合計15,362 15,069 14,210 16,061 13,224 14,967 16,488 7,552 分業務營收增速分業務營收增速%存儲半導體22%9%計量智能終端-9%67%9%31%27%-37%-25%高端制造硬盤相關-4%-31%-3%-6%OEM1%8%22%-29%高端制造合計-2
93、%-9%13%-22%-11%19%-5%其他業務-13%-17%116%-9%226%-51%-29%合計合計-2%-6%13%-18%13%10%-5%存儲半導體業務逆周期成長:存儲半導體業務逆周期成長:2021年公司總營收達到164.88億元,其中存儲半導體增長最快,同比成長22%,2022年上半年公司該業務保持了持續增長趨勢,公司的計量智能終端與高端制造業務增速分別為-25%和-5%,明顯低于存儲半導體業務的增速。發布股權激勵方案彰顯成長信心發布股權激勵方案彰顯成長信心:公司在2022年底發布股權激勵方案,綁定核心員工,行權價格為11.39元,以2021年為基準,2023年-2025年
94、凈利潤復合增速不低于10%,彰顯公司對于長期成長的信心十足。資料來源:公司公告,中信建投 重點關注標的一覽表資料來源:各公司公告,2023年和2024年業績預期取自wind一致預期,中信建投證券細分領域細分領域公司名稱公司名稱證券代碼證券代碼公司市值公司市值 億元億元推薦邏輯推薦邏輯歸母凈利潤歸母凈利潤 百萬元百萬元市盈率市盈率 P/E2022E2023E2024E20222023E2024E晶圓代工中芯國際688981.SH3,9681、晶圓代工稼動率預計Q2觸底,Q3反彈,行業反轉在即2、非手機占比提升,配套成熟制程擴產翻倍增長3、國內晶圓代工龍頭,P/B估值較國際大廠低50%,安全邊際較
95、高12,133 6,008 9,035 33 66 44 存儲封測深科技000021.SZ2611、全球存儲芯片龍頭美光預期行業庫存在Q2看到明顯改善、行業底部確認2、公司合肥工廠2022年產能爬坡,2023年進入放量期3、AIGC帶動GPU大算力芯片需求暴增,配套的HBM顯存需求擴大,公司憑借存儲封測領域技術優勢有望切入國產DRAM龍頭HBM供應鏈831 1,033 1,150 31 25 23 邏輯封測長電科技600584.SH5771、公司封測產能全球布局,產能規模國內排名第一位,而且2023年資本開支投入逆勢增長2、公司立足先進封裝工藝,率先推出XDFOI為代表的2.5D/3D封裝平臺
96、3,231 3,566 4,104 18 16 14 邏輯封測通富微電002156.SZ3361、公司收購AMD封測業務切入CPU/GPU/ASIC高端大算力芯片封測領域,具備HBM封裝工藝能力,有望受益于先進封裝市場的快速擴容2、綁定AMD全球頭部客戶,2022年營收逆勢增長,未來隨著AIGC應用落地,對于大算力芯片需求持續提升,公司將顯著受益。502 980 1,360 67 34 25 風險提示54中美貿易中美貿易/科技摩擦升級風險:科技摩擦升級風險:美國限制含涉美技術的晶圓代工廠為限制名單上的中國芯片廠商代工,若未來美國加大對中國半導體行業的遏制,可能影響國內廠商需求海外代工以及先進制
97、程產品的研發。先進封裝工藝研發進展不及預期:先進封裝工藝研發進展不及預期:算力芯片、IP等產品市場技術壁壘高,行業龍頭不斷研發創新,未來若國內公司研發進展不及預期,致新一代產品開發進度、性能等指標不及預期,則會影響其市場競爭力。HPC、ADAS等大算力需求不達預期:等大算力需求不達預期:宏觀環境的不利因素將可能使得全球經濟增速放緩,導致HPC、汽車電子等大算力場景需求不及預期,或其他領域拓展進度放緩。市場競爭加劇導致毛利率下降:市場競爭加劇導致毛利率下降:國內廠商正積極推進國產替代,國內廠商之間亦存在競爭,若未來市場競爭加劇,可能導致價格戰致使毛利率下降。感謝何昱靈對本報告的貢獻。分析師介紹分
98、析師介紹劉雙鋒:劉雙鋒:中信建投證券電子首席分析師。3年深南電路,5年華為工作經驗,從事市場洞察、戰略規劃工作,涉及通信服務、云計算及終端領域,專注于通信服務領域,2018年加入中信建投通信團隊。范彬泰:范彬泰:電子行業分析師,曾任職于國金證券研究所和佳都科技,2021年加入中信建投電子團隊,重點覆蓋半導體中游環節,包括晶圓代工/封測,功率半導體,數字芯片設計等。55評級說明評級說明投資評級標準評級說明報告中投資建議涉及的評級標準為報告發布日后6個月內的相對市場表現,也即報告發布日后的6個月內公司股價(或行業指數)相對同期相關證券市場代表性指數的漲跌幅作為基準。A股市場以滬深300指數作為基準
99、;新三板市場以三板成指為基準;香港市場以恒生指數作為基準;美國市場以標普 500 指數為基準。股票評級買入相對漲幅15以上增持相對漲幅5%15中性相對漲幅-5%5之間減持相對跌幅5%15賣出相對跌幅15以上行業評級強于大市相對漲幅10%以上中性相對漲幅-10-10%之間弱于大市相對跌幅10%以上 分析師聲明分析師聲明本報告署名分析師在此聲明:(i)以勤勉的職業態度、專業審慎的研究方法,使用合法合規的信息,獨立、客觀地出具本報告,結論不受任何第三方的授意或影響。(ii)本人不曾因,不因,也將不會因本報告中的具體推薦意見或觀點而直接或間接收到任何形式的補償。法律主體說明法律主體說明本報告由中信建投
100、證券股份有限公司及/或其附屬機構(以下合稱“中信建投”)制作,由中信建投證券股份有限公司在中華人民共和國(僅為本報告目的,不包括香港、澳門、臺灣)提供。中信建投證券股份有限公司具有中國證監會許可的投資咨詢業務資格,本報告署名分析師所持中國證券業協會授予的證券投資咨詢執業資格證書編號已披露在報告首頁。在遵守適用的法律法規情況下,本報告亦可能由中信建投(國際)證券有限公司在香港提供。本報告作者所持香港證監會牌照的中央編號已披露在報告首頁。一般性聲明一般性聲明本報告由中信建投制作。發送本報告不構成任何合同或承諾的基礎,不因接收者收到本報告而視其為中信建投客戶。本報告的信息均來源于中信建投認為可靠的公
101、開資料,但中信建投對這些信息的準確性及完整性不作任何保證。本報告所載觀點、評估和預測僅反映本報告出具日該分析師的判斷,該等觀點、評估和預測可能在不發出通知的情況下有所變更,亦有可能因使用不同假設和標準或者采用不同分析方法而與中信建投其他部門、人員口頭或書面表達的意見不同或相反。本報告所引證券或其他金融工具的過往業績不代表其未來表現。報告中所含任何具有預測性質的內容皆基于相應的假設條件,而任何假設條件都可能隨時發生變化并影響實際投資收益。中信建投不承諾、不保證本報告所含具有預測性質的內容必然得以實現。本報告內容的全部或部分均不構成投資建議。本報告所包含的觀點、建議并未考慮報告接收人在財務狀況、投
102、資目的、風險偏好等方面的具體情況,報告接收者應當獨立評估本報告所含信息,基于自身投資目標、需求、市場機會、風險及其他因素自主做出決策并自行承擔投資風險。中信建投建議所有投資者應就任何潛在投資向其稅務、會計或法律顧問咨詢。不論報告接收者是否根據本報告做出投資決策,中信建投都不對該等投資決策提供任何形式的擔保,亦不以任何形式分享投資收益或者分擔投資損失。中信建投不對使用本報告所產生的任何直接或間接損失承擔責任。在法律法規及監管規定允許的范圍內,中信建投可能持有并交易本報告中所提公司的股份或其他財產權益,也可能在過去12個月、目前或者將來為本報告中所提公司提供或者爭取為其提供投資銀行、做市交易、財務
103、顧問或其他金融服務。本報告內容真實、準確、完整地反映了署名分析師的觀點,分析師的薪酬無論過去、現在或未來都不會直接或間接與其所撰寫報告中的具體觀點相聯系,分析師亦不會因撰寫本報告而獲取不當利益。本報告為中信建投所有。未經中信建投事先書面許可,任何機構和/或個人不得以任何形式轉發、翻版、復制、發布或引用本報告全部或部分內容,亦不得從未經中信建投書面授權的任何機構、個人或其運營的媒體平臺接收、翻版、復制或引用本報告全部或部分內容。版權所有,違者必究。中信建投證券研究發展部中信建投證券研究發展部中信建投(國際)中信建投(國際)北京東城區朝內大街2號凱恒中心B座12層電話:(8610)8513-0588聯系人:李祉瑤郵箱:上海浦東新區浦東南路528號南塔2106室電話:(8621)6882-1612聯系人:翁起帆郵箱:深圳福田區福中三路與鵬程一路交匯處廣電金融中心35樓電話:(86755)8252-1369聯系人:曹瑩郵箱:香港中環交易廣場2期18樓電話:(852)3465-5600聯系人:劉泓麟郵箱:charleneliucsci.hk56