《海外半導體設備巨頭巡禮系列:詳解光刻巨人ASML成功之奧妙-241015(94頁).pdf》由會員分享,可在線閱讀,更多相關《海外半導體設備巨頭巡禮系列:詳解光刻巨人ASML成功之奧妙-241015(94頁).pdf(94頁珍藏版)》請在三個皮匠報告上搜索。
1、海外半導體設備巨頭巡禮系列:詳解光刻巨人海外半導體設備巨頭巡禮系列:詳解光刻巨人ASML成功之奧妙成功之奧妙證券研究報告請務必閱讀正文之后的免責聲明部分首席證券分析師:周爾雙執業證書編號:S證券分析師:李文意執業證書編號:S2024年10月15日投資要點投資要點2歷經歷經40年發展,通過不斷收購同業和上游供應商、創新并引領行業技術突破,年發展,通過不斷收購同業和上游供應商、創新并引領行業技術突破,ASML現已成為全球第一大現已成為全球第一大IC光刻機廠商。光刻機廠商。ASML于1984年成立,40年來公司產品布局專注于IC前道光刻機,從創業之初的篳路藍縷,幾經突破后終成光刻巨人。2023年,A
2、SML實現營收276億歐元(約2150億人民幣),同比+30%,凈利潤78億歐元(約610億人民幣),同比+39%。光源光源&數值孔徑數值孔徑&工藝因子三輪驅動,共促光刻技術迭代。工藝因子三輪驅動,共促光刻技術迭代。光刻機在光刻工藝中承擔曝光這一核心步驟,投影式掩模光刻長期成為IC光刻機采用的主流技術。投影式光刻機可按曝光方式分為掃描式、步進重復式和步進掃描式(目前步進掃描式為行業主流),也可按光源類型分為UV、DUV和EUV光刻機。過去40年光刻機的技術迭代主要圍繞分辨率、套刻精度、產能三大關鍵指標以及決定分辨率的光源波長、數值孔徑和工藝因子三大參數展開。光源系統光源系統&光學系統光學系統&
3、雙工件臺為光刻機三大核心部件。雙工件臺為光刻機三大核心部件。光刻機產業鏈覆蓋眾多上游組件&系統和中游配套設備&材料,其中光源系統、光學系統、雙工件臺為光刻機的三大核心部件,價值量占比分別為15%、24%、12%。光源供應幾乎由美國Cymer和日本Gigaphoton壟斷;光學系統包括照明系統和投影物鏡兩大組成部分,其中投影物鏡技術難度極高,EUV投影物鏡由德國蔡司一家壟斷;雙工件臺由ASML于2001年最先推出,可在大幅提升光刻機產率的同時實現更高精度。光刻機市場:一超雙強格局穩定,晶圓擴產拉動需求增長。光刻機市場:一超雙強格局穩定,晶圓擴產拉動需求增長。2023年全球IC光刻機市場規模接近2
4、60億美元,且穩定呈現“一超雙強”的競爭格局,其中ASML在DUV和EUV光刻機市場均占據主導地位,特別是EUV光刻機市占率達到100%。展望未來光刻機市場需求,ASML預計2025年、2030年全球晶圓需求將分別達1280萬片/月、1660萬片/月(等效12英寸),2020-2030年成熟制程和先進制程晶圓需求CAGR分別為6%和10%,從而帶動光刻機特別是中高端光刻機的需求增長。ASML核心壁壘:技術、生態、資金三重壁壘筑高墻。核心壁壘:技術、生態、資金三重壁壘筑高墻。通過復盤ASML的發展歷程,我們發現ASML的成功之路離不開技術、生態、資金三大要素,而這三大要素也鑄造了ASML未來持續
5、壟斷行業的高大護城河。(1)技術:)技術:ASML早期憑借PAS 5500、雙工件臺、浸沒式、EUV四項技術實現趕超日本,如今ASML各項光刻機指標均在引領行業,成為延續摩爾定律的先鋒。(2)生態:)生態:ASML已掌控了光刻機的光源、光學系統、雙工件臺這三大最核心部件的供應,并與全球頭部晶圓廠客戶深度合作,已構筑起完善而牢固的生態網絡。(3)資金:)資金:ASML早期獲得了頭部客戶的股權投資,中后期又在自身大量盈利以及荷蘭政府的補貼/減稅支持下,持續巨額投入資金研發、收購供應商,不斷強化自身優勢。國產光刻機:前路漫漫亦燦燦,吾將上下而求索。國產光刻機:前路漫漫亦燦燦,吾將上下而求索。美日荷意
6、圖通過光刻機管制政策限制中國大陸先進制程發展,其中EUV光刻機早已明令禁入中國大陸,如今ArFi光刻機的管制也在加強。但我們看到,2023年以來ASML已將較多高端ArFi光刻機交付中國大陸,其中湖北、安徽、北京三地為進口ASML中端光刻機的主要省市。光刻機國產化方面,目前國產光刻機實現自主可控的三大核心要素均已具備,生態網絡正逐步完善,資金面相對充足,但最為關鍵的技術端仍然薄弱。目前國內光刻機可實現65nm制程,整體技術水平落后ASML約2030年,但在政府重視程度不斷加深、多家頂尖科研院所與高校的共同努力下,我們看好未來SMEE和各大院所在技術端的持續突破。風險提示:風險提示:半導體行業投
7、資不及預期,設備國產化進程不及預期,國際貿易摩擦加劇風險,半導體技術快速迭代風險。名詞解釋名詞解釋3數據來源:東吳證券研究所整理表:本篇報告中重要專有名詞釋義表:本篇報告中重要專有名詞釋義名稱名稱單位單位說明說明光刻機光源類型G-line一種UV汞燈光源,波長436nmI-line一種UV汞燈光源,波長365nmKrF氟化氪,一種DUV光源,波長248nmArF氟化氬,一種DUV光源,波長193nmArFi浸沒式氟化氬,通過浸沒式技術提高NA,將光源波長等效為134nmDUV深紫外光,波長在170-300nm范圍內EUV極紫外光,波長為13.5nm光刻機相關技術參數分辨率(Resolution
8、,R)nm表示光刻機能夠清晰投影最小圖像的能力,是光刻機最重要的技術指標之一,決定了光刻機能夠被應用于的技術節點水平。一般對于32/28nm及以上技術節點的邏輯器件,CD等于技術節點;而對于32/28nm以下節點的邏輯器件,由于晶體管進入立體結構時代,CD要大于技術節點。瑞利準則(Raleigh criterion)在光刻技術中,瑞利準則用來定義光刻機的分辨率,即光刻機的分辨率R=k1 /NA。CD(CriticalDimension)nm關鍵尺寸,集成電路中的最小特征尺寸,代表芯片結構中的最小線寬半間距,等于光刻機的分辨率R。nm光源波長,單位:nm。NA(NumericalAperture
9、)光刻機中投影物鏡鏡頭的數值孔徑,定義為nsin(),其中n是鏡頭與晶圓之間介質的折射率,是曝光光線在晶圓表面的最大入射角(取決于透鏡直徑)。NA越大,分辨率越高。干式DUV光刻機的NA最大為0.93,DUVi光刻機的NA最大為1.35,標準EUV光刻機的NA為0.33,High-NA EUV光刻機的NA為0.55,Hyper-NA EUV光刻機的NA為0.75。k1光刻工藝因子,單次曝光下的物理極限為0.25。套刻精度(Overlay)nm多次光刻的圖案層之間的對齊精度。由于一個器件可能需要經過多次光刻步驟來完成不同的層,因此每一層的圖案都需要與先前的層精準對齊,稍有偏移可能會導致電路性能降
10、低,良率下降,甚至芯片完全失效。隨著芯片工藝節點越來越小,允許的絕對套刻精度誤差也變得更小。多重曝光工藝對套刻精度的要求更高。光刻機單機產能(Throughput)wph 光刻機每小時處理的晶圓數量。光刻機相關零部件廠商Zeiss德國-卡爾蔡司集團,ASML的核心零部件供應商。Zeiss SMT蔡司的半導體事業部/子公司,ASML的核心零部件供應商。Cymer美國的一家DUV和EUV光源供應商,2013年被ASML全資收購,現為ASML EUV光源系統的唯一供應商。Gigaphoton日本的一家DUV光源供應商,主要為ASML、Nikon、Canon等整機廠提供DUV光源系統,目前其EUV光源
11、處于商業化量產的驗證階段。其他HVM(High Volume Manufacturing)大批量生產,在半導體行業中,進入HVM階段表明相關技術和工藝已經足夠成熟,可支持大規模商業化生產。目錄目錄1256ASML:全球最大:全球最大IC光刻機光刻機&半導體設備制造商半導體設備制造商光源光源&數值孔徑數值孔徑&工藝因子三輪驅動,共促光刻技術迭代工藝因子三輪驅動,共促光刻技術迭代ASML核心壁壘:技術、生態、資金三重壁壘筑高墻核心壁壘:技術、生態、資金三重壁壘筑高墻國產光刻機:前路漫漫亦燦燦,吾將上下而求索國產光刻機:前路漫漫亦燦燦,吾將上下而求索47 風險提示風險提示3 光源系統光源系統&光學系
12、統光學系統&雙工件臺為光刻機三大核心部件雙工件臺為光刻機三大核心部件4 光刻機市場:一超雙強格局穩定,晶圓擴產拉動需求增長光刻機市場:一超雙強格局穩定,晶圓擴產拉動需求增長22.0 26.1 19.1 17.0 10.5 29.8 26.5 14.3 13.2 9.7 28%25%13%12%9%0%5%10%15%20%25%30%05101520253035ASMLAMATLAMTELKLA2022年銷售額(十億美元)2023年銷售額(十億美元)2023年市占率-右軸1.1 ASML:全球第一大半導體制造設備供應商:全球第一大半導體制造設備供應商數據來源:Wind,Counterpoint
13、 Research,東吳證券研究所經歷經歷40年的發展,通過不斷收購同業和上游供應商、創新并引領行業技術突破,年的發展,通過不斷收購同業和上游供應商、創新并引領行業技術突破,ASML現已成為全球第一大現已成為全球第一大半導體制造設備供應商。半導體制造設備供應商。荷蘭的阿斯麥(ASML Holding N.V.,ASML)由Philips和ASMI合資成立于1984年,1995年登陸阿姆斯特丹證交所和紐交所上市。經過40年的發展,ASML現已成為全球半導體設備公司TOP5之一,也是全球最大的IC光刻機廠商,基本壟斷了包括ArFi、EUV光刻機在內的中高端光刻機市場。2023年,ASML實現銷售額
14、約300億美元,全球市占率28%,位列全球半導體設備廠商第一名。截至2024.10.13,ASML(ASML.O)市值約3360億美元。圖:全球半導體設備圖:全球半導體設備TOP5制造商銷售額及市占率制造商銷售額及市占率50200400600800100012001.2 發展歷程:從創業之初的篳路藍縷,幾經突破后終成光刻巨人發展歷程:從創業之初的篳路藍縷,幾經突破后終成光刻巨人數據來源:Bloomberg,光刻巨人:ASML崛起之路,東吳證券研究所圖:圖:ASML發展歷程復盤發展歷程復盤6-1001020304050607080901993199419951996199719981999200
15、0200120022003200420052006200720082009201020112012201320142015201620172018201920202021202220232024H1歸母凈利潤(億歐元)ASML月均股價(美元/股)-右軸198419861984年,飛利浦與ASMI各出資210萬美元,各持股50%,合資成立ASML1986年,ASML推出PAS 2500,并首次出售給美國Cypress(22年被英飛凌收購)1988年,飛利浦將MEGA產線搬至臺積電,后者因意外發生火災而向ASML采購了17臺PAS 2500,ASML久旱逢甘霖19881991年,ASML推出I-l
16、ine PAS 5500,并通過IBM 8英寸晶圓產線驗證,后實現小批量交付1995年,ASML上市,同年三星裝機第一臺PAS 5500并生產出250nm工藝的16Mb存儲器2000年,ASML收購美國光刻機廠商SVG,儲備157nm光源和EUV相關技術專利,并打入Intel供應鏈2002年,TSMC林本堅提出浸沒光刻技術,此后TSMC、IBM、Intel與ASML合作研發浸沒式光刻機2004年,ASML推出首臺商用ArFi光刻機XT 1250i。2006年,量產型XT 1400i進入Intel并通過40nm工藝驗證2007年,ASML收購美國計算光刻軟件公司Brion1996年,海力士裝機第
17、一臺PAS 5500,1998年海力士成為ASML的最大客戶2001年,ASML推出TWINSCAN雙工件臺,極大提升了光刻機生產效率2004年,TWINSCAN從AT系統升級到XT系統,占地面積降低25%。第五代NXT將激光干涉儀換成光柵,量測和套刻精度顯著提升2008年,ASML推出可用于32nm制程的XT 1950i1997年,Intel組織成立EUV LLC聯盟,ASML被特批加入,Nikon被美國政府“排擠在外”2010年,ASML推出第一代EUV光刻樣機NXE 31002012年,Intel、TSMC、三星分別投資33、11、8億歐元認購ASML 15%、5%和3%的股權,主要用于
18、支持EUV光刻機的研發2012年,ASML以25億美元收購美國DUV和EUV光源制造商Cymer2016年,ASML收購量測設備商HMI2017年,ASML收購Zeiss SMT 24.9%的股份2019年,ASML收購電子束光刻機廠商Mapper2020年,ASML收購Berliner Glas,鞏固晶圓臺、反射鏡等部件供應2023年ASML推出首臺High-NA EUV光刻機EXE 50001980s:起步階段起步階段1990s:PAS 5500奠基奠基2000s:雙工件臺雙工件臺&浸沒式助力市占率快速提升浸沒式助力市占率快速提升2010s:EUV壟斷最高端市場壟斷最高端市場2020s:E
19、UV拓展期拓展期ASML的發展歷史可大體分為五個階段。的發展歷史可大體分為五個階段。ASML于1984年成立,曾在之后幾年的起步階段幾次瀕臨破產,后經歷了1990s的奠基階段和2000s的技術實力突飛猛進,直至2010年才開始實現穩定盈利。2010-2021年ASML憑借中高端的浸沒式和EUV光刻機充分享受半導體擴產的紅利。2022年以來,ASML開始發力提升光刻機的產能與既有光刻機的迭代升級,2023年成功推出首臺High-NA EUV光刻機EXE 5000。1.2 股權結構:按單體看較為分散,但按地域看集中于美國股權結構:按單體看較為分散,但按地域看集中于美國數據來源:ASML官網,Blo
20、omberg,東吳證券研究所7ASML Holding N.V.其他ASML管理委員會(7人)Blackrock Inc.Capital Research and Management CompanyCarl Zeiss SMT10.32%7.95%0.03%81.7%阿斯麥上海光刻設備科技有限公司ASML Participations US Inc.Hermes Microvision,Inc.(HMI)Silicon Valley Group(SVG)Cymer Inc.100%24.9%100%100%100%100%ASML在中國的全資子公司,主要負責銷售光刻設備,并提供技術支持作為A
21、SML在美國的全資子公司,主要從事半導體光刻設備的研發、制造和客戶支持提供高精度光學系統和模塊,專注于制造關鍵光學部件于2013年被收購,專注于DUV和EUV光源于2001年被收購,提供193mm光刻系統和相關技術 于2016年被收購,專注于電子束檢測技術和高分辨率電子束檢測設備圖:圖:ASML股權結構及重要子公司股權結構及重要子公司(截至截至2023年末年末)美國47%法國18%盧森堡8%英國5%挪威4%愛爾蘭4%荷蘭4%德國2%日本1%其他7%圖:圖:ASML股權結構股權結構(按地按地域劃分域劃分,截至截至2024年年8月月)ASML的股權較為分散,公司前幾大股東均為歐美機構投資者。的股權
22、較為分散,公司前幾大股東均為歐美機構投資者。截至2023年末,公司前兩大股東分別為Capital Research and Management Company(美國資本研究與管理公司,持股10.32%)和Blackrock Inc.(美國貝萊德,持股7.95%)。此外,7名核心高管僅持有0.03%的公司股份。分地域來看,截至2024年8月,美國地區的投資者合計持有ASML 47%的股份。1.3 產品布局:專注于產品布局:專注于IC前道光刻機及配套量測設備前道光刻機及配套量測設備數據來源:ASML 2023年年報,Wind,東吳證券研究所ASML主營業務包含設備系統(主營業務包含設備系統(Ne
23、t system)和已安裝設備管理()和已安裝設備管理(IBM,Installed Base Management),其中),其中設備系統收入占比在設備系統收入占比在70%以上。以上。設備系統產品分為IC前道光刻機和量測設備兩大類,其中IC光刻機應用于IC前道光刻工序中的曝光步驟,在設備系統中的收入占比超過95%。按照光源種類對ASML的IC光刻機進一步拆分,可分為I-line光刻機、DUV光刻機(KrF、ArF、ArFi)和EUV光刻機。圖:圖:ASML業務布局業務布局8計算光刻計算光刻光刻后量測光刻后量測刻蝕后量測刻蝕后量測刻蝕后電子束刻蝕后電子束量測與檢測量測與檢測UV、DUV、EUV光
24、刻機光刻機烘烤、顯影、刻蝕烘烤、顯影、刻蝕(ASML未布局)未布局)數據來源:ASML-2021-investor-day,東吳證券研究所圖:圖:2020-2025年年ASML光刻機迭代路線圖光刻機迭代路線圖91.3 產品布局:全面覆蓋產品布局:全面覆蓋IC前道光刻機市場前道光刻機市場1.4.1 過去十年業績穩健增長,未來業績指引相對樂觀過去十年業績穩健增長,未來業績指引相對樂觀數據來源:Wind,ASML 2024Q2 Presentation,東吳證券研究所ASML預期預期2024年營收達年營收達275億歐元(約合億歐元(約合2150億人民幣),同比持平;目標億人民幣),同比持平;目標20
25、25、2030年營收分別達到年營收分別達到300-400億歐元、億歐元、440-600億歐元。億歐元。2012-2023年,ASML的營收和歸母凈利潤CAGR分別為17%和19%。歷史上ASML的營收和利潤基本跟隨全球半導體設備行業波動,2023年出現明顯背離,主要原因系ASML DUV浸沒式光刻機出口禁令生效前來自中國大陸的大批積壓訂單快速交付。2024H1,ASML實現營收115億歐元,同比-16%;歸母凈利潤28億歐元,同比-28%。展望未來,公司預計24Q3營收為67-73億歐元,同比增長0-9%;2024全年營收同比持平;2025年營收目標維持300-400億歐元,2030年營收目標
26、440-600億歐元。圖:圖:ASML歸母凈利潤及同比增速歸母凈利潤及同比增速圖:圖:ASML營收及同比增速營收及同比增速11 10 12 14 15 21 26 26 36 59 56 78 28-22%-11%18%16%6%36%25%0%37%66%-4%39%-28%-40%-20%0%20%40%60%80%01020304050607080902012201320142015201620172018201920202021202220232024H1歸母凈利潤(億歐元)yoy10-20%-10%0%10%20%30%40%50%01002003004005006002012201
27、320142015201620172018201920202021202220232024H12024E2025E2030E營業收入(億歐元)ASML營收同比增速-右軸全球半導體設備銷售額同比增速-右軸43%43%42%44%45%46%45%42%46%44%46%50%49%52%51%46%47%43%33%43%43%39%41%34%34%45%53%51%40%47%0%10%20%30%40%50%60%201020112012201320142015201620172018201920202021202220232024H1設備系統已安裝設備管理4%4%5%6%5%5%6%5%
28、4%4%4%4%4%4%5%12%10%12%17%18%17%16%14%14%17%16%14%15%14%18%0%0%0%0%0%0%0%1%0%0%0%0%0%0%0%-5%0%5%10%15%20%201020112012201320142015201620172018201920202021202220232024H1銷售、行政及一般費用率研發費用率財務費用率1.4.2 近年來盈利能力穩中有進,近年來盈利能力穩中有進,24H1受研發高增影響凈利率有所下滑受研發高增影響凈利率有所下滑數據來源:Wind,ASML財報,東吳證券研究所盈利能力方面,盈利能力方面,2020-2021年,年
29、,ASML的毛利率中樞由過的毛利率中樞由過去五到六年的去五到六年的45%躍升至如今的躍升至如今的51%,主要歸功于業務體量逐步擴張+產品結構優化(高毛利的EUV光刻機收入占比提升+已安裝設備管理毛利率改善)。2024H1,ASML的毛利率為51%,同比+0.3pct;歸母凈利率為24%,同比-4pct。在毛利率相對穩定的情況下,凈利率下降主要系公司加大EUV光刻機方面的研發投入力度,費用率顯著提升影響。展望未來,公司預期2024Q3毛利率在50%-51%;2024全年毛利率同比小幅下滑;2025年毛利率目標達到54%-56%,2030年毛利率進一步提升至56%-60%。圖:圖:ASML分業務毛
30、利率分業務毛利率圖:圖:ASML期間費用率情況期間費用率情況11圖:圖:2019-2021年年ASML毛利率邁向更高臺階;凈毛利率邁向更高臺階;凈利率多數年份隨毛利率波動利率多數年份隨毛利率波動43%43%42%42%44%46%45%45%46%45%49%53%51%51%51%23%26%24%19%20%22%22%23%24%22%25%32%27%28%24%0%10%20%30%40%50%60%201020112012201320142015201620172018201920202021202220232024H1毛利率歸母凈利率1.4.3 研發投入研發投入&資本開支快速增長
31、,保障新品研發與產能擴張資本開支快速增長,保障新品研發與產能擴張數據來源:Wind,ASML-2022-investor-day,ASML年報,東吳證券研究所持續巨額的研發投入和快速擴張的資本開支為持續巨額的研發投入和快速擴張的資本開支為ASML新新品研發與產能擴張提供有力保障。品研發與產能擴張提供有力保障。2023年,ASML的研發費用達40億歐元,同比+22%;截至2023年末研發人員數量為15500人,研發人數占比達37%;資本開支達22億歐元,同比+66%。2012-2023年,ASML的研發費用和資本開支CAGR分別為19%、26%,這期間EUV光刻機為主要研發和資本支出方向,重大投
32、資項目包括2013年收購DUV和EUV光源制造商Cymer、2016年收購電子束量測設備供應商漢微科(HMI)、2017年收購蔡司SMT 24.9%的股份。圖:圖:ASML研發費用及同比增速研發費用及同比增速圖:圖:ASML資本開支及同比增速資本開支及同比增速126 9 11 11 11 13 16 20 22 25 33 40 21-10%0%10%20%30%40%50%60%0510152025303540452012201320142015201620172018201920202021202220232024H1研發費用(億歐元)yoy2 2 4 4 3 4 6 9 10 9 13
33、22 10-60%-40%-20%0%20%40%60%80%05101520252012201320142015201620172018201920202021202220232024H1資本開支(億歐元)yoy101661054311831141811550041%38%37%36%37%0%5%10%15%20%25%30%35%40%45%02000400060008000100001200014000160001800020192020202120222023研發人員研發人員占比圖:圖:ASML研發人員數量和占比研發人員數量和占比1.4.3目標目標25-26年年DUV和和EUV光刻機
34、年產能分別提升到光刻機年產能分別提升到22年的年的2.5倍和倍和3倍倍數據來源:ASML-2022-investor-day,東吳證券研究所ASML目標目標2025-2026年年DUV和和EUV光刻機年產能分別提升到光刻機年產能分別提升到2022年的年的2.5倍和倍和3倍。倍。截至2022年11月投資者日,ASML的DUV光刻機年產能為240臺,EUV光刻機年產能為30臺。在看好下游晶圓廠投資的基礎上,ASML將持續增加研發投入和資本開支,目標2025-2026年實現600臺DUV光刻機和90臺EUV光刻機的年產能,屆時DUV和EUV光刻機的年產能將分別在2022年的基礎上增加1.5倍和2倍,
35、同時公司計劃到2027-2028年實現20臺High-NA EUV的年產能。圖:圖:ASML的資本開支規劃的資本開支規劃131.5.1 EUV和和ArFi兩類高端光刻機為兩類高端光刻機為ASML貢獻主要收入來源貢獻主要收入來源數據來源:ASML年報/Presentation,東吳證券研究所圖:圖:ASMLIC光刻機年度銷售收入按品類拆分光刻機年度銷售收入按品類拆分圖:圖:ASMLIC光刻機年度銷售收入按品類拆分光刻機年度銷售收入按品類拆分-占比占比1401313111928456370913327313532354048473950529039100112344468572%-10%-40%-
36、20%0%20%40%60%80%100%0501001502002502012201320142015201620172018201920202021202220232024H1億歐元I-lineKrFArF DryArFiEUVArFi-yoy-右軸EUV和和ArFi兩類高端光刻機長期成為兩類高端光刻機長期成為ASML的主要收入來源。分階段看:的主要收入來源。分階段看:(1)2012-2016年年ArFi主導:主導:ASML的EUV光刻機仍處于研發和小批量生產階段,DUV光刻機(KrF+ArF+ArFi)為公司主要收入來源,特別是高端的ArFi光刻機在整體光刻機中的收入占比高達70%80%
37、。(2)2017-2022年年EUV放量:放量:ASML的EUV光刻機經過前期的研發積淀和收購完善供應鏈之后快速放量,2017-2022年EUV光刻機的收入CAGR高達67%;而ArFi光刻機的收入占比則逐年收窄。2020年EUV光刻機實現大批量生產后收入超越ArFi光刻機,成為ASML第一大收入來源。(3)2023-2024H1 ArFi占比回升:占比回升:2023年ASML的光刻機收入為214億歐元(同比+45%),其中ArFi光刻機的收入達90億歐元(同比+72%),占比明顯提升。我們認為主要受美荷政府對中國大陸實施部分型號的ArFi光刻機禁令影響,ASML加快了向中國大陸ArFi光刻機
38、的交付。1%2%7%2%7%18%24%32%45%48%48%43%39%71%78%82%76%77%66%61%54%39%38%35%42%46%2%1%1%3%3%3%3%5%4%3%4%4%5%24%18%9%18%12%11%11%8%10%10%11%10%9%1%1%1%2%2%1%1%2%1%1%1%1%2%0%10%20%30%40%50%60%70%80%90%100%2012201320142015201620172018201920202021202220232024H1I-lineKrFArF DryArFiEUVArFi主導EUV放量ArFi占比回升1.5.2
39、23年年ASML的的ArFi光刻機出貨量大幅增加,光刻機出貨量大幅增加,EUV出貨量受產能限制出貨量受產能限制數據來源:ASML年報/Presentation,東吳證券研究所115141118263142405319727776677076868268818112552423961416222222283215786438745771786510313115118458151314182026263434334555260501001502002503003504004505002012201320142015201620172018201920202021202220232024H1臺I-l
40、ineKrFArF DryArFiEUV1%1%4%1%3%6%8%11%12%14%12%12%11%42%49%56%40%45%38%38%36%26%26%23%28%31%2%1%2%5%4%7%7%10%9%7%8%7%9%46%41%28%44%36%36%35%28%40%42%44%41%34%9%8%10%11%13%13%12%15%13%11%13%12%15%0%10%20%30%40%50%60%70%80%90%100%2012201320142015201620172018201920202021202220232024H1I-lineKrFArF DryArF
41、iEUV15從出貨量角度看,從出貨量角度看,ASML出貨的出貨的IC光刻機以光刻機以ArFi和和KrF光刻機為主。光刻機為主。2023年ASML光刻機的出貨量為449臺(同比+30%),其中EUV、ArFi、ArF、KrF、I-line光刻機的出貨量分別為53、125、32、184、55臺。進入2024年以來,24Q1公司的光刻機出貨量為70臺,出現較大下滑(同比-30%、環比-44%),主要系面向中國大陸以外地區的光刻機出貨量大幅減少,而面向中國大陸的光刻機出貨量同比仍在增長;24Q2公司的光刻機出貨量為100臺,其中ArFi光刻機環比增加12臺。受卡爾蔡司的受卡爾蔡司的EUV光學系統產能限
42、制,光學系統產能限制,EUV光刻機的供應緊張,交付周期長達光刻機的供應緊張,交付周期長達16-20個月(個月(ASML光刻機的光刻機的平均交付周期為平均交付周期為12-18個月),因此個月),因此2024年的大部分新簽訂單會于年的大部分新簽訂單會于2026年開始交付。年開始交付。ASML預估預估2024年、年、2025年分別交付年分別交付53臺、臺、72臺臺EUV光刻機。光刻機。圖:圖:ASMLIC光刻機年度出貨量結構光刻機年度出貨量結構圖:圖:ASMLIC光刻機年度出貨量結構光刻機年度出貨量結構-占比占比1.5.4 ASML各類型光刻機各類型光刻機ASP均呈現逐年提高趨勢均呈現逐年提高趨勢數
43、據來源:ASML年報/Presentation,東吳證券研究所16圖:圖:ASML各類各類IC光刻機年度銷售均價光刻機年度銷售均價2012年以來,年以來,ASML各種類型的光刻機銷售均價(各種類型的光刻機銷售均價(ASP)均呈現逐年提高的趨勢,一方面原因是)均呈現逐年提高的趨勢,一方面原因是ASML光刻光刻機產品供不應求,另一方面產品迭代升級、綜合成本提升。機產品供不應求,另一方面產品迭代升級、綜合成本提升。歷史上ASML的EUV光刻機相較其余產品價格上漲更為明顯,反映市場對于先進制程的需求更加旺盛;此外,公司于2019年推出NXE:3400C、2021年推出NXE:3600D后,EUV光刻機
44、的ASP提升速度明顯加快。2024H1,ASML的光刻機ASP約為0.5億歐元/臺(折合人民幣3.9億元/臺),其中EUV、ArFi、ArF、KrF、I-line光刻機的ASP分別為1.74億歐元/臺(折合人民幣13.6億元/臺)、0.76億歐元/臺、0.30億歐元/臺、0.13億歐元/臺、0.05億歐元/臺,不同光源的光刻機售價差距較大,幾乎每隔一級是2.3-2.5倍關系,EXE:5200型High-NA EUV光刻機的ASP更是超過3.5億歐元/臺(折合人民幣27億元/臺)。50.4173.775.530.112.95.20204060801001201401601802002012201
45、320142015201620172018201920202021202220232024H1百萬歐元/臺光刻機EUVArFiArF DryKrFI-line推出NXE:3400C推出NXE:3600D1.6 24H1 ASML存儲領域光刻機收入高增,邏輯領域收入大幅下滑存儲領域光刻機收入高增,邏輯領域收入大幅下滑數據來源:ASML年報/Presentation,東吳證券研究所2621223235376674961001605115222115304524294155603746%-60%-40%-20%0%20%40%60%80%100%120%05010015020025020132014
46、2015201620172018201920202021202220232024H1億歐元存儲邏輯邏輯-yoy存儲-yoy65%50%51%70%53%45%73%72%70%65%73%58%38%53%50%33%47%55%27%28%30%35%27%42%0%10%20%30%40%50%60%70%80%90%100%201320142015201620172018201920202021202220232024H1存儲邏輯14114512523826032735743916468147213106135178204161640100200300400500600700201620
47、17201820192020202120222023 2024H1臺存儲邏輯圖:圖:ASML設備年度收入按下游拆分設備年度收入按下游拆分(含量測設備含量測設備)圖:圖:ASML設備出貨量按下游拆分設備出貨量按下游拆分圖:圖:ASML設備年度收入按下游拆分設備年度收入按下游拆分-占比占比圖:圖:ASML設備出貨量按下游拆分設備出貨量按下游拆分-占比占比67%50%37%69%66%65%64%73%72%33%50%63%31%34%35%36%27%28%0%10%20%30%40%50%60%70%80%90%100%201620172018201920202021202220232024H
48、1存儲邏輯17ASML光刻機的應用領域大體分為邏輯(含代工)和存儲兩大類,按照下游劃分的光刻機的應用領域大體分為邏輯(含代工)和存儲兩大類,按照下游劃分的ASML設備收入可在一定程度反映下設備收入可在一定程度反映下游晶圓廠的產能釋放節奏。游晶圓廠的產能釋放節奏。2023年,ASML邏輯領域的設備收入為160億元,同比+60%,主要系中國大陸成熟邏輯以及TSMC、Intel、Samsung等頭部晶圓廠在2021-2022年的先進制程擴產帶動下訂單集中交付;存儲領域的設備收入達60億元,同比+9%,表明2023年存儲領域設備交付節奏相對平穩,且交付優先級可能落后于邏輯。2024H1,ASML邏輯領
49、域的設備收入同比-40%,我們認為主要系邏輯領域的期初積壓訂單在經歷23年的高增后有所下降;而ASML存儲領域的光刻機交付能力得以釋放,該領域的設備收入同比+46%。1.7.1 24H1 ASML來自中國大陸的營收同比高增,占比同比來自中國大陸的營收同比高增,占比同比+15pct達到達到42%數據來源:Wind,ASML年報/Presentation,東吳證券研究所891814232729734818%100%-25%69%18%6%149%128%-40%-20%0%20%40%60%80%100%120%140%160%05010015020025030020112012201320142
50、015201620172018201920202021202220232024H1億歐元其他亞洲國家荷蘭新加坡歐洲、中東、非洲日本美國韓國中國臺灣中國大陸中國大陸-yoy11%10%17%12%17%15%14%26%42%20%31%42%19%25%31%24%18%45%34%39%38%29%13%23%27%25%28%31%23%34%34%19%30%33%29%25%26%25%24%14%32%19%17%17%18%17%12%9%9%11%6%0%10%20%30%40%50%60%70%80%90%100%20112012201320142015201620172018
51、201920202021202220232024H1其他亞洲國家荷蘭新加坡歐洲、中東、非洲日本美國韓國中國臺灣中國大陸18圖:圖:ASML營業總收入按地區拆分:營業總收入按地區拆分:2023年年、24H1來自中國大陸的營收達來自中國大陸的營收達73、48億歐元億歐元,同比同比+149%、+128%圖:圖:ASML來自各地區的收入占比:來自各地區的收入占比:2023年年、24H1來自中國大陸的營收占比達來自中國大陸的營收占比達26%、42%,同比同比+13pct、+15pct2023年以來年以來ASML來自中國大陸的營收快速增長,營收占比大幅提升。來自中國大陸的營收快速增長,營收占比大幅提升。2
52、023年ASML來自中國大陸的收入為73億歐元,同比+149%,占比達到26%,同比+13pct;2024H1來自中國大陸的收入達48億歐元,同比+128%,占比同比+15pct達到42%。一方面是受到中國大陸光刻機進口限制不斷升級的風險影響,面向中國大陸的大批積壓訂單快速交付;另一方面也預示著未來中國大陸晶圓廠擴產的潛在需求旺盛。1.7.2 24H1 ASML來自中國大陸的設備收入占比同比來自中國大陸的設備收入占比同比+33pct達到達到49%數據來源:ASML年報/Presentation,ASML 2024年股東大會,東吳證券研究所19圖:圖:ASML設備年度收入按地區拆分設備年度收入按
53、地區拆分圖:圖:ASML設備年度收入按地區拆分設備年度收入按地區拆分-占比占比7161119212364431716463761656582329143248445421185%141%-50%0%50%100%150%200%05010015020025020172018201920202021202220232024H1億歐元日本其他亞洲國家歐洲、中東、非洲美國韓國中國臺灣中國大陸中國大陸-yoy11%19%12%18%16%15%29%49%26%19%51%36%44%42%30%9%36%35%16%31%35%28%25%24%0%10%20%30%40%50%60%70%80%9
54、0%100%20172018201920202021202220232024H1日本其他亞洲國家歐洲、中東、非洲美國韓國中國臺灣中國大陸由于ASML的營業總收入中包含20%25%的IBM(已安裝設備管理)業務收入,為了更明確公司光刻機的銷售情況,有必要對公司的設備收入做進一步拆分(光刻機貢獻了95%以上的設備收入)。2023年以來年以來ASML來自中國大陸的設備收入增速更快、占比提升更明顯。來自中國大陸的設備收入增速更快、占比提升更明顯。根據ASML 2024年股東大會,2023年以前,中國大陸的光刻機需求持續旺盛,但受制于ASML自身的光刻機產能以及對其他大客戶的優先供貨策略,公司僅能滿足中
55、國大陸需求的一小部分。2023年,在光刻機禁令強化的壓力下,ASML面向中國大陸的積壓訂單快速交付,2023年來自中國大陸的設備收入為64億歐元,同比+185%,在設備收入中的占比達到29%,同比+15pct;2024H1來自中國大陸的設備收入達43億歐元,同比+141%,占比同比大增33pct達到49%。1.7.4 臺積電、三星電子、英特爾為臺積電、三星電子、英特爾為ASML的前三大客戶的前三大客戶數據來源:Bloomberg,ASML年報,東吳證券研究所臺積電、三星電子、英特爾穩居臺積電、三星電子、英特爾穩居ASML的第一、二、三大客戶。的第一、二、三大客戶。2023年,ASML的前五大客
56、戶依次為臺積電、三星電子、英特爾、中芯國際、美光科技,收入占比分別為31.8%、24%、9.7%、3.5%、2.7%。臺積電、三星和英特爾三家晶圓廠憑借自身龐大的資本開支和最先進的芯片制程穩定成為ASML的前三大客戶。圖:圖:TEL各大客戶各大客戶Capex情況情況(億美元億美元)2023%40%31%37%33%32%16%15%19%29%23%24%9%13%10%8%5%10%0%10%20%30%40%50%60%70%80%90%100%201820192020202120222023其他南亞科技聯華電子華虹中芯國際美光科技SK海力士英特爾三星臺積電臺積電,31.80%三星,24.
57、00%英特爾,9.68%SK海力士,1.72%美光科技,2.72%中芯國際,3.49%華虹,0.49%聯華電子,1.10%南亞科技,0.10%其他,24.90%圖:圖:ASML收入按客戶劃分收入按客戶劃分(除第一大客戶臺積電收入占比和除第一大客戶臺積電收入占比和2021-2023年三星收入占比來自年三星收入占比來自ASML年報外年報外,其他數據均來自彭博預測其他數據均來自彭博預測)圖:圖:2023年年ASML客戶結構客戶結構0501001502002503003504004505002011201220132014201520162017201820192020202120222023三星電子
58、英特爾臺積電SK海力士美光科技圖:圖:ASML五大客戶五大客戶Capex情況情況(億美元億美元)1.8.1 截至截至24Q2末,末,ASML仍有約仍有約80億歐元的在手訂單來自中國大陸億歐元的在手訂單來自中國大陸數據來源:ASML年報/Presentation,東吳證券研究所圖:圖:2021年年EUV光刻機訂單放量光刻機訂單放量,ASML設備新簽訂單大幅增長;目前公司在手訂單仍然充足設備新簽訂單大幅增長;目前公司在手訂單仍然充足21ASML的新簽訂單鮮明反映出全球半導體行業特別是先進制程的擴產節奏。的新簽訂單鮮明反映出全球半導體行業特別是先進制程的擴產節奏。2012-2020年ASML的設備新
59、簽訂單基本保持穩健增長。2021年新簽訂單躍升至262億歐元,同比大幅增長132%,主要受益于三星、臺積電先進制程擴產,以及三家DRAM客戶導入EUV。2022年在12英寸成熟制程和先進制程擴產帶動下公司新簽訂單持續增長。2023年,隨著全球半導體行業進入調整階段,公司取得新簽訂單200億歐元,同比-35%。從公司設備從公司設備BB的變化大致可以推測公司光刻機的供求或產能情況。的變化大致可以推測公司光刻機的供求或產能情況。2021-2022年設備新簽訂單/收入(BB,Book-to-Bill)顯著提升,結合公司2022年提出的擴產規劃,表明當時公司光刻機供不應求。2023年,光刻機產能逐步爬升
60、使得公司能夠實現對中國大陸積壓訂單的快速發貨。2024H1,公司設備BB回歸常態,快速發貨政策也已結束,或表明市場對公司光刻機的供需暫時達到平衡。23Q4 ASML取得新簽訂單達到92億歐元,對23全年新簽訂單和年底在手訂單起到重要支撐作用。根據根據ASML 24Q2業業績說明會,截至績說明會,截至24Q2末,公司在手訂單約末,公司在手訂單約390億歐元,在手訂單依然充足,其中仍有略高于億歐元,在手訂單依然充足,其中仍有略高于20%來自中國大陸,約來自中國大陸,約80億歐元。億歐元。注:2018年及以后設備在手訂單由收入和新簽訂單推算得到,較實際值可能偏低。BB1一定程度表示產品供不應求,BB
61、接近1表示供求相對平衡。384042424664839010313715421987334649465494821171132623072009312202832406766 94 103 229 382 363 368 0.871.161.161.091.181.470.991.301.091.921.990.911.060.00.51.01.52.02.50501001502002503003504004502012201320142015201620172018201920202021202220232024H1億歐元設備收入設備新簽訂單設備在手訂單新簽訂單/收入-右軸三星、臺積電先進制
62、程擴產,以及三家DRAM客戶導入EUV;英特爾下達一臺EXE:5000訂單1.8.2 24H1 ASML存儲領域的新簽訂單同比存儲領域的新簽訂單同比+66%,反映存儲市場高景氣,反映存儲市場高景氣數據來源:ASML年報/Presentation,ASML 2024年股東大會,東吳證券研究所圖:圖:ASML設備新簽訂單金額按下游拆分設備新簽訂單金額按下游拆分圖:圖:ASML設備新簽訂單金額按下游拆分設備新簽訂單金額按下游拆分-占比占比22842012071305529611007036-9%66%-60%-40%-20%0%20%40%60%80%100%120%140%160%05010015
63、020025030035020202021202220232024H1億歐元存儲邏輯邏輯-yoy存儲-yoy74%77%68%65%60%26%23%32%35%40%0%10%20%30%40%50%60%70%80%90%100%20202021202220232024H1存儲邏輯分下游來看,近年來分下游來看,近年來ASML邏輯(含代工)領域的新簽訂單占比高于存儲,但存儲訂單的增速更快,占比逐邏輯(含代工)領域的新簽訂單占比高于存儲,但存儲訂單的增速更快,占比逐步提高步提高。2024H1公司在邏輯和存儲領域分別取得55億元和36億元的設備新簽訂單,同比分別-9%、+66%,存儲領域新簽訂單
64、占比達40%,同比+13pct??梢?024H1由DRAM和NAND主導的存儲市場復蘇節奏明顯快于邏輯,存儲市場有望成為本輪半導體行業及半導體設備行業復蘇的主要驅動力。1.9 ASML分支機構遍布全球多個國家和地區分支機構遍布全球多個國家和地區數據來源:ASML官網,半導體行業觀察,東吳證券研究所23菲爾德霍芬,荷蘭菲爾德霍芬,荷蘭:ASML全球總部,有最大的研發和制造基地,設有歐洲 ASML 全球支持中心、管理委員會以及法律和投資者關系等全球公司職能部門柏林,德國:柏林,德國:大型研發和制造基地。生產關鍵光刻組件,包括晶圓臺和夾具、掩模版夾頭和鏡塊威爾頓,美國康涅狄格州:威爾頓,美國康涅狄格
65、州:在美國最大的辦事處和第二大研發中心,唯一的內部光學制造基地。擁有機電一體化、對準和傳感器技術和世界一流的光學制造技術錢德勒,美國亞利錢德勒,美國亞利桑那州:桑那州:全球客戶支持中心的所在地希爾斯伯勒,美國俄勒岡州:希爾斯伯勒,美國俄勒岡州:最大的美國客戶支持站點,在ASML光刻系統的整個生命周期內提供最高水平的服務,也是美國客戶支持工程師的主要培訓中心硅谷,美國加利福硅谷,美國加利福尼亞州尼亞州:ASML軟件和研發中心所在地,專門從事計算應用和電子束計量圣地亞哥,美國加利福尼圣地亞哥,美國加利福尼亞州:亞州:光刻系統DUV和EUV光源的開發和制造中心,也是ASML旗下獨立運營業務Cymer
66、的總部東京,日本東京,日本:ASML日本總部,負責軟件開發以及客戶支持、物流和本地公司職能華城市,韓國:華城市,韓國:韓國總部,設有ASML韓國培訓中心,為工程師提供在客戶現場支持大批量生產的支持。也是系統零件韓國維修中心的所在地北京,中國大陸:北京,中國大陸:設有電子束檢測系統系列模塊的研究和制造中心,本地芯片制造商的客戶支持中心上海,中國大陸:上海,中國大陸:設有ASML中國總部、客戶支持服務中心和物流服務中心,中國大陸管理層辦公地深圳,中國大陸:深圳,中國大陸:亞洲最大的ASML軟件研發中心,致力于流程分析、數學建模、云計算、機器學習和大數據等一系列產品和解決方案中國香港中國香港:ASM
67、L亞洲總部所在地新竹,中國臺灣:新竹,中國臺灣:中國臺灣總部,區域管理層辦公地,也是企業職能部門以及客戶支持和軟件開發部門的所在地林口,中國臺灣:林口,中國臺灣:AMSL亞洲最大工廠所在地,為光刻機和YieldStar量測設備制造光罩處理器,并翻新成熟設備。也是亞洲全球客戶支持中心的所在地臺南,中國臺灣:臺南,中國臺灣:主要電子束技術站點,專注于電子束檢測系統的研發和生產圖:圖:ASML全球布局全球布局ASML跟隨大客戶在全球各地建設研發、制造和服務基地,現已形成全球化網絡布局跟隨大客戶在全球各地建設研發、制造和服務基地,現已形成全球化網絡布局。ASML的光刻機生產活動(包括分裝、總裝和設備測
68、試)都在荷蘭的菲爾德霍芬、德國柏林、美國圣地亞哥、韓國平澤、中國臺灣林口、中國臺灣臺南的基地進行,各基地基本圍繞臺積電、三星和英特爾的晶圓廠建設,以縮短設備交付周期、便于設備安裝/調試/升級/維保等服務。在中國大陸,ASML尚未建設光刻機生產基地,但設有多個客戶支持服務中心。目錄目錄1256ASML:全球最大:全球最大IC光刻機光刻機&半導體設備制造商半導體設備制造商光源光源&數值孔徑數值孔徑&工藝因子三輪驅動,共促光刻技術迭代工藝因子三輪驅動,共促光刻技術迭代ASML核心壁壘:技術、生態、資金三重壁壘筑高墻核心壁壘:技術、生態、資金三重壁壘筑高墻國產光刻機:前路漫漫亦燦燦,吾將上下而求索國產
69、光刻機:前路漫漫亦燦燦,吾將上下而求索247 風險提示風險提示3 光源系統光源系統&光學系統光學系統&雙工件臺為光刻機三大核心部件雙工件臺為光刻機三大核心部件4 光刻機市場:一超雙強格局穩定,晶圓擴產拉動需求增長光刻機市場:一超雙強格局穩定,晶圓擴產拉動需求增長數據來源:傳感器專家網,芯源微招股說明書,東吳證券研究所圖:光刻機簡易工作原理圖圖:光刻機簡易工作原理圖2.1.1 光刻工藝:光刻機承擔曝光這一核心步驟光刻工藝:光刻機承擔曝光這一核心步驟測量臺曝光臺減振裝置硅片測量設備能量探測器掩模臺掩模版物鏡內部封閉框架遮光器光束形狀設置激光器能量控制器光束矯正器(共3個)ASML Twinscan
70、簡易工作原理圖簡易工作原理圖光刻的基本原理是利用光將設計好的電路圖形從掩模版或倍縮掩模版上,轉移到晶圓上涂有的光敏性材料光刻的基本原理是利用光將設計好的電路圖形從掩模版或倍縮掩模版上,轉移到晶圓上涂有的光敏性材料(光刻膠)表面,通過曝光后的顯影、刻蝕等工序,使得晶圓上呈現出期望的電路圖案。(光刻膠)表面,通過曝光后的顯影、刻蝕等工序,使得晶圓上呈現出期望的電路圖案。晶圓表面上光刻膠的圖案是最為基本的電路圖案,IC制造中的刻蝕、沉積、離子注入等工藝大多需要以光刻工藝在光刻膠上留下的圖案為基礎,因此光刻是IC制造中最為重要的工藝。光刻機僅承擔光刻工藝中的曝光步驟。光刻機僅承擔光刻工藝中的曝光步驟。
71、完整的光刻工藝包括8個細分步驟:脫水烘烤(氣相成底膜和增黏)、旋轉涂膠、前烘、曝光曝光、后烘、顯影、堅膜烘烤、顯影檢查,其中光刻機僅負責曝光步驟,涂膠顯影設備則承擔除曝光以外的所有光刻步驟。圖:光刻工藝流程圖:光刻工藝流程脫水烘烤脫水烘烤對原始硅片進行清洗、脫水,清除吸附在硅片表面的污染物、上道工序的殘留物和金屬離子等雜質,并涂抹增黏劑旋轉涂膠旋轉涂膠在硅片表面均勻涂覆光刻膠,并將硅片邊緣和背面多余的光刻膠清除軟烘軟烘/前烘前烘將硅片放在烤箱或熱板上進行烘焙,減少光刻膠中的溶劑含量,提高光刻膠與硅片附著的穩定性曝光曝光將光掩模、透鏡模組和工件臺進行精密對準調平,工件臺在移動過程中完成硅片曝光顯
72、影檢查顯影檢查測量光刻膠的膜厚、套刻精度、關鍵尺寸等指標,對顯影后的電路圖案進行檢查后烘后烘通過曝光后烘焙激發曝光過程產生的酸,使部分光刻膠溶于顯影液并提高顯影分辨率顯影顯影噴涂顯影液,溶解光刻膠上之前被曝光的部分,進而將光掩模上的電路圖形復現在硅片上堅膜烘烤堅膜烘烤再次對硅片進行烘焙,進一步減少光刻膠中的溶劑含量,避免多余水分對后續刻蝕的影響,并提升光刻膠的粘性25光刻機數據來源:芯碁微裝招股書,胡楚雄等集成電路裝備光刻機發展前沿與未來挑戰(2024,中國科學:信息科學),東吳證券研究所圖:泛半導體光刻技術分類圖:泛半導體光刻技術分類2.1.2 光刻工藝:投影式光刻為當前光刻工藝:投影式光刻
73、為當前IC光刻機主流技術光刻機主流技術投影式掩模光刻是當前投影式掩模光刻是當前IC光刻機采用的主流技術。光刻機采用的主流技術。在泛半導體領域,根據是否使用掩模版,光刻技術主要分為直寫光刻與掩模光刻。掩模光刻中的投影式光刻技術發展成熟,可兼顧高精度與高效大批量生產,符合大規模IC制造需求。目前IC前道制造中的掩模光刻設備市場被荷蘭ASML、日本Nikon、日本Canon所壟斷,其中ASML處于全球領先地位,國內廠商僅上海微電子(SMEE)等企業能夠實現投影式光刻機的產業化。泛半導體主要光刻技術無掩膜光刻直寫光刻激光直寫光刻電子束直寫光刻離子束直寫光刻掩模光刻接觸式投影式邏輯芯片制程接近式掃描投影
74、式步進重復式步進掃描投影式UV e/g-line577/436nmDUVKrF248nmArF193nmArFi193nmEUV13.5nmHigh-NA EUV13.5nm光源類型與波長當前主流光刻機180130nm13065nm457nm72nm2nm推出時間19951998200420102023用于低端IC前道制造、IC后道先進封裝、低世代線FPD制造用于IC制造高端掩模版制版中高端IC前道制造IC后道先進封裝中高端世代線FPD制造荷蘭ASML、日本Canon、日本Nikon、中國SMEE日本ORC、美國Rudolph、中國SMEENikon、Canon、Rudolph、SMEE應用領
75、域主要光刻機廠商1500350nm1961/1973UV g/i-line436/365nm800250nm197826數據來源:胡楚雄等集成電路裝備光刻機發展前沿與未來挑戰(2024,中國科學:信息科學),東吳證券研究所2.1.2 光刻工藝:投影式光刻為當前光刻工藝:投影式光刻為當前IC光刻機主流技術光刻機主流技術掩模光刻由光源發出的光束,經掩模版在感光材料上成像,具體可分為接觸式、接近式光刻以及投影式光刻。掩模光刻由光源發出的光束,經掩模版在感光材料上成像,具體可分為接觸式、接近式光刻以及投影式光刻。接觸式光刻:接觸式光刻:接觸式光刻是小規模集成電路時期主流光刻技術,通過控制掩模版和晶圓之
76、間的真空度,實現二者的緊密接觸,并且一次性曝光整個襯底。接觸式光刻的優點是有效減少光的衍射效應,但缺點在于會導致掩模版與晶圓上的光刻膠直接接觸,容易受到污染,且二者間的摩擦也會導致掩模版的使用壽命顯著降低、限制晶圓良率。接近式光刻:接近式光刻:為解決接觸式光刻帶來的問題,1970s IC制造廣泛應用接近式光刻技術,掩模版與晶圓之間存在一層被氮氣填充的間隙,使得掩模版不易受到損傷。但間隙會導致光的衍射效應,影響最終曝光的成像質量,因此接近式光刻機的分辨率要略低于接觸式光刻機。接觸式/接近式光刻機的極限分辨率均停留在微米級,難以滿足日益減小的特征尺寸需求。投影式光刻:投影式光刻:相較于接觸式和接近
77、式光刻,投影式光刻通過投影的原理能夠在使用相同尺寸掩膜版的情況下獲得更小比例的圖像,從而實現更精細的成像。投影式光刻在最小線寬、對位精度、產能等核心指標方面能夠滿足各種不同制程泛半導體產品大規模制造的需要,成為當前IC前道制造、IC后道封裝以及FPD制造等泛半導體領域的主流光刻技術。光刻膠光刻膠110m間隙光刻膠投影透鏡晶圓晶圓晶圓掩模版掩模版掩模版光源光源光源接觸式光刻接觸式光刻接近式光刻接近式光刻投影式光刻投影式光刻圖:接觸式光刻圖:接觸式光刻、接近式光刻和投影式光刻示意圖接近式光刻和投影式光刻示意圖27數據來源:上海微系統所公共技術中心,韋亞一超大規模集成電路先進光刻理論與應用,東吳證券
78、研究所圖:掃描式圖:掃描式單個曝光場單個曝光場“掃描拍照掃描拍照”,步進重復式步進重復式多個曝光場多個曝光場“一步步拍照一步步拍照”,步進掃描式步進掃描式多個曝光場多個曝光場“掃描拍照掃描拍照”2.1.3 光刻工藝:步進掃描式為投影式中的主流技術光刻工藝:步進掃描式為投影式中的主流技術投影式光刻機經歷了從掃描投影式到步進重復式,再到步進掃描式光刻機的迭代。(投影式光刻機經歷了從掃描投影式到步進重復式,再到步進掃描式光刻機的迭代。(1)掃描投影式:)掃描投影式:早期的投影式光刻機為掃描投影式光刻機(Scanner),投影成像比例為1:1,通過一次掃描過程完成整個硅片的曝光,屬于逐片曝光的方式。缺
79、點是難以設計能夠在越來越大的區域上形成精確圖像的光學器件,且1:1的投影成像比例使得更加精細的掩模版制作難度顯著提升。(2)步進重復式:)步進重復式:硅片表面上某個曝光場完成曝光后,硅片臺進行步進運動,使得下一個曝光場得以繼續曝光。步進重復式光刻機(Stepper)基本能夠滿足250nm以上線寬制程的工藝要求,目前仍然應用在芯片非關鍵層、封裝等精度要求相對較低的領域。(3)步)步進掃描式:進掃描式:步進掃描式光刻機(Stepper&Scanner)通過動態掃描的方式,使得掩模版相對晶圓同步運動,可完成26mm33mm曝光場的曝光,當前曝光場掃描完畢后,轉移至下一個曝光場繼續進行掃描曝光,直至整
80、個晶圓所有曝光場完成曝光。得益于有效提升掩模的使用效率和曝光精度,步進掃描式光刻機現已成為主流光刻機型,占據光刻機市場份額的70%以上。從180nm節點開始,硅基CMOS工藝大量采用步進掃描光刻。步進重復式光刻示意圖步進重復式光刻示意圖步進掃描式光刻示意圖步進掃描式光刻示意圖28掃描投影式光刻示意圖掃描投影式光刻示意圖數據來源:胡楚雄等集成電路裝備光刻機發展前沿與未來挑戰(2024,中國科學:信息科學),ASML,東吳證券研究所2.1.4 光刻工藝:分辨率、套刻精度、產能為三大關鍵指標光刻工藝:分辨率、套刻精度、產能為三大關鍵指標光刻機的三大核心指標包括分辨率、套刻精度和產能,這三項指標是光刻
81、機子系統、零部件、光刻工藝相互配合、共光刻機的三大核心指標包括分辨率、套刻精度和產能,這三項指標是光刻機子系統、零部件、光刻工藝相互配合、共同作用的綜合結果。同作用的綜合結果。(1)分辨率()分辨率(Resolution):):隨瑞利準則不斷改進,由曝光光源波長、物鏡數值孔徑NA、光刻工藝因子k1共同決定。(2)套刻精度()套刻精度(Overlay Accuracy):):即多次光刻的圖案層之間的對齊精度,分為單機套刻精度(SMO)和多機套刻精度(MMO),一般MMOSMO,多重曝光工藝對套刻精度的要求更高。套刻精度的高低直接影響到芯片的性能和可靠性。而影響套刻精度的因素主要包括光刻機自身的減
82、振性、光源的穩定性、光刻膠的特性以及光刻機對溫濕度的控制能力等。(3)產能()產能(Throughput/Productivity):):指曝光速度,通常用光刻機每小時處理的晶圓數量度量(wph)。決定光刻機產能的主要因素是光刻機光源的輸出功率、光刻膠的敏感度以及工件臺的設計。曝光功率越大、光刻膠越敏感,晶圓曝光所需要的時間越短,產能越高。此外,雙工件臺的出現也大幅提升了光刻機的產能。29圖:光刻機三大核心技術指標介紹圖:光刻機三大核心技術指標介紹光刻機三大核心技術指標光刻機三大核心技術指標單位單位含義含義影響因素影響因素分辨率(Resolution)nm代表芯片結構中的最高圖形分辨率最小線寬
83、半間距(Metal 1 half pitch)。一般對于32/28nm及以上技術節點的邏輯器件,分辨率等于技術節點;而對于32/28nm以下節點的邏輯器件,由于晶體管進入立體結構時代,分辨率大于技術節點。分辨率R=k1*/NA,由曝光光源波長、物鏡數值孔徑NA、光刻工藝因子k1三者共同決定。套刻精度(Overlay Accuracy)nm多次光刻的圖案層之間的對齊精度,分為單機套刻精度(SMO)和多機套刻精度(MMO),一般MMOSMO,多重曝光工藝對套刻精度的要求更高。光刻機自身的減振性、光源的穩定性、光刻膠的特性以及光刻機對溫濕度的控制能力等。產能(Throughput、Productiv
84、ity)wph 即曝光速度,通常用光刻機每小時處理的晶圓數量度量(wph)。光刻機光源的輸出功率、光刻膠的敏感度以及工件臺的工作效率等。數據來源:Bloomberg Intelligence,中國科學院半導體研究所,東吳證券研究所2.2.1 光刻機迭代邏輯:提高分辨率光刻機迭代邏輯:提高分辨率瑞利準則瑞利準則瑞利準則(瑞利準則(Raleigh criterion)是推動光刻機不斷向前發展的重要理論依據。)是推動光刻機不斷向前發展的重要理論依據。集成電路中的最小特征尺寸為關鍵尺寸(critical dimension,CD),即為光刻機的分辨率R(resolution),該數據代表芯片結構中的最
85、高圖形分辨率最小線寬半間距(Metal 1 half pitch)。一般對于32/28nm及以上技術節點的邏輯器件,CD等于技術節點;而對于32/28nm以下節點的邏輯器件,由于晶體管進入立體結構時代,CD要大于技術節點。降低光源波長、提升物鏡數值孔徑、改進光刻工藝共同提高投影式光刻機分辨率。降低光源波長、提升物鏡數值孔徑、改進光刻工藝共同提高投影式光刻機分辨率。根據瑞利準則,R=CD=k1*/NA,其中k1為工藝因子,通過優化光刻工藝參數降低,單次曝光下k1的物理極限為0.25;為曝光光源波長,已經歷435nm(G-line)365nm(I-line)248nm(KrF)193nm(ArF)
86、13.5nm(EUV)的發展歷程;NA(Numerical Aperture)為投影物鏡的數值孔徑,定義為n*sin,n為投影物鏡的最后一片透鏡與晶圓之間的介質折射率,為曝光光線在晶圓表面的最大入射角(取決于透鏡直徑)。圖:光刻機分辨率隨瑞利準則不斷迭代演進圖:光刻機分辨率隨瑞利準則不斷迭代演進圖:不同制程節點對應的光刻機瑞利準則參數圖:不同制程節點對應的光刻機瑞利準則參數制程節點制程節點光源類型光源類型波長波長k1NA多重曝多重曝光次數光次數光刻機分光刻機分辨率辨率0.5um汞燈光源g-line436nm0.35umi-line365nm0.25umDUV(DeepUltraviolet L
87、ithography)KrF248nm(stepper)0.18umKrF248nm(stepper&scanner)0.13umArF193nm90nmArF193nm65/55nmArF193nm0.310.93164nm45/40nmArFi193(134nm)0.311.35144nm28nmArFi193(134nm)0.261.35138nm22/20nmArFi193(134nm)0.201.35229nm14/16nmArFi193(134nm)0.181.35225nm10nmArFi193(134nm)0.151.35322nm7nmArFi193(134nm)0.131.
88、35418nm7nmEUV(Extreme Ultraviolet Lithography)13.5nm0.460.33119nm5nm13.5nm0.390.33116nm3nm13.5nm0.290.33112nm2nm13.5nm0.230.3329nm1nm13.5nm0.460.55111nm30數據來源:中科院物理所,胡楚雄等集成電路裝備光刻機發展前沿與未來挑戰(2024,中國科學:信息科學),ASML-2021-investor-day,東吳證券研究所2.2.2 光刻機迭代邏輯:提高分辨率光刻機迭代邏輯:提高分辨率瑞利準則瑞利準則光源波長光源波長歷經歷經30余年,光刻機光源波長縮
89、短余年,光刻機光源波長縮短30余倍。余倍。根據光源的不同,光刻機可分為紫外(UV)光刻機、深紫外(DUV)光刻機、極紫外(EUV)光刻機,縮短光源波長是過去提升光刻機分辨率的核心方式。UV光刻機:光刻機:使用汞蒸氣燈作為光源,產生的紫外線波長范圍包括G-line(波長436nm)和I-line(波長365nm)。這類光刻機主要用于早期集成電路的生產,對應的是較為粗放的制程節點,約在800-250nm之間。DUV光刻機:光刻機:采用準分子激光器作為光源以實現更小的特征尺寸,如KrF(氟化氪,波長248nm)和ArF/ArFi(氟化氬,波長193nm,以及改進版的浸沒式ArF光刻技術,波長可等效達
90、到134nm)。歷史上Nikon曾倡導使用波長更短的氟氣體F2 準分子光源(波長157nm),但由于材料兼容性、技術成熟度和成本效益的限制,這種方案最終走向衰落。DUV光刻機支撐了從180-7nm甚至更高節點的芯片制造工藝。EUV光刻機:光刻機:EUV光刻機使用的是波長僅為13.5nm的EUV光源,該波長比DUV光縮短14倍以上,在7nm及以下制程節點成為主流選擇。EUV光刻機進一步延續了摩爾定律,使得半導體行業能夠繼續向更高集成度和性能發展。圖:各類光刻機在不同領域的應用圖:各類光刻機在不同領域的應用31圖:紫外光譜圖圖:紫外光譜圖數據來源:ASML-2016-investor-day,東吳
91、證券研究所2.2.2 光刻機迭代邏輯:提高分辨率光刻機迭代邏輯:提高分辨率瑞利準則瑞利準則光源波長光源波長1.35NA的的ArFi光刻機能夠滿足光刻機能夠滿足28nm邏輯技術節點的要求,要實現邏輯技術節點的要求,要實現28nm以下節點需要工藝復雜度更高的多重曝光技術。以下節點需要工藝復雜度更高的多重曝光技術。ArFi+雙重曝光已被廣泛應用于22nm、20nm、14nm技術節點,三重或多重曝光技術可達到10-7nm。但多重曝光存在對準問題,同時大幅增加了光刻、刻蝕、沉積等工藝步驟,晶圓制造的成本和良率控制難度也隨之提升。EUV能夠大幅簡化光刻的復雜性,從而顯著減少圖案化的缺陷、成本和耗時。能夠大
92、幅簡化光刻的復雜性,從而顯著減少圖案化的缺陷、成本和耗時。若完全采用ArFi+多重曝光實現7nm,需要進行34步光刻工藝及59-65步的關鍵對準套刻;相比之下,完全采用EUV實現7nm,僅需要9步光刻及12步關鍵對準套刻。與ArFi多重曝光相比,引入EUV后,圖案化缺陷能夠減少20%以上,成本降低15%-50%,周期時間縮短3-6倍,大幅提高生產效率。32圖:圖:EUV大幅簡化光刻與套刻對準步驟大幅簡化光刻與套刻對準步驟技術節點28nm20nm10nm7nm all ArFi7nm all EUV光刻步驟光刻步驟6823349關鍵對準步驟關鍵對準步驟79-1136-4059-6512圖:圖:E
93、UV大幅減少單層圖案化的工藝步驟大幅減少單層圖案化的工藝步驟圖:圖:EUV光刻后的成像質量更高光刻后的成像質量更高圖:圖:ArFi、EUV 0.33NA、EUV 0.55NA圖案化成本比較圖案化成本比較數據來源:ASML官網,韋亞一超大規模集成電路先進光刻理論與應用,東吳證券研究所2.2.2 光刻機迭代邏輯:提高分辨率光刻機迭代邏輯:提高分辨率瑞利準則瑞利準則光源波長光源波長EUV光刻技術的難點主要體現在四個方面光刻技術的難點主要體現在四個方面:(:(1)真空環境、反射光學器件:)真空環境、反射光學器件:EUV光會被材料強烈吸收,因此EUV光路必須處于真空環境,光學元件需要全部采用反射元件,掩
94、模也為反射式結構,這些改變帶來EUV光刻和掩模制造領域的巨大革新;(2)高功率、高穩定性光源:)高功率、高穩定性光源:EUV光刻機需要的光源輸出功率穩定且數值高達250W以上,以保證光刻過程的穩定性和足夠的曝光效率;(3)線寬與噪聲控制:)線寬與噪聲控制:EUV光源需要具備較窄的激光線寬,并要求頻率噪聲和相對強度噪聲都很小,以減少光學系統中的損耗;(4)高系統效率:)高系統效率:要實現250W以上的光源轉換率,激光器本身的平均功率需達到約20kW,意味著整個系統的能量轉換和傳輸效率必須非常高。為了滿足上述需求,EUV激光系統極其復雜,由大約45萬個零件組成,重量可達17噸,從種子光發生器到產生
95、EUV光的錫珠,光路的總長度可能超過500m,這對所有零部件的精度和穩定性提出了極高的要求。33圖:相比圖:相比DUV光刻機光刻機,EUV光刻機在光源光刻機在光源、大氣條件大氣條件、光學元件方面提出光學元件方面提出新的要求新的要求圖:圖:EUV光刻機產能與光刻機產能與EUV光源功率之間的關系光源功率之間的關系數據來源:Bloomberg Intelligence,上海微系統所公共技術中心,ASML官網,東吳證券研究所2.2.3 光刻機迭代邏輯:提高分辨率光刻機迭代邏輯:提高分辨率瑞利準則瑞利準則數值孔徑數值孔徑NA提高投影物鏡的數值孔徑提高投影物鏡的數值孔徑NA主要有增加物鏡直徑和采用浸沒式兩
96、種方式。主要有增加物鏡直徑和采用浸沒式兩種方式。在ArF光刻機推出以后,光源波長長期停滯在193nm的水平,提升光刻分辨率的主要方式為改良投影物鏡,進而提升數值孔徑NA。由于NA=n*sin,n為投影物鏡的最后一片透鏡與晶圓之間的介質折射率,為曝光光線在晶圓表面的最大入射角(取決于透鏡直徑),因此主要通過增加物鏡直徑和采用浸沒式兩種方式來提高投影物鏡的數值孔徑NA,進而提升光刻機的分辨率。干式光刻下增大物鏡直徑存在物理極限:干式光刻下增大物鏡直徑存在物理極限:在干式光刻技術中,光從投影物鏡射出,由玻璃介質進入空氣介質會發生衍射,光角度發生變化,最終成像于晶圓表面。隨著線寬不斷縮小,衍射效應不斷
97、增加,需要增大投影物鏡直徑來接受更多的光,但會導致物鏡內聚焦的光角度增加,再經過折射,射出投影物鏡的光角度接近水平,無法成像。浸沒式光刻使光刻分辨率進一步提高:浸沒式光刻使光刻分辨率進一步提高:在ArF光源基礎上,為了進一步提升光刻分辨率,DUV光刻技術曾逐漸演化成兩條發展方向,一是以Nikon為代表的使用波長更短的F2準分子光源(157nm),但由于材料兼容性、技術成熟度和成本效益的限制,這種方案最終走向衰落。二是浸沒式光刻采用高折射率的液體(目前采用去離子水)來取代投影物鏡與晶圓之間的空氣間隙,由于水的折射率和玻璃接近(在193nm波長中,折射率n:空氣=1,水=1.44,玻璃1.5),從
98、投影物鏡射出的光進入水介質后,折射角較小,故可改變投射光線的方向使其更多的高階成分參與成像,進而有效提高光刻分辨率。原本波長193nm的ArF光經過折射后的等效波長即為134nm,不僅小于F2準分子光源的157nm,也更容易集成到已有制造工藝中,因此得到廣泛應用。圖:增加物鏡直徑和采用浸沒式提升圖:增加物鏡直徑和采用浸沒式提升NA的原理的原理34(n=1.437)圖:干式光刻圖:干式光刻(左左)vs 浸潤式光刻浸潤式光刻(右右)0f數據來源:ASML,芯智訊,半導體行業觀察,東吳證券研究所2.2.3 光刻機迭代邏輯:提高分辨率光刻機迭代邏輯:提高分辨率瑞利準則瑞利準則數值孔徑數值孔徑NA200
99、4年,ASML推出首臺商用浸沒式光刻機TWINSCAN XT1250i,并從浸沒式光刻機開始,至今一直占據著中高端光刻機市場。伴隨著投影物鏡的不斷改進,目前浸沒式光刻機的數值孔徑最高可達伴隨著投影物鏡的不斷改進,目前浸沒式光刻機的數值孔徑最高可達1.35,能夠實現低,能夠實現低于于38nm的分辨率,結合多重曝光技術可實現的分辨率,結合多重曝光技術可實現7nm制程量產。制程量產。EUV光刻機正從光刻機正從0.33NA向向0.55NA突破,未來有望進一步實現突破,未來有望進一步實現0.75NA。為了進一步提高光刻機的曝光分辨率,ASML正考慮在EUV光源的波長條件下,增大物鏡的數值孔徑NA。目前A
100、SML已經量產的NXE系列EUV光刻機的NA為0.33,0.55 NA的高數值孔徑(High-NA)EUV光刻機也已成功研發并出貨,ASML計劃于2025-2026年量產High-NA EUV光刻機,并開始研發新一代0.75 NA的Hyper-NA EUV光刻機(預計2030年推出)。35圖:圖:ASMLEUV光刻機產品路線圖光刻機產品路線圖圖:圖:UV和和DUV光刻機數值孔徑光刻機數值孔徑NA的提升歷史的提升歷史數據來源:胡楚雄等集成電路裝備光刻機發展前沿與未來挑戰(2024,中國科學:信息科學),ASML-2016-investor-day,東吳證券研究所2.2.3 光刻機迭代邏輯:提高分
101、辨率光刻機迭代邏輯:提高分辨率瑞利準則瑞利準則數值孔徑數值孔徑NAEUV光刻機的數值孔徑光刻機的數值孔徑NA從從0.33增加到增加到0.55帶來以下優勢:(帶來以下優勢:(1)圖案化放大倍數增加一倍,從而顯著提高曝光分辨率;(2)為實現3nm邏輯制程,0.33 NA EUV雙重曝光可由0.55 NA EUV單次曝光代替,從而簡化33%的圖案化工藝步驟,并降低單層圖案化約40%的成本;(3)在相同光源功率與光刻膠敏感性條件下,由于曝光場數量翻倍,晶圓臺(WS)步進的加速度需要翻倍以維持曝光之外的成本不變,掩模臺(RS)的加速度需要提升至原來的4倍以滿足掃描方向(Y軸)放大倍率的提升,進而帶來光刻
102、機產能的提升。36圖:圖:0.55 NA相比相比0.33 NA,從掩模版到晶圓上的圖案化轉移過程中放大倍數增加一倍從掩模版到晶圓上的圖案化轉移過程中放大倍數增加一倍,且光線在掩模上更小的且光線在掩模上更小的入射角能夠大幅提升光線的反射率入射角能夠大幅提升光線的反射率,進一步提高曝光分辨率進一步提高曝光分辨率Projection with 0.55 NAMag X:4xMag Y:8x數據來源:胡楚雄等集成電路裝備光刻機發展前沿與未來挑戰(2024,中國科學:信息科學),ASML-2016-investor-day,東吳證券研究所2.2.3 光刻機迭代邏輯:提高分辨率光刻機迭代邏輯:提高分辨率瑞
103、利準則瑞利準則數值孔徑數值孔徑NAEUV光刻機的數值孔徑光刻機的數值孔徑NA從從0.33增加到增加到0.55帶來以下優勢:帶來以下優勢:(1)圖案化放大倍數增加一倍,從而顯著提高曝光分辨率;(2)為實現3nm邏輯制程,0.33 NA EUV雙重曝光可由0.55 NA EUV單次曝光代替,從而簡化33%的圖案化工藝步驟,并降低單層圖案化約40%的成本;(3)在相同光源功率與光刻膠敏感性條件下,由于曝光場數量翻倍,晶圓臺(WS)步進的加速度需要翻倍以維持曝光之外的成本不變,掩模臺(RS)的加速度需要提升至原來的4倍以滿足掃描方向(Y軸)放大倍率的提升,進而帶來光刻機產能的提升。37圖:圖:0.55
104、 NAEUV單次曝光即可實現單次曝光即可實現3nm邏輯制程邏輯制程,相比相比0.33 NA EUV雙重曝光大幅節省圖案化工序和成本雙重曝光大幅節省圖案化工序和成本圖:圖:0.55 NAEUV光刻機的晶圓臺和掩模臺加速度提升帶動產能提升光刻機的晶圓臺和掩模臺加速度提升帶動產能提升數據來源:胡楚雄等集成電路裝備光刻機發展前沿與未來挑戰(2024,中國科學:信息科學),ASML-2016-investor-day,東吳證券研究所2.2.3 光刻機迭代邏輯:提高分辨率光刻機迭代邏輯:提高分辨率瑞利準則瑞利準則數值孔徑數值孔徑NA相較而言,高數值孔徑也對光刻機的系統設計帶來較大挑戰。(相較而言,高數值孔
105、徑也對光刻機的系統設計帶來較大挑戰。(1)對物鏡要求更為嚴苛:)對物鏡要求更為嚴苛:為避免光錐重疊和EUV光的反射率下降的問題,0.55 NA EUV光刻機的光學系統需要采用更高縮放比的透鏡,并采用兩個方向倍率不同的變形縮放,但根據該方案設計出的High-NA EUV光刻機物鏡的最大尺寸從0.65m增大至1.2m,最大質量也從40kg增大至360kg,從而大幅增加了物鏡的生產難度。(2)對步進掃描運動的控制難度提升)對步進掃描運動的控制難度提升:由于設計的調整,視場變小,總場數增加,掃描方向掩模臺與晶圓臺速度之比為8:1,導致工件臺和掩模臺的速度和加速度大幅提升,進而增加了相應系統的制造和控制
106、難度。(3)對光刻工藝中聚焦的控制更為嚴格)對光刻工藝中聚焦的控制更為嚴格:光源波長的減小和數值孔徑的變大都會帶來焦深(聚焦深度,DOF)的降低,而焦深必須要遠大于晶圓表面的不平整度以保證光刻工藝的良率。38最大物鏡直徑最大物鏡直徑最大物鏡質量最大物鏡質量0.33 NA0.65m40kg0.55 NA1.2m360kg圖:圖:0.33 NA EUV與與0.55 NA EUV最大物鏡的直徑最大物鏡的直徑和質量對比和質量對比圖:圖:NA增大帶來增大帶來EUV光刻的光刻的DOF降低降低數據來源:韋亞一超大規模集成電路先進光刻理論與應用,東吳證券研究所2.2.4 光刻機迭代邏輯:提高分辨率光刻機迭代邏
107、輯:提高分辨率瑞利準則瑞利準則工藝因子工藝因子k1單次曝光下光刻工藝因子單次曝光下光刻工藝因子k1的物理極限為的物理極限為0.25,一般通過分辨率增強技術(,一般通過分辨率增強技術(RET)縮?。┛s小k1以逼近物理極限。以逼近物理極限。典型的RET包括光學臨近效應修正OPC、離軸照明技術OAI、相移掩膜PSM等技術。(1)OPC:臨近效應指由于光的衍射效應使曝光成像圖形發生畸變的現象,通過反向修正掩膜圖形,消除圖像畸變失真,以增強分辨率。(2)OAI:將照明光線由正入射改為傾斜入射,與物鏡主光軸形成一定夾角,使得同等NA下可容納光量提升,以增強分辨率。(3)PSM:通過引入另一光場,兩光場之間
108、發生相消干涉,使得亮區光場增強、暗場光強減弱,以此提高對比度,以增強分辨率。圖:縮小圖:縮小k1或分辨率增強技術梳理或分辨率增強技術梳理39表:一些典型的分辨率增強技術表:一些典型的分辨率增強技術數據來源:與非網,東吳證券研究所2.2.4 光刻機迭代邏輯:提高分辨率光刻機迭代邏輯:提高分辨率瑞利準則瑞利準則工藝因子工藝因子k1多重曝光多重曝光(multinle patterming)技技術可顯著提高光刻分辨率。術可顯著提高光刻分辨率。光刻-刻蝕-光刻-刻蝕(litho-etch-litho-etch,LELE)技術將光刻圖形拆分到兩個光掩模上,通過兩次曝光降低線寬,在此基礎上還發展出三次曝光的
109、LELETE技術。但由于多次曝光存在對準問題,最終精度和良率顯著受到光刻機套刻精度上限的約束。為解決這一問題,自對準雙重或者四重圖形技術(SADP/SAQP)被提出并應用于光刻工藝,利用自對準特性實現2倍/4倍的圖案密度。圖:多重曝光技術圖:多重曝光技術LELE、LELELE、SADP、SAQP工序示意圖工序示意圖40數據來源:ASML-2016-investor-day,東吳證券研究所2.2.4 光刻機迭代邏輯:提高分辨率光刻機迭代邏輯:提高分辨率瑞利準則瑞利準則工藝因子工藝因子k141多重曝光可等效降低多重曝光可等效降低k1從而顯著提高光刻機分辨率。從而顯著提高光刻機分辨率。1.35NA的
110、ArFi光刻機單次曝光至多能夠實現28nm邏輯節點,ArFi+雙重曝光可實現22-14nm制程節點,ArFi+三重/四重曝光技術可達到10-7nm。若將多重曝光提高分辨率的效果歸功于k1的下降(此時的k1將多重曝光看成一個整體而言,而非單次曝光下的k1),k1便可突破傳統意義上0.25的物理極限。但多重曝光大幅增加了光刻、刻蝕、沉積等圖案化工藝步驟晶圓制造的成本和良率控制難度也隨之提升,從而顯著增加了對高性能刻蝕設備和薄膜沉積設備的需求。圖:圖:ArFi+多重曝光在先進邏輯和多重曝光在先進邏輯和DRAM上的應用上的應用圖:多重曝光可顯著增強光刻機的分辨率圖:多重曝光可顯著增強光刻機的分辨率目錄
111、目錄1256ASML:全球最大:全球最大IC光刻機光刻機&半導體設備制造商半導體設備制造商光源光源&數值孔徑數值孔徑&工藝因子三輪驅動,共促光刻技術迭代工藝因子三輪驅動,共促光刻技術迭代ASML核心壁壘:技術、生態、資金三重壁壘筑高墻核心壁壘:技術、生態、資金三重壁壘筑高墻國產光刻機:前路漫漫亦燦燦,吾將上下而求索國產光刻機:前路漫漫亦燦燦,吾將上下而求索427 風險提示風險提示3 光源系統光源系統&光學系統光學系統&雙工件臺為光刻機三大核心部件雙工件臺為光刻機三大核心部件4 光刻機市場:一超雙強格局穩定,晶圓擴產拉動需求增長光刻機市場:一超雙強格局穩定,晶圓擴產拉動需求增長3.1 光刻機產業
112、鏈覆蓋眾多上游組件光刻機產業鏈覆蓋眾多上游組件&系統和中游配套設備系統和中游配套設備&材料材料數據來源:各公司官網,郭乾統基于光刻機全球產業發展狀況分析我國光刻機突破路徑(2021,集成電路應用),東吳證券研究所43上游上游核心核心零部零部件件中游中游整機整機設計設計與制與制造、造、配套配套設備設備與材與材料料光學組件光學組件掩模光刻機掩模光刻機IC前道制造(晶圓廠)前道制造(晶圓廠)TSMC、Intel、Samsung、SK海力士、美光科技、UMC、SMIC、華虹、長存、長鑫等ASML、Nikon、Canon、SMEE等封閉框架封閉框架與減振器與減振器雙工件臺雙工件臺曝光組件曝光組件下游下游
113、應用應用市場市場IC后道先進封裝后道先進封裝日月光、安靠、TSMC、Intel、Samsung、長電科技、華天科技等面板制造面板制造(FPD)Samsung、LGD、京東方等LED制造制造臻鼎、欣興、東山精密、旗勝、迅達科技等后市場:維修保養、升級改造后市場:維修保養、升級改造PCB制造制造直寫式光刻機直寫式光刻機光學鏡片光學鏡片光束形狀設置光束形狀設置光束矯正器光束矯正器測量臺測量臺曝光臺曝光臺掩模臺掩模臺掩模版掩模版遮光器遮光器能量探測器能量探測器組組件件系系統統光源系統光源系統檢測系統檢測系統控制系統控制系統測量系統測量系統照明子系統照明子系統投影物鏡投影物鏡溫度溫度/濕度濕度/清潔度控
114、制清潔度控制計算光刻(控制軟件)子系統計算光刻(控制軟件)子系統調平調焦測量子系統調平調焦測量子系統光學系統光學系統整機(軟件)控制整機(軟件)控制光罩缺陷檢測光罩缺陷檢測電子束檢測電子束檢測浸沒系統浸沒系統無掩模光刻機無掩模光刻機干式干式DUV光刻機光刻機浸沒式浸沒式光刻機光刻機EUV光刻機光刻機涂膠顯影設備涂膠顯影設備光刻膠光刻膠光刻氣體光刻氣體傳輸系統傳輸系統晶圓傳輸子系統晶圓傳輸子系統掩模傳輸子系統掩模傳輸子系統ASML、NikonASMLTEL、Screen、芯源微、盛美上海等ASML、Berliner Glas(ASML收購)、華卓精科德國博世、意大利XT等KLA、ASML、Las
115、ertec等荷蘭VDL、意大利Metroframe等日本川崎重工等ASML、Nikon美國Brion(ASML收購)、美國Metor等Zeiss、Nikon、Canon等美國Cymer(ASML收購)、日本Gigaphoton、美國Trumpf、科益虹源Zeiss、Nikon、Canon、Berliner GlasTSMC、Intel、Samsung、SMIC、Toppan、Photronics、DNP等DUV/EUV激光器激光器日本JSR、日本TOK、日本信越化學等其他其他干式干式DUV浸沒式浸沒式DUVEUVTEL、Screen、芯源微TEL、Screen美國APD、林德、法液空、華特氣體
116、等瑞典Mycronic、德國Heidelberg、芯碁微裝等圖:光刻機產業鏈梳理圖:光刻機產業鏈梳理Wolfspeed、東芝、兆馳三安光電等注:灰色打底字體表示中國大陸廠商。真空系統真空系統ASML3.2 光源系統、光學系統、雙工件臺為光刻機的三大核心部件光源系統、光學系統、雙工件臺為光刻機的三大核心部件數據來源:胡楚雄等集成電路裝備光刻機發展前沿與未來挑戰(2024,中國科學:信息科學),Bloomberg,東吳證券研究所圖:圖:ASML總體結構及主要組成系統總體結構及主要組成系統(以以EUV光刻機為例光刻機為例)光刻機的主要組成系統包括:光源系統、光學系統(包括照明系統和投影物鏡)、掩模臺
117、、掩模傳輸系統、工件臺、晶圓傳輸系統、對準系統、調平調焦系統、環境控制系統、整機框架和減振系統、整機控制系統和整機軟件等,其中光源、光學系統、雙工件臺為光刻機的三大核心部件,價值量占比約為光源、光學系統、雙工件臺為光刻機的三大核心部件,價值量占比約為15%、24%、12%。掩模臺掩模臺照明照明/光光路系統路系統光源系統光源系統雙工件臺雙工件臺投影物鏡系統投影物鏡系統晶圓傳輸系統晶圓傳輸系統表:光刻機各主要組成系統的功能表:光刻機各主要組成系統的功能子系統子系統功能功能子系統子系統功能功能光源系統為光刻機提供滿足光刻需求的特定波長、線寬以及功率的光束雙工件臺實現硅片、掩模相對于曝光光學系統的超精
118、密運動和定位照明系統用于實現掩模面特定形狀的均勻照明,控制照明光的空間相干性以及曝光劑量的控制掩模臺承載包含芯片圖案的掩模版投影物鏡系統將掩模圖形按照一定縮放比例成像到晶圓上,是實現精準成像的關鍵部件晶圓傳輸系統利用精密機械臂通過氣閘將晶圓移入和移出工件臺44光源系統,15%物鏡系統,10%照明系統,14%雙工件臺,12%其他零部件,49%圖:圖:ASML光刻機各主光刻機各主要組成系統價值量占比要組成系統價值量占比數據來源:宋健等Cymer準分子激光器的工作原理及應用(2008,電子工業專用設備),芯查查,東吳證券研究所3.2.1 光刻機光源包括光刻機光源包括UV、DUV和和EUV,其中,其中
119、EUV技術難度極高技術難度極高曝光光源技術為光刻機提供滿足光刻需求的特定波長、線寬以及功率的光束,主要包括曝光光源技術為光刻機提供滿足光刻需求的特定波長、線寬以及功率的光束,主要包括UV汞燈光源(汞燈光源(G-line、I-line)、)、DUV準分子激光光源(準分子激光光源(KrF、ArF、ArFi),以及),以及EUV光源。光源。UV汞燈光源:汞燈光源:光刻機最早采用高壓汞燈產生的紫外光源,隸屬于氣體放電光源,汞蒸氣被能量激發,汞原子最外層電子受到激發從而躍遷,落回后放出光子,如光刻機使用的G-line(436nm)、I-line(365nm)等。DUV準分子激光光源:準分子激光光源:準分
120、子激光光源工作介質一般為稀有氣體及鹵素氣體,并充入惰性氣體作為緩沖劑,工作氣體受到放電激勵,在激發態形成短暫存在的“準分子”,準分子受激輻射躍遷,形成紫外激光輸出,應用于光刻機的光源有KrF(248 nm)和ArF(193 nm)。準分子激光光源的技術難點在于實現高重復頻率、高功率和窄線寬,高重復頻率、高功率能夠提高產率,而窄線寬可以保證集成電路圖案的精細度,減少色差對最終光刻效果的影響。圖:高壓汞燈光源圖:高壓汞燈光源(上上)和準分子激光器光源和準分子激光器光源系統系統(下下)結構圖結構圖45圖:圖:Cymer準分子激光光源器工作原理準分子激光光源器工作原理數據來源:胡楚雄等集成電路裝備光刻
121、機發展前沿與未來挑戰(2024,中國科學:信息科學),ASML官網,東吳證券研究所3.2.1 光刻機光源包括光刻機光源包括UV、DUV和和EUV,其中,其中EUV技術難度極高技術難度極高EUV光刻機光源產生的原理:光刻機光源產生的原理:當工藝節點達到5nm及以下,即使采用浸沒式光刻技術配合SADP/SAQP工藝,仍難以滿足需求,只能使用EUV光刻機。目前主流的EUV光源是激光激發的等離子體(laser produced plasma,LPP),即EUV光源是由液態錫滴產生的等離子體放射產生。采用錫材料的原因是錫在13.5nm波段附近有相對較強的EUV輻射譜線,且錫的熔點低,僅232。EUV光產
122、生的過程大致為:將熔化的錫從高處以每秒5萬次的頻率滴下,每一滴錫25 m的大小,以CO2激光器產生的高能激光擊中并產生等離子體,從而發出13.5nm波長的EUV光。圖:圖:EUV光刻機光源系統工作原理光刻機光源系統工作原理46直徑約25m的熔融錫滴以70m/s的速度從發電機中噴出錫液滴首先被低強度激光脈沖擊中,將其壓扁成煎餅狀再以更強大的激光脈沖將扁平的錫滴蒸發,以產生等離子體等離子體發射出13.5nm波長的EUV光圖:圖:EUV光源產生的過程示意圖光源產生的過程示意圖數據來源:宗楠等激光等離子體13.5nm極紫外光刻光源進展(2020,中國光學),東吳證券研究所3.2.1 光刻機光源供應幾乎
123、由美國光刻機光源供應幾乎由美國Cymer和日本和日本Gigaphoton壟斷壟斷目前目前Cymer(2013年被年被ASML收購)和日本的收購)和日本的Gigaphoton近乎壟斷全球光刻機的光源供應,且僅有近乎壟斷全球光刻機的光源供應,且僅有Cymer能能夠量產夠量產EUV光源,光源,Gigaphoton也已進入也已進入EUV光源量產機型商業化的驗證階段。光源量產機型商業化的驗證階段。目前全球DUV準分子激光器市場由Cymer和Gigaphoton兩家公司壟斷,EUV光源則由Cymer獨供ASML。Gigaphoton已設計出三款EUV光源產品,分別為Proto#1、Proto#12、Pil
124、ot#1,其中Pilot#1為HVM Ready機型,其CO2激光器功率為27kW,對應光源的EUV輸出功率達到250W,Pilot#1可對標ASML的NXE:3400B光刻機光源。國內僅科益虹源可提供DUV準分子激光光源(KrF、ArF)。表:表:Gigaphoton公司公司EUV光源產品參數光源產品參數47應用于應用于ASML NXE:3400B光刻機的光源參數光刻機的光源參數250W6.0%85%21.5 kW3.2.2 照明系統的難點在于超高質量加工、精密控制以及計算光刻照明系統的難點在于超高質量加工、精密控制以及計算光刻數據來源:ASML官網,東吳證券研究所圖:光刻機光學系統總體結構
125、圖:光刻機光學系統總體結構48光學系統是光刻機最關鍵且最復雜的部件之一,包括照明系統和投影物鏡兩大核心組成部分。照明系統位于光源與投影物鏡之間,它是一個復雜的非成像光學系統,用于實現掩模面特定形狀的均勻照明,控制照明光的空間相干性以及曝光劑量的控制。照明系統的技術難點在于超高質量的加工、精密控制以及計算光刻。照明系統的技術難點在于超高質量的加工、精密控制以及計算光刻。照明系統涵蓋光束處理、光瞳整形、能量探測、光場勻化、中繼成像和偏振照明等單元,其技術難點主要包括提升光均勻度、確保掃描條形光的開合以及調節光形狀、需要精密的光瞳整形技術以應對衍射效應,這些都要求超高質量的加工和精密的控制。此外,當
126、集成電路中的最小線寬已經小于光源波長,曝光時相鄰圖形光線的干涉和衍射效應會導致圖像畸變,使得晶圓上的圖形和掩模上的圖形差別較大,此時需要使用計算光刻技術來提高現有光刻機的分辨率、提高曝光成像的準確性、加大成像系統的焦深、增大工藝窗口,主要包括了亞分辨率輔助圖形技術、光源掩模交互優化技術以及逆向光刻技術等。目前,計算光刻技術如何提高圖像質量一致性、算法效率等,仍然是光刻機領域的關鍵研究問題。照明系統技術難點提高光均勻度確保掃描條形光的開合以及調節光形狀精密的光瞳整形技術超高質量加工和精密控制計算光刻技術通過提高k1提高光刻機分辨率增大成像焦和深工藝窗口圖:照明系統技術難點總結圖:照明系統技術難點
127、總結3.2.2 投影物鏡技術難度極高,投影物鏡技術難度極高,EUV投影物鏡由蔡司一家壟斷投影物鏡由蔡司一家壟斷數據來源:韓星等KrF深紫外光刻投影物鏡光機熱集成分析與優化(2024,光學學報),ASML-2016-investor-day,東吳證券研究所圖:圖:DUV光刻機投影物鏡示意圖光刻機投影物鏡示意圖(折射式折射式)49投影物鏡是光刻機中實現精準成像的關鍵部件,它的主要作用是將掩模圖形按照一定縮放比例成像到硅片上。投影物鏡的構造十分復雜,通常由多枚鏡片組成,如ASML的DUV光刻機鏡頭由29片鏡片組成。從結構上看,光刻機投影物鏡經歷了從“雙腰”到“單腰”、引入非球面鏡片與反射式鏡片的發展
128、歷程。投影物鏡的主要技術難點包括高要求的像差調節和工藝精密度投影物鏡的主要技術難點包括高要求的像差調節和工藝精密度,例如ASML的高端光刻機(ArFi/EUV)像差與畸變已經降低到1nm以下水平。此外,鏡片的光潔度也需達到極高標準,光學元件口徑450mm要求面形精度為50pm,需要頂級的技術工人和超精密的拋光工序。對于對于EUV光刻機,由于極紫外光會被所有材料吸收(包括空氣和水),因此投影物鏡系統只能在真空環境中采用全反射式結構。光刻機,由于極紫外光會被所有材料吸收(包括空氣和水),因此投影物鏡系統只能在真空環境中采用全反射式結構。為了避免不平整表面導致EUV光漫反射,出現波像差以及反射率降低
129、的問題,德國蔡司生產的EUV光刻機反射鏡達到了原子級別的平坦度,在1.2m的反射鏡直徑大小下,多鏡反射光學制造面形精度需求峰谷值不超過120pm、表面粗糙度不超過20pm(若將EUV光刻機鏡片放大到地球大小,它的表面粗糙度也僅僅0.2mm),展示了光刻機光學系統制造的極致技術水平。國外光刻投影物鏡的光學、結構設計專利主要集中于國外光刻投影物鏡的光學、結構設計專利主要集中于ASML、蔡司、蔡司、Nikon和和Canon,其中蔡司是全球唯一可提供,其中蔡司是全球唯一可提供EUV投影物投影物鏡的廠商;國內相關研究則集中在鏡的廠商;國內相關研究則集中在SMEE、長春國科精密光學技術有限公司、北京國望光
130、學科技有限公司、中國科學院長春光、長春國科精密光學技術有限公司、北京國望光學科技有限公司、中國科學院長春光學精密機械與物理研究所等。學精密機械與物理研究所等。圖:圖:EUV光刻機投影物鏡示意圖光刻機投影物鏡示意圖3.2.2 Zeiss SMT是是ASML光學部件的唯一且獨家供應商光學部件的唯一且獨家供應商數據來源:Bloomberg,ASML年報,東吳證券研究所50蔡司的半導體業務與蔡司的半導體業務與ASML聯系緊密,子公司聯系緊密,子公司Zeiss SMT是是ASML光學部件的獨家供應商,且光學部件的獨家供應商,且ASML于于2017年年收購了收購了Ziess SMT 24.9%的股份并持有
131、至今。的股份并持有至今。Zeiss SMT是ASML投影物鏡(包括透鏡和反射鏡)、照明系統和其他關鍵光學元件的唯一且獨家供應商。2018年以來,ASML對Zeiss SMT的采購支出占比約在24%左右,表明光學系統在光刻機中的價值量占比約24%。圖:圖:ASML對對Zeiss SMT的采購支出占比保持在的采購支出占比保持在24%左右左右,反映光學系統的價值量反映光學系統的價值量14 15 16 21 27 33 24%23%23%24%26%25%20%21%22%23%24%25%26%27%28%05101520253035201820192020202120222023ASML對Zeis
132、s SMT的采購額(億歐元)ASML對Zeiss SMT的采購額/ASML營業成本圖:圖:Zeiss SMT收入基本跟隨收入基本跟隨ASML收入增長收入增長(FY2023對應自然年2022/10/1至2023/9/30)91012151618232836-20%-15%-10%-5%0%5%10%15%20%25%30%35%0510152025303540FY2015FY2016FY2017FY2018FY2019FY2020FY2021FY2022FY2023Zeiss SMT收入(億歐元)Zeiss SMT收入同比增速-右軸Zeiss SMT收入/ASML收入-右軸3.2.2 Zeiss
133、 SMT投影物鏡技術不斷進步,產能快速擴張投影物鏡技術不斷進步,產能快速擴張數據來源:SPIE,Bloomberg,東吳證券研究所圖:圖:Zeiss SMT DUV光刻機光刻機投影物鏡產品矩陣投影物鏡產品矩陣51Zeiss SMT光刻機物鏡的產品參數不斷進步,為光刻機物鏡的產品參數不斷進步,為ASML光刻機的持續迭代保駕護航。光刻機的持續迭代保駕護航。投影物鏡的數值孔徑NA是光刻機的重要參數之一,Zeiss SMT的光刻機投影物鏡的NA從投影光刻技術推出的時間為起點逐步提升,直至達到DUV光刻機投影物鏡NA的物理極限1.35。在EUV時代,由于光刻機光源波長達到13.5nm的瓶頸,提升EUV投
134、影物鏡的NA便成為光刻機廠商提升分辨率的重要方式。目前ASML的EUV光刻機已從0.33NA提升至0.55NA,公司正協同Zeiss突破下一代0.75 Hyper-NA EUV。作為作為ASML光刻機光學系統的獨家供應商,光刻機光學系統的獨家供應商,Zeiss SMT也是全球唯一可提供也是全球唯一可提供EUV光學系統的廠商,其產能很光學系統的廠商,其產能很大程度上決定了大程度上決定了ASML光刻機特別是光刻機特別是EUV光刻機的產能。光刻機的產能。在在FY2021以來以來Zeiss SMT資本開支快速增長帶動下,資本開支快速增長帶動下,光學系統和光刻機的產能均得以快速擴張。光學系統和光刻機的產
135、能均得以快速擴張。圖:圖:FY2021以來以來Zeiss SMT資本開支快速增長資本開支快速增長,光光學系統產能快速擴張學系統產能快速擴張3.2.3 雙工件臺可大幅提升光刻機產率的同時實現更高精度雙工件臺可大幅提升光刻機產率的同時實現更高精度數據來源:ASML官網,胡楚雄等集成電路裝備光刻機發展前沿與未來挑戰(2024,中國科學:信息科學),東吳證券研究所圖:圖:TWINSCAN雙工件臺簡易圖雙工件臺簡易圖52圖:圖:TWINSCAN雙工件臺工作流程雙工件臺工作流程測量工位曝光工位上片硅片對準形貌測量曝光曝光曝光掩模對準位置交換下片光刻機三大核心指標中,分辨率主要由光源和光學系統決定,而套刻精
136、度和生產效率則與工件臺密切相關。光刻機三大核心指標中,分辨率主要由光源和光學系統決定,而套刻精度和生產效率則與工件臺密切相關。當光學系統投影物鏡數值孔徑和精度均接近極限、光源波長未能繼續突破、光刻機分辨率指標近乎“凝固”的很長一段時間里,光刻機工件臺效率和精度的不斷提升成為了近20年來驅動光刻機發展的引擎。早期光刻機中的工件臺為單工件臺,在完成一次硅片曝光之后,需要將曝光后的硅片取下,然后工件臺回到起點重新裝載新的硅片,但單工件臺難以滿足對更快的速度和制程微縮下對更高定位精度的要求。2001年,年,ASML推出推出TWINSCAN系列雙工件臺系統,在大幅提升光刻機產率的同時實現更高精度。系列雙
137、工件臺系統,在大幅提升光刻機產率的同時實現更高精度。雙工件臺的工作原理是:一個平臺上的晶圓進行曝光時,另一個平臺上裝載另一片晶圓進行對準、調平、調焦、測量,當晶圓曝光完畢后,兩個工件臺交換位置,進行新一片晶圓的曝光,通過這種兩個獨立工作的工件臺來提高生產效率和精度。與單工件臺相比,雙工件臺測量與曝光同時進行,使得光刻機可實現更高產率。此外,由于硅片曝光時間一般大于測量時間,因此相比于單工件臺,雙工件臺光刻機可以采用更多的對準標記進行對準,并且能夠進行硅片形貌的測量,能實現更高精度的對準和調焦調平,這有益于制造更小特征尺寸的芯片。目錄目錄1256ASML:全球最大:全球最大IC光刻機光刻機&半導
138、體設備制造商半導體設備制造商光源光源&數值孔徑數值孔徑&工藝因子三輪驅動,共促光刻技術迭代工藝因子三輪驅動,共促光刻技術迭代ASML核心壁壘:技術、生態、資金三重壁壘筑高墻核心壁壘:技術、生態、資金三重壁壘筑高墻國產光刻機:前路漫漫亦燦燦,吾將上下而求索國產光刻機:前路漫漫亦燦燦,吾將上下而求索537 風險提示風險提示3 光源系統光源系統&光學系統光學系統&雙工件臺為光刻機三大核心部件雙工件臺為光刻機三大核心部件4 光刻機市場:一超雙強格局穩定,晶圓擴產拉動需求增長光刻機市場:一超雙強格局穩定,晶圓擴產拉動需求增長數據來源:Wind,東吳證券研究所4.1 全球半導體行業復蘇趨勢確立,中國大陸半
139、導體設備市場保持高景氣全球半導體行業復蘇趨勢確立,中國大陸半導體設備市場保持高景氣圖:全球半導體銷售額及同比增速圖:全球半導體銷售額及同比增速(億美元億美元)圖:全球半導體設備銷售額及同比增速圖:全球半導體設備銷售額及同比增速(億美元億美元)5491%113%-40%-20%0%20%40%60%80%100%120%140%0501001502002503003502015Q12015Q22015Q32015Q42016Q12016Q22016Q32016Q42017Q12017Q22017Q32017Q42018Q12018Q22018Q32018Q42019Q12019Q22019Q32
140、019Q42020Q12020Q22020Q32020Q42021Q12021Q22021Q32021Q42022Q12022Q22022Q32022Q42023Q12023Q22023Q32023Q42024Q1其他地區歐洲北美日本韓國中國臺灣中國大陸全球yoy-右軸中國大陸yoy-右軸27%-40%-30%-20%-10%0%10%20%30%40%0200400600800100012001400160018002015Q12015Q22015Q32015Q42016Q12016Q22016Q32016Q42017Q12017Q22017Q32017Q42018Q12018Q22018Q
141、32018Q42019Q12019Q22019Q32019Q42020Q12020Q22020Q32020Q42021Q12021Q22021Q32021Q42022Q12022Q22022Q32022Q42023Q12023Q22023Q32023Q42024Q1其他地區歐洲美洲日本中國全球yoy-右軸中國yoy-右軸乘半導體行業需求復蘇與國產替代之風,中國大陸半導體設備景氣度持續高漲。乘半導體行業需求復蘇與國產替代之風,中國大陸半導體設備景氣度持續高漲。2023年11月起,全球半導體銷售額已連續9個月同比增長,其中2024年1-7月,全球/中國半導體銷售額為3373/1025億美元,同比+
142、17%/25%。疊加國產替代趨勢,2024Q1中國大陸半導體設備銷售額為125億美元,同比+113%,景氣度持續高漲。數據來源:柳濱半導體制造光刻機發展分析(2023,電子工業專用設備),東吳證券研究所4.1 每萬片月產能的每萬片月產能的12英寸晶圓產線需要英寸晶圓產線需要8臺左右的光刻機臺左右的光刻機55142437466090100020406080100120130906545282014投資規模/億美元工藝節點/nm設備種類設備種類200mm線、線、180nm/臺臺成熟的成熟的300mm線、線、90nm/臺臺先進的先進的300mm線、線、16或或14nm/臺臺氧化爐/高溫退火設備132
143、242CVD104231涂膠機575光刻機588刻蝕設備112560離子注入機4139PVD52425化學機械拋光41218濕法清洗41720量測及檢測設備245060表:表:3類晶圓產線類晶圓產線1萬片月產能所需的設備配置數量萬片月產能所需的設備配置數量圖:各工藝節點晶圓產線投資成本圖:各工藝節點晶圓產線投資成本(3萬片萬片/月產能月產能)月產月產1萬片萬片300mm(12英寸)晶圓廠需要英寸)晶圓廠需要8臺左右的光刻機,實際生產線根據工藝節點和芯片類型略有不同臺左右的光刻機,實際生產線根據工藝節點和芯片類型略有不同。從國內具有代表性、月產1萬片的3類生產線所需的設備配置數量可以看出,工藝節
144、點越先進,所需的設備數量越多,12英寸晶圓產線多種設備需求是8英寸產線的兩倍以上。工藝節點不斷微縮,生產線投資成本越高。工藝節點不斷微縮,生產線投資成本越高。12英寸生產線起始節點是90nm,8英寸生產線節點是35090nm。按3萬片/月的產能,130nm節點8英寸晶圓產線投資約為14億美元,90nm節點生產線投資約為24億美元,而14nm節點投資需要100億美元,投資成本大幅增長。數據來源:SEMI,東吳證券研究所4.1 光刻機是芯片制造中的核心高價值量設備光刻機是芯片制造中的核心高價值量設備圖:光刻工藝相關耗材占半導體晶圓制造材料圖:光刻工藝相關耗材占半導體晶圓制造材料的總價值量占比達的總
145、價值量占比達27%56光刻機是芯片制造中的核心高價值量設備,光刻工藝對芯片生產至關重要。光刻機是芯片制造中的核心高價值量設備,光刻工藝對芯片生產至關重要。根據SEMI,光刻機在全球半導體設備中的價值量占比約21%,再加上配套的涂膠顯影設備和光刻工藝需要用到的掩模版、光刻膠等耗材,整體光刻工藝的費用約占芯片生產成本的1/3左右,耗費時間約占40%50%。圖:光刻機與配套的涂膠顯影設備在全球半導圖:光刻機與配套的涂膠顯影設備在全球半導體設備中的合計價值量占比達體設備中的合計價值量占比達25%薄膜沉積設備,22%光刻機光刻機,21%刻蝕機,21%檢測設備,11%清洗設備,5%涂膠顯影設備,4%CMP
146、,3%氧化擴散退火爐,2%離子注入機,2%其他,9%硅片,29%SOI,2%掩模版,13%光刻膠,6%光刻膠配套試劑,8%電子氣體,14%工藝化學品,6%靶材,3%CMP材料,7%其他材料,12%數據來源:ASML官網,Nikon官網,Canon官網,東吳證券研究所4.2 2023年全球年全球IC光刻機市場規模接近光刻機市場規模接近260億美元億美元2023年全球年全球IC光刻機出貨量達光刻機出貨量達678臺,市場規模約臺,市場規模約257億美元。億美元。過去十余年,ASML、Nikon、Canon三家IC光刻機合計出貨量和銷售額主要跟隨全球半導體行業周期波動。按照ASML的各類IC光刻機AS
147、P估算,2023年全球IC光刻機市場規模約257億美元,同比+48%,主要由ASML的ArFi光刻機大量出貨帶動。分類型來看,2023年I-line、KrF、ArF、ArFi、EUV光刻機的市場規模分別為11、31、11、105、99億美元,占比為4%、12%、4%、41%、38%。571 1 4 1 4 12 22 31 51 74 74 99 36 41 46 52 39 44 49 60 60 52 62 58 105 45 3 3 1 2 3 4 5 7 7 6 8 11 6 13 12 8 12 8 11 15 11 15 21 24 31 12 3 3 3 4 3 3 6 5 7
148、8 10 11 7 0501001502002503002012201320142015201620172018201920202021202220232024H1I-lineKrFArF DryArFiEUV1%1%6%1%6%16%21%27%39%44%43%38%34%68%71%76%68%71%62%56%52%39%36%33%41%43%5%5%2%3%5%5%5%6%6%3%4%4%6%21%18%11%20%13%13%14%9%11%12%14%12%11%6%5%5%7%6%4%5%5%5%5%6%4%7%0%10%20%30%40%50%60%70%80%90%100
149、%2012201320142015201620172018201920202021202220232024H1I-lineKrFArF DryArFiEUV圖:全球各類型圖:全球各類型IC光刻機市場規模光刻機市場規模(億美元億美元)圖:全球各類型圖:全球各類型IC光刻機市場規模光刻機市場規模(占比占比)3115141118263142405319119858685737982919379858513455141213914142225353325324218122847857104799711591130174209242851007462669280831291191471581932071
150、26010020030040050060070080020112012201320142015201620172018201920202021202220232024H1I-lineKrFArF DryArFiEUV1%0%0%2%0%2%4%5%7%7%9%7%8%6%33%33%36%38%26%31%28%24%26%19%18%15%20%18%4%5%5%4%5%5%7%7%10%8%5%6%6%6%34%33%33%26%37%31%33%30%25%31%36%37%36%28%28%29%26%30%32%31%28%34%33%35%33%35%31%42%0%10%20%3
151、0%40%50%60%70%80%90%100%20112012201320142015201620172018201920202021202220232024H1I-lineKrFArF DryArFiEUV圖:全球各類型圖:全球各類型IC光刻機出貨量光刻機出貨量(臺臺)圖:全球各類型圖:全球各類型IC光刻機出貨量光刻機出貨量(占比占比)數據來源:ASML官網,Nikon官網,Canon官網,東吳證券研究所4.2 全球全球IC光刻機市場呈現“一超雙強”的競爭格局光刻機市場呈現“一超雙強”的競爭格局圖:圖:ASML、Nikon、Canon光刻機營收光刻機營收(億美元億美元)圖:圖:ASML、N
152、ikon、Canon光刻機市場份額光刻機市場份額(按營收按營收)全球全球IC光刻機市場呈現“一超雙強”的競爭格局,光刻機市場呈現“一超雙強”的競爭格局,ASML處于絕對領先地位。處于絕對領先地位。目前全球從事光刻機制造的公司主要包括ASML、Nikon、Canon、SMEE、Veeco和Suss公司,其中ASML、Nikon 和Canon三家公司2022年占據了98%的光刻機市場(含FPD光刻機),ASML在IC光刻機市場處于絕對優勢地位。按品類看,ASML的IC光刻機品類齊全,Nikon可提供EUV以外的光刻機,而Canon僅能提供低端的I-line和KrF。按銷售額看,2023年ASML、
153、Nikon、Canon的光刻機收入合計為262億美元(含Nikon和Canon的FPD光刻機),三家公司在全球光刻機市場中的份額分別為88%、6%、6%;按出貨量看,2023年ASML、Nikon、Canon的IC光刻機出貨量合計為678臺(不含FPD光刻機),三家公司在全球IC光刻機市場中的份額分別為66%、6%、28%。58圖:圖:ASML、Nikon、Canon IC光刻機出貨量光刻機出貨量(臺臺)圖:圖:ASML、Nikon、Canon IC光刻機市場份額光刻機市場份額(按出貨量按出貨量)6849535647516994981141551562319331222116152320252
154、217191516610868101117181413201815705010015020025030020112012201320142015201620172018201920202021202220232024H1CanonNikonASML62%62%66%70%65%60%65%69%73%79%80%82%88%87%28%28%26%20%21%27%19%18%16%12%10%8%6%6%9%10%8%10%14%13%16%13%11%9%10%10%6%7%0%10%20%30%40%50%60%70%80%90%100%2011201220132014201520162
155、0172018201920202021202220232024H1CanonNikonASML22217015713616915719822422925830934544917084404032353527405140353842245246435480647011480122140176187109010020030040050060070080020112012201320142015201620172018201920202021202220232024H1CanonNikonASML62%66%65%61%60%61%67%59%64%61%64%62%66%56%23%16%17%1
156、4%12%14%9%11%14%10%7%7%6%8%15%18%18%24%28%25%24%30%22%29%29%31%28%36%0%10%20%30%40%50%60%70%80%90%100%20112012201320142015201620172018201920202021202220232024H1CanonNikonASML數據來源:ASML官網,Nikon官網,Canon官網,東吳證券研究所4.2 I-line和和KrF光刻機市場分別由光刻機市場分別由Canon和和ASML主導主導圖:圖:ASML、Nikon、Canon I-line光刻機出貨量光刻機出貨量(臺臺)圖:
157、圖:I-line光刻機市場份額光刻機市場份額(按出貨量按出貨量)圖:圖:ASML、Nikon、Canon KrF光刻機出貨量光刻機出貨量(臺臺)圖:圖:KrF光刻機市場份額光刻機市場份額(按出貨量按出貨量)592038593254257233536142119243222253851562445798081728510613613414419418926210605010015020025030035020112012201320142015201620172018201920202021202220232024H1CanonNikonASML20%4%8%5%9%3%2%3%2%1%2%3%
158、1%2%35%4%6%14%21%18%18%18%14%15%16%21%17%18%45%93%85%81%71%79%80%79%84%84%82%77%82%80%0%10%20%30%40%50%60%70%80%90%100%20112012201320142015201620172018201920202021202220232024H1CanonNikonASML8778643874577178651031311511845828385932542572373614211924322225385156240501001502002503002011201220132014201
159、5201620172018201920202021202220232024H1CanonNikonASML71%93%82%67%71%72%73%68%71%79%75%72%76%68%23%4%10%9%9%4%2%4%4%2%3%3%1%4%6%4%8%25%20%24%25%28%24%19%22%24%23%28%0%10%20%30%40%50%60%70%80%90%100%20112012201320142015201620172018201920202021202220232024H1CanonNikonASMLCanon和和Nikon是是I-line光刻機市場的兩大寡頭,
160、光刻機市場的兩大寡頭,2023年年CR2約約99%,其中,其中Canon處于主導地位。處于主導地位。2023年全球I-line光刻機出貨量達207臺,在IC光刻機中的占比為31%,其中Canon長期壟斷I-line光刻機市場,2023年市占率高達82%,Nikon、ASML的市占率分別為17%、1%。ASML和和Canon是是KrF光刻機市場的兩大寡頭,光刻機市場的兩大寡頭,2023年年CR2約約99%,其中,其中ASML處于主導地位。處于主導地位。2023年全球KrF光刻機出貨量達242臺,在IC光刻機中的占比為36%,其中ASML在2023年的市占率高達76%,Canon、Nikon的市占
161、率分別為23%、1%。數據來源:ASML官網,Nikon官網,Canon官網,東吳證券研究所4.2 中高端的中高端的ArF、ArFi、EUV光刻機市場均由光刻機市場均由ASML壟斷壟斷圖:圖:ASML、NikonArF光刻機出貨量光刻機出貨量(臺臺)圖:圖:ArF光刻機市場份額光刻機市場份額(按出貨量按出貨量)圖:圖:ASML、NikonArFi光刻機出貨量光刻機出貨量(臺臺)圖:圖:ArFi光刻機市場份額光刻機市場份額(按出貨量按出貨量)601142396141622222228321538116588913113410305101520253035404520112012201320142
162、015201620172018201920202021202220232024H1NikonASML79%33%15%33%64%43%64%64%63%67%88%88%76%83%21%67%85%67%36%57%36%36%37%33%12%13%24%17%0%10%20%30%40%50%60%70%80%90%100%20112012201320142015201620172018201920202021202220232024H1NikonASML101727776677076868268818112552181399696511114493020406080100120140
163、16020112012201320142015201620172018201920202021202220232024H1NikonASML85%85%90%89%92%89%93%95%88%86%95%95%93%95%15%15%10%11%8%11%7%5%12%14%5%5%7%5%0%10%20%30%40%50%60%70%80%90%100%20112012201320142015201620172018201920202021202220232024H1NikonASMLASML和和Nikon為為ArF光刻機市場的兩大寡頭,光刻機市場的兩大寡頭,2023年年CR2接近接近10
164、0%,其中,其中ASML現處于壟斷地位?,F處于壟斷地位。2023年全球ArF光刻機出貨量達42臺,在IC光刻機中的占比為6%,其中ASML在2023年的市占率高達76%,Nikon的市占率為24%。ASML和和Nikon為為ArFi光刻機市場的兩大寡頭,光刻機市場的兩大寡頭,2023年年CR2為為100%,其中,其中ASML現處于絕對壟斷地位?,F處于絕對壟斷地位。2023年全球ArFi光刻機出貨量達134臺,在IC光刻機中的占比為20%,其中ASML的市占率長期保持在85%-95%區間,2023年的市占率高達93%,Nikon市占率僅為7%。數據來源:ASML-2022-investor-da
165、y,IEMC,TEL 2022年中期經營計劃,東吳證券研究所4.3 制程微縮將帶動高端光刻機持續迭代和需求提升制程微縮將帶動高端光刻機持續迭代和需求提升圖:圖:TEL對對NAND技術迭代路線圖的預測技術迭代路線圖的預測61圖:圖:IMEC對邏輯芯片技術迭代路線圖的預測對邏輯芯片技術迭代路線圖的預測圖:圖:Samsung對對DRAM技術迭代路線圖的預測技術迭代路線圖的預測先進邏輯與存儲制程不斷微縮持續帶動高端先進邏輯與存儲制程不斷微縮持續帶動高端光刻機的需求。光刻機的需求。先進邏輯芯片方面,據IMEC預測,2026年全球商用先進制程將達1.4nm。架構上,目前臺積電3nm先進制程采用FinFET
166、架構,未來隨著制程的進一步發展,有望向GAA和CFET架構演進。DRAM方面,三星預測DRAM芯片的位密度將由目前的24Gb提升至32Gb、48Gb及以上,推動制程向14nm以下發展。TEL預測3D NAND堆疊層數將從2023年的240層增長至2029年的500層以上,將持續推動NAND對高端生產工藝及設備的需求。數據來源:ASML-2022-investor-day,東吳證券研究所4.3 預計未來先進制程芯片需求將主要由智能手機和服務器驅動預計未來先進制程芯片需求將主要由智能手機和服務器驅動圖:圖:ASML預計預計2020-2030年全球半導體市場規模的年全球半導體市場規模的CAGR為為9
167、%,服務器服務器/數據中心數據中心、汽車汽車、工業領域為主要驅動工業領域為主要驅動62未來先進制程芯片需求將主要由智能手機和服務器驅動,成熟制程由汽車電子和工業電子驅動。未來先進制程芯片需求將主要由智能手機和服務器驅動,成熟制程由汽車電子和工業電子驅動。ASML預測2030年全球半導體終端市場規模將達1.1萬億美元,2020-2030年CARG達9%,其中服務器/數據中心、汽車電子、工業電子市場的增速將貢獻主要增長動能,ASML預計2020-2030年服務器/數據中心、汽車電子、工業電子市場的CAGR分別為13%/14%/12%。數據來源:ASML-2022-investor-day,東吳證券
168、研究所4.3 ASML預計預計2020-2030年成熟制程和先進制程晶圓需求年成熟制程和先進制程晶圓需求CAGR分別為分別為6%和和10%圖:圖:ASML對全球晶圓需求量的預測對全球晶圓需求量的預測(等效等效12英寸英寸)63分應用領域看,分應用領域看,IC光刻機下游產品可分為先進制程芯片(包括光刻機下游產品可分為先進制程芯片(包括28nm及以下的先進邏輯、及以下的先進邏輯、DRAM、NAND)與成熟制程芯片(包括成熟邏輯、模擬芯片、功率芯片、傳感器芯片)。與成熟制程芯片(包括成熟邏輯、模擬芯片、功率芯片、傳感器芯片)。ASML預測2025年全球晶圓總需求為1280萬片/月(等效12英寸),其
169、中成熟制程芯片、先進邏輯、DRAM、NAND的晶圓需求占比分別為52%/16%/15%/16%;到2030年全球晶圓需求量將接近1660萬片/月(約2億片/年,等效12英寸),其中成熟制程芯片、先進邏輯、DRAM、NAND的晶圓需求占比分別為52%/19%/13%/16%,先進邏輯的增速最快。若考慮到由于國家間技術主權競爭對晶圓產生的額外需求,ASML預計2030年全球晶圓需求量將達1800萬片/月(等效12英寸)。數據來源:ASML-2022-investor-day,東吳證券研究所4.3 ASML預計預計EUV光刻機需求占比將隨芯片制程微縮而持續提升光刻機需求占比將隨芯片制程微縮而持續提升
170、圖:不同制程先進芯片對各類光刻機的需求結構與光刻成本圖:不同制程先進芯片對各類光刻機的需求結構與光刻成本64不同先進制程芯片對各類光刻機的需求存在較大差異,未來不同先進制程芯片對各類光刻機的需求存在較大差異,未來EUV光刻機市場占比將隨制程微縮而持續擴張。光刻機市場占比將隨制程微縮而持續擴張。按照光源波長劃分,7nm邏輯芯片產線需要約10%的Dry光刻機(包括ArF、KrF和I-line)、50%的ArFi光刻機以及40%的EUV光刻機。隨著制程微縮,EUV的比例逐步提升,并在2nm以下制程開始引入High-NA EUV。1Z(16-17nm)DRAM芯片產線需要約20%的Dry光刻機、60%
171、的ArFi光刻機以及20%的EUV光刻機。隨著位密度增加和制程微縮,EUV的占比同樣提升,預計0A(9nm)及以下制程開始引入High-NA EUV。NAND芯片產線主要使用DUV光刻機,100層以上的NAND產線上Dry和ArFi的比例大致維持在4:6的水平。數據來源:ASML-2022-investor-day,東吳證券研究所4.3 成熟制程中的邏輯和光學傳感器芯片制造對成熟制程中的邏輯和光學傳感器芯片制造對ArFi光刻機有一定需求光刻機有一定需求圖:不同類型成熟芯片對各類光刻機的需求結構圖:不同類型成熟芯片對各類光刻機的需求結構65在成熟制程,在成熟制程,ArFi光刻機的需求主要來自于成
172、熟邏輯和光學傳感器芯片。光刻機的需求主要來自于成熟邏輯和光學傳感器芯片。根據ASML,45nm成熟邏輯芯片產線需要約30%的I-line光刻機、10%的KrF光刻機以及60%的ArFi光刻機;40nm光學傳感器芯片需要約10%的I-line光刻機、35%的KrF光刻機、15%的ArF光刻機以及40%的ArFi光刻機。低端的I-line光刻機需求主要來自于功率芯片、模擬芯片以及非光學傳感器芯片的前道工藝。此外,I-line還廣泛應用于IC后道的先進封裝。數據來源:ASML-2022-investor-day,東吳證券研究所4.3 預計至少未來五年內預計至少未來五年內ASML將保持在中高端光刻機市
173、場的地位將保持在中高端光刻機市場的地位圖:圖:ASML對自身對自身2025年年、2030年各類光刻機出貨量和收入的預測年各類光刻機出貨量和收入的預測66圖:圖:ASML對自身光刻機銷量的對自身光刻機銷量的預測框架預測框架2025 High/Low2030 High/LowASML預估自身將在預估自身將在2025年和年和2030年保持年保持100%的的EUV光刻機市場份額、光刻機市場份額、90%的的ArFi光刻機市場份額以及光刻機市場份額以及65%的的Dry光刻機市場份額。光刻機市場份額。根據前述對全球半導體終端市場和各類晶圓需求的預測,再建立在各類晶圓對各類光刻機的需求以及ASML的光刻機市場
174、份額假設基礎上,ASML得出對自身2025年、2030年各類光刻機出貨量和收入在樂觀/悲觀情景下的預測。ASML在在2022年制定的中期年制定的中期2025年和遠期年和遠期2030年業績指引較年業績指引較2021年大幅上調。年大幅上調。在2022年投資者日,ASML預估2025年光刻機的總銷量將達325-575臺,對應設備收入為230-320億歐元(2023年設備投入為219億歐元);2030年光刻機的總銷量增加至415-650臺,對應設備收入為330-470億歐元。該指引較2021年投資者日的指引有大幅上調,從結構上看,公司對2025年70-85臺的EUV光刻機出貨預測較2023年的53臺有
175、較大增長。目錄目錄1256ASML:全球最大:全球最大IC光刻機光刻機&半導體設備制造商半導體設備制造商光源光源&數值孔徑數值孔徑&工藝因子三輪驅動,共促光刻技術迭代工藝因子三輪驅動,共促光刻技術迭代ASML核心壁壘:技術、生態、資金三重壁壘筑高墻核心壁壘:技術、生態、資金三重壁壘筑高墻國產光刻機:前路漫漫亦燦燦,吾將上下而求索國產光刻機:前路漫漫亦燦燦,吾將上下而求索677 風險提示風險提示3 光源系統光源系統&光學系統光學系統&雙工件臺為光刻機三大核心部件雙工件臺為光刻機三大核心部件4 光刻機市場:一超雙強格局穩定,晶圓擴產拉動需求增長光刻機市場:一超雙強格局穩定,晶圓擴產拉動需求增長數據
176、來源:東吳證券研究所5.0 總起:“技術總起:“技術+生態生態+資金”三重壁壘筑高墻資金”三重壁壘筑高墻ASML的核心壁壘可總結為“技術的核心壁壘可總結為“技術+生態生態+資金”,三者相輔相成、缺一不可。資金”,三者相輔相成、缺一不可。通過復盤光刻機行業和ASML的發展歷程,我們發現,光刻巨人的成功之路離不開技術、生態、資金三大要素,而這三大要素也鑄造了ASML未來持續壟斷行業的高大護城河。1)技術層面,)技術層面,光刻機圍繞分辨率(瑞利準則下進一步分為光源波長、數值孔徑、工藝因子)、單機產能、套刻精度這三項關鍵指標不斷迭代,而ASML如今每一項指標都在引領行業,成為延續摩爾定律的先鋒。2)生
177、態層面,)生態層面,光刻機制造的產業生態極為復雜,研發投入成本巨大,不僅需要遍布全球的上中下游產業鏈通力合作,還需要多方政府支持。ASML已掌控了光刻機的光源、光學系統、雙工件臺這三大最核心部件的供應,并與TSMC、Intel、Samsung三大頭部晶圓廠客戶深度合作,導致其他光刻機整機廠在先進制程望塵莫及。3)資金層面,)資金層面,ASML早期獲得了頭部客戶的股權投資,中后期又在自身大量盈利以及荷蘭政府的補貼/減稅支持下,持續巨額投入資金研發、收購供應商、大力擴張產能。68技術技術生態生態(產業鏈產業鏈+政府政府+需求需求)資金資金銷售光刻機,回籠資金;下游客戶參股銷售光刻機,回籠資金;下游
178、客戶參股ASML,獲得研發成功后的優先供貨權;,獲得研發成功后的優先供貨權;政府補助或稅收補貼政府補助或稅收補貼ASML收購上游供應商,收購上游供應商,保障關鍵零部件供應保障關鍵零部件供應圖:圖:ASML的三大核心壁壘的三大核心壁壘數據來源:柳濱半導體制造光刻機發展分析(2023,電子工業專用設備),伍強等現代光刻機的發展歷程與未來展望(2022,微納電子與智能制造),胡楚雄等集成電路裝備光刻機發展前沿與未來挑戰(2024,中國科學:信息科學),與非網,ASML官網,半導體行業觀察,東吳證券研究所5.1 技術層面:四次重大突破,技術層面:四次重大突破,ASML終成延續摩爾定律的先鋒終成延續摩爾
179、定律的先鋒1973Canon 推出日本第一臺接近式光刻機PLA-300美國Perkin-Elmer推出首臺掃描投影式光刻機。NA為0.167,成像比例1:11978 美國GCA推出首臺g-line步進重復式光刻機。NA為0.28,成像比例10:1,分辨率達1m1980-1985日本Nikon、美國Perkin-Elmer、日本Canon和荷蘭ASML陸續推出各自的步進光刻機1984年,飛利浦與ASMI合資成立ASML1990美國SVG收購Perkin-Elmer,并推出第一臺分辨率為0.5m的步進掃描投影光刻機1995Nikon開發出248nm KrF光刻機,分辨率達0.25mASML于阿姆斯
180、特丹和紐交所上市1997ASML和Canon均研發出248nm KrF光刻機Intel組織成立EUV LLC聯盟,ASML成功加入,Nikon被“排擠在外”1998-2000ASML、SVG、Nikon、Canon相繼開發出193nm ArF準分子激光掃描式光刻機2000年ASML收購美國光刻機廠商SVG2001ASML推出推出TWINSCAN雙雙工件臺,極大提升了光刻工件臺,極大提升了光刻機生產效率,奠定機生產效率,奠定ASML市市場主導地位場主導地位Nikon和Intel主推157nm氟氣體F2準分子激光光刻機2002TSMC林本堅博士提出浸沒式光刻技術ASML成為光刻機龍一,市占率47%
181、Intel宣布放棄157nm光刻ASML和IBM、Intel、TSMC合作研發193nm水浸沒式光刻機2003ASML推出首臺商用推出首臺商用ArFi浸沒式光刻機浸沒式光刻機XT 1250i,至此至此ASML超越超越Nikon穩穩穩穩占據光刻機中高端市場占據光刻機中高端市場Nikon也研發出0.92 NA的ArFi光刻機,但未推向市場20042012年,TSMC、Intel、三星投資ASML聯合研發EUV光刻機2012年ASML收購光刻光源制造商Cymer,解決EUV產能問題,2013年推出首臺商用第二代年推出首臺商用第二代0.33 NA EUV光刻機光刻機NXE 3300,開,開始壟斷始壟斷
182、EUV光刻機市場光刻機市場2012-2013ASML研發出并交付第一代EUV光刻樣機NXE 31001991ASML推出推出I-line PAS5500光刻機,光刻機,采用模塊化設計,采用模塊化設計,取得重大市場突破取得重大市場突破1965-19701959-19611959年,美國仙童半導體提出光刻工藝1961年,美國GCA推出首臺577nm e-line兩步重復接觸式光刻機 1965年,仙童半導體的摩爾提出摩爾定律 1970年,日本Canon研發出首臺曝光系統 PPC-1,正式進入半導體光刻機領域20102006ASML推出首臺量產ArFi光刻機XT 1700i并通過Intel 40nm驗
183、證2007年,ASML收購美國計算光刻軟件公司Brion2008年,ASML推出可用于32nm制程的ArFi光刻機XT 1950i2007-2008ASML交付第三代EUV光刻機NXE 33502015ASML第四代NXE 3400型EUV光刻機獲得批量訂單ASML收購量測設備供應商HMI20162020ASML實現EUV光刻機的大批量生產,累計出貨量達100臺ASML收購Berliner GlasASML推出首臺High-NA EUV光刻機EXE 5000,并向Intel交付首批模塊20232024ASML-imec High-NA EUV聯合光刻實驗室成立,預計2025-2026年實現Hi
184、gh-NA EUV光刻機的大批量生產ASML收購電子束光刻廠商Mapper2019ASML收購Zeiss SMT 24.9%的股份2017注:注:藍框表示新一代光刻機技術的推出;紅框表示ASML公司建制相關;藍色字體表示ASML成功的關鍵節點。圖:光刻機發展史圖:光刻機發展史“一代技術一代技術,一代設備一代設備,一代產品一代產品”,四大里程碑事件造就四大里程碑事件造就ASML光刻機難以撼動的地位光刻機難以撼動的地位69數據來源:柳濱半導體制造光刻機發展分析(2023,電子工業專用設備),東吳證券研究所5.1 技術層面:四次重大突破,技術層面:四次重大突破,ASML終成延續摩爾定律的先鋒終成延續
185、摩爾定律的先鋒推出推出PAS5500、雙工件臺、浸沒式光刻機和、雙工件臺、浸沒式光刻機和EUV光刻機四大里程碑事件使得光刻機四大里程碑事件使得ASML在光刻機領域的地位逐漸在光刻機領域的地位逐漸不可撼動。不可撼動。1991年ASML推出PAS 5500光刻機,其采用模塊化設計,使得客戶可根據不同工藝自由選配不同部件包(兼容各種光源、晶圓尺寸、鏡頭和投影/步進模式),同時設備便于升級和維護,提高了光刻機在產線上的使用率;2001年,ASML推出雙工件臺技術,極大提高了光刻機的產能,奠定了ASML的市場主導地位;2006年在Nikon不看好浸沒式光刻機技術情形下,ASML采納了浸沒式光刻路線,推出
186、NXT平臺的浸沒式量產型產品,繞開當時157nm光源技術困擾,將光源波長等效縮短至134nm;2012年,TSMC、Intel、三星共同投資ASML聯合研發EUV光刻機,2013年ASML收購光刻光源制造商Cymer,解決EUV產能問題并推出首臺商用第二代0.33 NA EUV光刻機NXE 3300,由此壟斷EUV光刻機及最先進制程市場。70圖:圖:ASML的光刻機市占率在每一個里程碑事件后都邁上新臺階的光刻機市占率在每一個里程碑事件后都邁上新臺階0%10%20%30%40%50%60%70%80%90%100%19831984198519861987198819891990199119921
187、9931994199519961997199819992000200120022003200420052006200720082009201020112012201320142015201620172018201920202021ASMLNikonCanon美國企業及其他模塊化平臺PAS5500雙工件臺TWINSCAN浸沒式ArFiEUV美國時代日本時代荷蘭時代數據來源:胡楚雄等集成電路裝備光刻機發展前沿與未來挑戰(2024,中國科學:信息科學),ASML官網,東吳證券研究所5.1 技術層面:如今技術層面:如今ASML光刻機的技術指標全面領先其他廠商光刻機的技術指標全面領先其他廠商71光刻機圍
188、繞分辨率(瑞利準則下進一步分為光源波長、數值孔徑、工藝因子)、單機產能、套刻精度這三項光刻機圍繞分辨率(瑞利準則下進一步分為光源波長、數值孔徑、工藝因子)、單機產能、套刻精度這三項關鍵指標不斷迭代,其中工藝因子多取決于下游客戶的工藝能力。關鍵指標不斷迭代,其中工藝因子多取決于下游客戶的工藝能力。經歷了四次技術重大突破后,從目前各光刻機廠商的產品參數對比來看,ASML的光刻機每一項指標均處于行業領先地位,成為延續摩爾定律的先鋒。(1)光源波長:)光源波長:ASML是全球唯一可提供EUV光刻機的廠商,并壟斷EUV光學系統的供應。而Nikon可提供EUV以外的光刻機,而Canon僅能提供低端的I-l
189、ine和KrF。(2)數值孔徑:)數值孔徑:在DUV領域,僅ASML和Nikon光刻機的數值孔徑NA達到1.35的物理極限,此外ASML正在EUV領域已從0.33NA提升至0.55NA,公司正協同蔡司突破下一代0.75 Hyper-NA EUV。(3)單機產能)單機產能&套刻精度:套刻精度:對于同一光源類型的光刻機,ASML的光刻機產能和套刻精度均達到市場最高水平。且未來十年內ASML的光刻機產能將持續提升,目標2030-2035年各品類光刻機產能提升至目前的1.5-2倍。圖:圖:ASML在各類光刻機的各項核心技術指標全面領先其他廠商在各類光刻機的各項核心技術指標全面領先其他廠商光刻機類型光刻
190、機類型供應商供應商代表產品型號代表產品型號分辨率分辨率R數值孔徑數值孔徑NA套刻精度套刻精度MMO單機產能單機產能I-line光刻機ASMLXT 400M350nm0.6520nm250wphNikonNSR SF155280nm0.6225nm200wphCanonFPA 5550iZ2350nm0.5720nmSMEESSB600/10280nmKrF光刻機ASMLXT 1060K80nm0.935nm205wphNikonNSR S220D110nm0.826nm230wphCanonFPA 6300ES6a90nm0.865nmSMEESSC600/10110nmArF光刻機ASMLN
191、XT 147065nm0.934nm300wphNikonNSR S322F65nm0.925nm230wphSMEESSA600/2090nm0.75ArFi光刻機ASMLNXT 2100i38nm1.351.3nm295wphNikonNSR S636E38nm1.352.1nm275wphEUV光刻機ASMLNXE 3800E13nm0.330.9nm220wphHigh NA EUV光刻機ASMLEXE 50008nm0.551.1nm185wph數據來源:ASML,東吳證券研究所725.1 技術層面:如今技術層面:如今ASML光刻機的技術指標全面領先其他廠商光刻機的技術指標全面領先其
192、他廠商圖:根據圖:根據ASML最新的技術路線圖最新的技術路線圖,未來十年內光刻機產能將持續提升未來十年內光刻機產能將持續提升,目標目標2030-2035年各年各品類光刻機產能提升至目前的品類光刻機產能提升至目前的1.5-2倍倍數據來源:ASML 2023年年報,卡爾蔡司集團官網,東吳證券研究所735.2 生態層面:生態層面:ASML已構筑起完善而牢固的生態網絡已構筑起完善而牢固的生態網絡客戶客戶供應商供應商研究機構研究機構合作伙伴合作伙伴各各國國政政府府圖:圖:ASML已構筑起完善而牢固的生態網絡已構筑起完善而牢固的生態網絡ASML秉持合作、開放、創新、進取四大理念,長期與多家供應商、客戶及合
193、作伙伴深度合作,已建立起完善而牢固秉持合作、開放、創新、進取四大理念,長期與多家供應商、客戶及合作伙伴深度合作,已建立起完善而牢固的生態網絡。的生態網絡。光刻機制造的產業生態極為復雜,研發投入成本巨大,不僅需要遍布全球的上中下游產業鏈通力合作,還需要多方政府與頂尖研究機構的支持。歷年來,ASML母公司只進行光刻機的研發和組裝,通過對核心部件的供應商進行整合或并購構筑起堅實的上游供應壁壘,目前ASML基本壟斷了光刻機的光源(全資收購Cymer,吸納Gigaphoton也成為ASML的光源供應商)、光學系統(參股Zeiss SMT)、雙工件臺(ASML自制)這三大最核心部件的供應,并得到荷蘭、美國
194、等政府的大力支持,與TSMC、Intel、Samsung等頭部晶圓廠&ARCNL、IMEC等知名研究機構及AMAT、LAM、TEL、JSR等半導體設備與材料巨頭深度合作,在技術與資金層面協同攻關。上下游各廠商或研究機構之間形成收益共享、風險共擔的同盟關系,導致其他光刻機廠商望塵莫及,新玩家進入的難度極大。例如ASML與Zeiss之間的關系,雙方早在ASML成立之初便開始合作,2017年ASML收購了Zeiss半導體事業部Zeiss SMT 24.9%的股份并持有至今。而Zeiss至今仍未上市,基金會的全額控股為Zeiss及其子公司提供了長期發展和股權結構的穩定性,從而間接保證了ASML與Zei
195、ss合作關系的穩定性??柌趟净饡?Carl Zeiss Stiftung)卡爾蔡司集團(Carl Zeiss AG)Carl Zeiss SMT GmbHASML持有剩余24.9%的股份75.1%100%圖:圖:Carl Zeiss SMT的的股權結構股權結構(2017年至今年至今)數據來源:ASML年報,東吳證券研究所745.2 生態層面:生態層面:ASML已構筑起完善而牢固的生態網絡已構筑起完善而牢固的生態網絡圖:圖:ASML供應商數量供應商數量截至2023年末,ASML擁有約5100家供應商,其中約800家供應商提供直接用于生產公司產品的材料、設備、零件等,占公司采購總額的69%,8
196、00家產品相關供應商中約280家是關鍵供應商,約占產品相關支出的94%。另有4300供應商提供產品運營、物流、IT等服務。從地從地域分布來看,域分布來看,ASML的供應商主要的供應商主要來自荷蘭、亞洲和北美,在數量上來自荷蘭、亞洲和北美,在數量上分別占到供應商總數的分別占到供應商總數的32%、27%、26%。5000500347494657498451260100020003000400050006000201820192020202120222023供應商總數(家)荷蘭,1638,32%亞洲,1375,27%北美,1355,26%其他,758,15%材料、設備、零件等產品,813,16%運營
197、、物流、IT等服務,4313,84%關鍵供應商,278,5%非關鍵供應商,4848,95%圖:圖:2023年年ASML供應商結構供應商結構供應商供應商所屬國家所屬國家/地區地區主要供應產品主要供應產品Zeiss SMT(ASML參股24.9%)德國光學系統Cymer(已被ASML收購)美國準分子激光器(DUV光源)、EUV光源Berliner Glas(已被ASML收購)德國晶圓臺、反射鏡等SUSS德國掩模版設備Trumpf美國產生EUV光的高功率CO2激光器Photronics美國掩模版Sparton美國機電設備Entegris美國EUV光罩盒(污染控制)MKS美國氣體控制系統和組件Giga
198、photon日本準分子激光器(DUV光源)、EUV光源IMEC比利時光刻技術研究Edwards Vacuum英國EUV光刻所需的真空泵和減排系統Pfeiffer Vacuum德國真空泵/真空解決方案VDL ETG荷蘭高精度機械組件和模塊Nikon Precision日本對準和計量設備漢微科HMI(已被ASML收購)中國臺灣電子束檢測設備公準精密中國臺灣模組模具信邦電子中國臺灣高階線材等表:表:ASML關鍵零部件主要供應商關鍵零部件主要供應商5.3 資金層面:研發持續高投入,整合供應鏈鞏固壁壘資金層面:研發持續高投入,整合供應鏈鞏固壁壘數據來源:光刻巨人:ASML崛起之路,半導體行業觀察,嚴鵬戰
199、略投入與制造業生態體系:ASML光刻機崛起的啟示(2021,中國信息化),東吳證券研究所75資金來源:資金來源:ASML在在2012年之前資金相對匱乏,主要得益于飛利浦早期的注資年之前資金相對匱乏,主要得益于飛利浦早期的注資+荷蘭政府補貼荷蘭政府補貼+臺積電等大客臺積電等大客戶支持。(戶支持。(1)飛利浦:)飛利浦:ASML成立之初的研發資金主要來源于飛利浦的資金投入和荷蘭政府的補貼。1992年,ASML虧損嚴重,飛利浦為其注資2100萬美元。1995年,ASML成功上市,后在飛利浦注資+政府補貼+客戶回款支持下逐步實現財務獨立。(2)荷蘭政府:)荷蘭政府:荷蘭與歐洲共同體曾向ASML提供16
200、50萬美元的研發補貼,構成其開發PAS 5500機型總研發成本的60%,之后荷蘭政府還為該機型的研發提供了1900萬美元的技術開發貸款。2024年3月,荷蘭政府承諾未來幾年將斥資25億歐元改善 ASML所在的埃因霍溫地區的住房、教育、交通和電網等基礎設施,此外,荷蘭政府還計劃對ASML實施減稅政策,以確保ASML不會將其業務轉移到國外。(3)客戶端:)客戶端:1988年,臺積電的一場大火為剛成立四年的ASML帶來了17臺光刻機訂單,ASML久旱逢甘露。2012年,英特爾、臺積電、三星合計花費52億歐元認購ASML 23%的股權,以謀求與ASML共同開發EUV光刻機并獲得優先采購權。圖:圖:20
201、12年年TSMC、Intel、Samsung對對ASML的股權投資的股權投資TSMCASML5%IntelSamsung15%3%5.3 資金層面:研發持續高投入,整合供應鏈鞏固壁壘資金層面:研發持續高投入,整合供應鏈鞏固壁壘數據來源:Wind,ASML年報,東吳證券研究所76資金運用:(資金運用:(1)ASML長期保持高研發投入:長期保持高研發投入:2023年,ASML的研發費用達40億歐元(同比+22%),遠高于同行業內的其他企業,研發人員占比達到37%;資本開支達22億歐元,同比+66%。2012-2023年,ASML的研發費用和資本開支CAGR分別為19%、26%。從全球半導體設備TO
202、P5制造商研發費用對比來看,2015-2023年ASML的研發費用率中樞在15%,高于其他四家。(2)收購關鍵供應商:)收購關鍵供應商:ASML不斷收購全球光刻機產業鏈上的核心優質公司以達成技術鏈完整、產業鏈一體的戰略,重大收購項目包括2013年以25億美元全資收購DUV和EUV光源制造商Cymer、2016年以30億美元全資收購電子束量測設備供應商漢微科(HMI)、2017年以11億美元收購蔡司SMT 24.9%的股份。5%7%9%11%13%15%17%19%21%2015201620172018201920202021202220232024H1ASMLAMATLAMTELKLA0510
203、15202530354045502015201620172018201920202021202220232024H1ASMLAMATLAMTELKLA圖:全球半導體設備圖:全球半導體設備TOP5制造商研發費用制造商研發費用(億美元億美元)圖:全球半導體設備圖:全球半導體設備TOP5制造商研發費用率對比制造商研發費用率對比目錄目錄1256ASML:全球最大:全球最大IC光刻機光刻機&半導體設備制造商半導體設備制造商光源光源&數值孔徑數值孔徑&工藝因子三輪驅動,共促光刻技術迭代工藝因子三輪驅動,共促光刻技術迭代ASML核心壁壘:技術、生態、資金三重壁壘筑高墻核心壁壘:技術、生態、資金三重壁壘筑高墻
204、國產光刻機:前路漫漫亦燦燦,吾將上下而求索國產光刻機:前路漫漫亦燦燦,吾將上下而求索777 風險提示風險提示3 光源系統光源系統&光學系統光學系統&雙工件臺為光刻機三大核心部件雙工件臺為光刻機三大核心部件4 光刻機市場:一超雙強格局穩定,晶圓擴產拉動需求增長光刻機市場:一超雙強格局穩定,晶圓擴產拉動需求增長6.1 美日荷意圖通過光刻機管制政策限制中國大陸先進制程發展美日荷意圖通過光刻機管制政策限制中國大陸先進制程發展數據來源:ASML官網,天天IC,美國商務部,半導體行業觀察,東吳證券研究所近年來美日荷先進光刻機管制政策不斷加碼,目前我國本土晶圓廠僅可自由進口前道低端近年來美日荷先進光刻機管制
205、政策不斷加碼,目前我國本土晶圓廠僅可自由進口前道低端DUV光刻機和光刻機和I-line光刻機,光刻機,芯片制程普遍被限制在成熟制程領域。此外,雖然目前芯片制程普遍被限制在成熟制程領域。此外,雖然目前ASML仍可為我國本土晶圓廠提供光刻機的維護服務,但在涉仍可為我國本土晶圓廠提供光刻機的維護服務,但在涉及需要從美國或歐洲發送關鍵零部件或采用相關技術時會受到一定限制。及需要從美國或歐洲發送關鍵零部件或采用相關技術時會受到一定限制。具體來看不同國家出臺的光刻機管制政策:(1)美國:)美國:2022年美國商務部出臺1007法案,光刻機管控范圍為用于16/14nm以下的邏輯芯片、128層以上NAND存儲
206、芯片以及半間距為18nm或以下的DRAM芯片的制造。2023年的1017法案進一步細化對光刻機核心性能參數進行限制,要求ASML對華出口2000i及后續浸沒式光刻機需事先獲得許可證,1980i系列光刻機出口需要限定用于成熟制程。(2)日本:)日本:2023年5月發布外匯與對外貿易法修正案,光刻機方面限制光源波長小于193nm或分辨率小于45nm(光刻工藝因子k1按0.25計算)的光刻機出口,等同于將Nikon的所有浸沒式光刻機納入管制。(3)荷蘭:)荷蘭:2024年1月1日起,ASML的2000i及后續浸沒式光刻機出口需申請許可證,與美國1017法案保持一致;2024年9月7日起,ASML的1
207、970i和1980i浸沒式光刻機出口需向荷蘭政府而非美國政府申請許可證。78國家國家日期日期光刻機相關管制政策光刻機相關管制政策美國美國2022.10.07先進芯片、設備、人員全面管控,設備管控范圍為16/14nm以下的邏輯芯片、128層以上NAND存儲芯片以及半間距為18nm或以下的DRAM芯片的制造設備。(該法案限制工藝節點較為模糊和籠統)(該法案限制工藝節點較為模糊和籠統)2023.10.17(1)對華出口套刻精度1.5nm的光刻機(如ASML DUV2000i系列及所有EUV光刻機)需事先獲得許可證;(注意:注意:ASML EUV光刻機的銷售早在光刻機的銷售早在2019年便受到限制)年
208、便受到限制)(2)對華出口1.5nm套刻精度2.4nm之間的光刻機(如ASML 1980i系列),需限定用途在先進工藝(16/14nm及以下邏輯芯片,128層及以上NAND,18nm及以下DRAM)以外。該法案在1007基礎上進行修訂和擴展,于2023年11月16日生效。(將(將ASML的的1980i DUV光刻機納入管制范圍,對少數中國大陸先進制程晶圓廠禁止出口)光刻機納入管制范圍,對少數中國大陸先進制程晶圓廠禁止出口)日本日本2023.05.23宣布修訂外匯與對外貿易法,將包括先進芯片制造設備在內的23類商品列入管制出口清單,其中光刻機方面限制光源波長小于193nm或分辨率小于45nm(光
209、刻工藝因子k1按0.25計算)的光刻機出口,該政策于2023年7月23日起正式生效。(等同于將(等同于將Nikon的所有浸沒式光刻機納入管制)的所有浸沒式光刻機納入管制)荷蘭荷蘭2023.06.30ASML需要向荷蘭政府申請出口許可證,才能裝運其最先進的DUV浸沒式光刻機(TWINSCAN NXT:2000i和后續浸沒式光刻和后續浸沒式光刻機)機),該法規計劃于2023年9月1日生效,最終實際于2024年1月1日起正式生效。2024.09.06ASML需要向荷蘭政府而非美國政府申請出口許可證,才能裝運其需要向荷蘭政府而非美國政府申請出口許可證,才能裝運其TWINSCAN NXT:1970i和和
210、1980i DUV浸沒式光刻機浸沒式光刻機。該政策將于2024年9月7日生效。表:美日荷光刻機出口管制政策梳理表:美日荷光刻機出口管制政策梳理數據來源:傳感器專家網,半導體綜研,ASML官網,東吳證券研究所79表:荷蘭表:荷蘭ASML光刻機產品銷往中國大陸的限制情況光刻機產品銷往中國大陸的限制情況公司公司應用領域應用領域光源光源波長波長制程節點制程節點分辨率分辨率數值孔徑數值孔徑NA套刻精度套刻精度Overlay產能產能TWINSCAN EXE5000ICEUV13.5nm8nm0.551.1nm185wph3800EICEUV13.5nm3nm/2nm13nm0.330.9nm220wph3
211、600DICEUV13.5nm5nm/3nm13nm0.330.9/1.1nm160wph(30mJ/cm2)3400CICEUV13.5nm5nm/3nm13nm0.331.4/1.5nm170wph(20mJ/cm2)135wph(30mJ/cm2)3400BICEUV13.5nm7nm/5nm13nm0.331.4/2.0nm125wph3350BICEUV13.5nm16nm0.331.5/2.5nm125wph3300BICEUV13.5nm22/16nm0.333.0/5.0nm125wph2100iICArFi193nm(134nm)10nm38nm1.351.3nm295wph
212、2050iICArFi193nm(134nm)10nm38nm1.351.5nm295wph2000iICArFi193nm(134nm)10nm38nm1.352.0nm275wph1980FiICArFi193nm(134nm)10nm38nm1.352.5nm330wph1980EiICArFi193nm(134nm)10nm38nm1.352.5nm295wph1980DiICArFi193nm(134nm)10nm38nm1.351.6/2.5nm275wph1970DiICArFi193nm(134nm)20nm38nm0.85-1.352.0/3.5nm250wph1965DiI
213、CArFi193nm(134nm)20nm38nm0.85-1.352.5/4.5nm250wph1470ICArF193nm65nm65nm0.65-0.934.0nm300wph870ICKrF248nm7.5nm330wph1460KICArF193nm65nm65nm0.65-0.933.5/5.0nm205wph1060KICKrF248nm80nm0.50-0.933.5/5.0nm205wph860NICKrF248nm7.5nm260wph860MICKrF248nm110nm0.55-0.8012/14nm240wph400MIC(3D NAND)I-line248nm12/
214、20nm250wph400LIC(3D NAND)I-line365nm350/280/220nm0.48-0.6512/20nm230wph1150CICArF193nm90nm90nm0.50-0.7512/20nm135wph8TFH-AIC(AlTiC wafers)KrF248nm110nm0.55-0.808/17nm25wph850DICKrF248nm110nm110nm0.55-0.8015/25nm145wph750FICKrF248nm130nm130nm0.5-0.725/40nm130wph450FICI-line365nm220nm0.48-0.65150wph35
215、0CICKrF248nm0.15 m0.15 m0.40-0.6328/60nm88wph275DICI-line365nm0.28 m0.48-0.6040/80nm120wph100DICI-line365nm0.40 m0.48-0.6060nm100wph設備型號設備型號TWINSCAN NXETWINSCAN XTASMLPASS500TWINSCAN NXT出口出口需美需美國政國政府許府許可證可證出口出口需荷需荷蘭政蘭政府許府許可證可證6.1 美荷政府正逐步加強對中國大陸美荷政府正逐步加強對中國大陸ArFi光刻機的出口管制光刻機的出口管制公司公司應用領域應用領域光源光源波長波長制程
216、節點制程節點分辨率分辨率數值孔徑數值孔徑NA套刻精度套刻精度Overlay產能產能S636EICArFi193nm(134nm)38nm1.352.1nm280wphS635EICArFi193nm(134nm)38nm1.352.1nm275wphS631EICArFi193nm(134nm)38nm1.351.7/2.3nm270wphS625EICArFi193nm(134nm)38nm1.352.5nm280wphS622DICArFi193nm(134nm)38nm1.352.0/3.5nm200wphS322FICArF193nm65nm0.922.0/5.0nm230wphS22
217、0DICKrF248nm110nm0.823.0/6.0nm230wphS210DICKrF248nm110nm0.82176wphSF155ICI-line365nm280nm0.6225nm200wphNSR(Stepper)2205iL1功率/MEMSI-line365nm350nm0.4570nm1W-h04(150mm)先進封裝/MEMS/LEDH-line405nm2.0 m0.160.30 m63wph1W-h04A(150mm)先進封裝/MEMS/LEDH-line405nm1.6 m0.160.30 m63wph1W-ghi06(150mm)先進封裝/MEMSG/H/I-li
218、ne365-436nm2.3m0.130.30 m101wph2W-ghi06(200mm)先進封裝/MEMSG/H/I-line365-436nm2.3m0.130.35 m59wph1W-i06(150mm)IC(分立/功率/模擬)I-line365nm2.0 m0.130.30 m96wph2W-i06(200mm)IC(分立/功率/模擬)I-line365rm2.0urn0.130.35 m56wph2W-i10(200mm)先進封裝/MEMS/LEDI-line365nm3.7 m0.070.6 m105wph6300ES6aICKrF248nm90nm0.50-0.865.0nm6
219、300ESWICKrF248nm130nm0.45-0.709.0nm3030EX6ICKrF248nm150nm0.50-0.6525nm5550iZ2ICI-line365nm350nm0.45-0.5715/20nm5510iXICI-line365nm500nm0.28-0.3750nm3030i5+ICI-line365nm350nm0.45-0.6340nm5520iV先進封裝I-line365nm0.8/1.5 m0.12-0.2450nm5510iV先進封裝I-line365nm1 m0.10-0.18300nmH803FPD2.0 m0.5 mH763FPD2.5 m0.6
220、mE813FPD1.5 m0.3 mSSA600/20ICArF193nm90nm90nmSSC600/10ICKrF248nm110nm110nmSSB600/10ICI-line365nm280nm280nmSSB500/40先進封裝I-line365nm2 mSSB500/50先進封裝I-line365nm1 mSSB300LEDI-line365nm0.8 mSSB320LEDI-line365nm2 mSSB380LEDI-line365nm1 m5SB225/10FPD2 m0.6 mSSB225/20FPD1.5 m0.5 mSSB245/10FPD2 m0.6 mSSB245/
221、20FPD1.5 m0.5 mSSB260/10TFPD2 m0.6 mSSB260/20TFPD1.5 m0.5 mSSB500SSX600SSB300/30SSB200CanonSMEENSR(Immersion&MP)設備型號設備型號NSR(Scanner)NES(Stepper)FPA(Scanner)MPAspFPA(Stepper)Nikon數據來源:傳感器專家網,半導體綜研,Nikon官網,東吳證券研究所表:日本表:日本Nikon和和Canon光刻機產品銷往中國大陸的限制情況光刻機產品銷往中國大陸的限制情況80日本日本政府政府出口出口限制限制6.1 日本政府已限制對中國大陸日本政
222、府已限制對中國大陸ArFi光刻機的出口光刻機的出口數據來源:海關總署(商品編碼為84862031、84862039,包含部分涂膠顯影設備),東吳證券研究所ASML為中國大陸為中國大陸IC光刻機的最主要進口來源,光刻機的最主要進口來源,2023年以來光刻機快速交付。年以來光刻機快速交付。2023年中國大陸IC光刻機進口總額為87億美元,同比+120%,其中從荷蘭進口額72億美元(基本符合同期ASML來自中國大陸的收入),同比+184%,荷蘭進口額占進口總額的83%,同比+18pct。2024年1-8月,中國大陸從荷蘭進口IC光刻機的金額為55億美元,同比持續大增67%。2023年以來,中國大陸從
223、荷蘭進口IC光刻機的均價大幅提高,表明ASML已將較多光刻機快速交付至我國本土晶圓廠。6.2.1 2023年以來年以來ASML已將較多已將較多DUV光刻機交付中國大陸光刻機交付中國大陸圖:中國大陸圖:中國大陸IC光刻機進口量光刻機進口量(臺臺)-按來源國按來源國81圖:中國大陸圖:中國大陸IC光刻機進口金額光刻機進口金額(億美元億美元)-按來源國按來源國圖:中國大陸圖:中國大陸IC光刻機進口均價光刻機進口均價(萬美元萬美元/臺臺)-按來源國按來源國45353387671211681472251602434063163732213406826325231962021842592423304104
224、824563382060200400600800100012001400其他日本荷蘭78923162632257255233548131314611111121110102030405060708090100其他日本荷蘭1468228226782599233621411905173332133466787911014419822319520526631805001000150020002500300035004000荷蘭日本其他0100020003000400050006000荷蘭荷蘭我國本土晶圓廠已儲備較多我國本土晶圓廠已儲備較多DUV光刻機,可在一定時間內為國內先進制程的擴產需求提供保障。
225、光刻機,可在一定時間內為國內先進制程的擴產需求提供保障。從更具體的月度數據來看,ASML快速向中國大陸交付光刻機始于2023年5月,單臺光刻機的進口均價從22M1-23M4的1640萬美元提升至23M6-24M8的3800萬美元,結合ASML各類光刻機的平均售價,我們判斷23M6-24M8期間中國大陸從ASML進口了較多相對過去更高端的DUV光刻機;此外中低端的DUV和I-line仍占據進口總量的較高比例,我們認為一方面系ASML自身的產能有限,另一方面晶圓廠即便擴產先進制程也會對中低端光刻機存在較大需求。6.2.1 2023年以來年以來ASML已將較多已將較多DUV光刻機交付中國大陸光刻機交
226、付中國大陸820510152025303540荷蘭圖:中國大陸從荷蘭進口圖:中國大陸從荷蘭進口IC光刻光刻機的月度數量機的月度數量(臺臺)圖:中國大陸從荷蘭進口圖:中國大陸從荷蘭進口IC光刻光刻機的月度金額機的月度金額(億美元億美元)圖:中國大陸從荷蘭進口圖:中國大陸從荷蘭進口IC光刻光刻機的月度均價機的月度均價(萬美元萬美元/臺臺)數據來源:海關總署(商品編碼為84862031、84862039),東吳證券研究所0510152025303540荷蘭02468101214荷蘭2023年以來上海、廣東、北京、湖北和安徽等地從荷蘭進口光刻機的規??焖僭鲩L。年以來上海、廣東、北京、湖北和安徽等地從荷
227、蘭進口光刻機的規??焖僭鲩L。我國各省市光刻機的進口體量往往能夠反映該地區晶圓廠未來的擴產傾向,光刻機的進口均價也可在一定程度上反映未來擴產制程的先進水平。按國內分注冊地進口情況看,2023年中國大陸荷蘭光刻機進口數量和進口金額前五的省市分別為上海、廣東、北京、湖北和安徽,五大省市的進口金額分別為15、10、12、14、10億美元,同比分別增長169%、791%、247%、406%和100%。2024年1-8月,安徽、廣東、北京和上海從荷蘭進口光刻機的金額仍保持較快增速,同比分別增長205%、104%、66%和19%。6.2.2 2023年以來上海、廣東、北京、安徽等地從荷蘭進口光刻機的規??焖?/p>
228、增長年以來上海、廣東、北京、安徽等地從荷蘭進口光刻機的規??焖僭鲩L83圖:國內各省市從荷蘭進口圖:國內各省市從荷蘭進口IC光光刻機的數量刻機的數量(臺臺)圖:國內各省市從荷蘭進口圖:國內各省市從荷蘭進口IC光光刻機的金額刻機的金額(億美元億美元)圖:國內各省市從荷蘭進口圖:國內各省市從荷蘭進口IC光刻光刻機的均價機的均價(萬美元萬美元/臺臺)數據來源:海關總署(商品編碼為84862031、84862039),東吳證券研究所0102030405060上海江蘇北京湖北廣東安徽其他0246810121416上海江蘇北京湖北廣東安徽其他010002000300040005000600070008000
229、上海江蘇北京湖北廣東安徽其他19771980198119851990s2002轉折點轉折點19652022201820172024 中國科學院109廠與上海光學儀器廠協作,成功研制我國首臺65型接觸式光刻機,用于光刻掩模制造 我國第一臺GK-3型半自動接觸式光刻機誕生,與國外約有20年差距 機械電子工業部45所成功研制出BG-101分布光刻機,同年中科院上海光機所研制出掃描式投影光刻機 45所研制出G-line 1.5m分步投影光刻機樣機,技術達到GCA在1978年推出的4800DSW水平 中科院半導體所研制出JK-1型半自動接近式光刻機 清華大學研制出國內第一臺分布式投影光刻機,精度為3m2
230、0082006 國家中長期科學和技術發展規劃綱要(2006-2020)提出“02專項”201520162007 國科精密研發國內首套NA=0.75投影光刻機物鏡系統,國望光學研發出首套90nm節點ArF投影光刻機曝光光學系統 SMEE SSX600系列三款步進掃描投影光刻機實現量產,其中SSA600/20光刻機分辨率達到90nm 華卓精科成功研制出65 nm ArF干式光刻機雙工件臺樣機 中科院“超分辨光刻裝備”通過驗收,光刻分辨率達22nm,結合雙重曝光可生產10nm制程芯片 SMEE 90nm光刻機(SSA600)項目通過正式驗收 SMEE推出中國第一臺2.5D/3D先進封裝光刻機,正式交
231、付客戶 工信部發布首臺(套)重大技術裝備推廣應用指導目錄(2024年版),列示國產套刻精度8nm、分辨率65nm的干式ArF光刻機進入推廣應用階段 國家組建上海微電子(SMEE)承擔分辨率100nm的193nm ArF光刻機攻關項目,中電科45所原分布式投影光刻機研發團隊整體遷至上海參與其中 此時臺積電提出浸沒式光刻技術 90年代“造不如買”思潮深入人心,大量進口成品光刻機,國產光刻機產業步伐放緩 1994年中電科45所研制出0.8m分步式投影光刻機 國家明確02專項光刻機突破關鍵部件任務分配,由中科院長春光機所、中科院上海光機所和國科精密研究曝光光學系統,北京華卓精科承擔雙工件臺,南大光電研
232、制光刻膠,啟爾機電負責突破DUV光刻機浸沒系統等 SMEE承擔的02專項任務“浸沒光刻機關鍵技術預研項目”通過驗收;承擔的02專項“90nm光刻機樣機研制”任務也通過02專項實施管理辦公室組織的專家組現場測試 長春光機所“極紫外光刻關鍵技術研究”課題通過驗收 SMEE成功研發出365nm光波長的DUV分布式投影光刻機,可用于90nm芯片制程。但這臺DUV光刻機的大部分零件均無法國產6.3.1 目前國內光刻機技術水平落后目前國內光刻機技術水平落后ASML約約2030年年數據來源:陳寶欽光刻技術六十年(2022,激光與光電子學進展),SMEE官網,東吳證券研究所84圖:國產光刻機發展歷程圖:國產光
233、刻機發展歷程攻堅模式類似攻堅模式類似ASML,目前整體技術水平落后目前整體技術水平落后ASML約約2030年年工信部披露可用于工信部披露可用于65nm制程的干式制程的干式ArF光刻機進入推廣應用階段。光刻機進入推廣應用階段。2024年6月20日工信部發布首臺(套)重大技術裝備推廣應用指導目錄(2024年版)公示稿,后于9月9日正式發布,其中集成電路生產裝備章節列示了氟化氪(KrF)光刻機、氟化氬(ArF)光刻機兩項,意味著國產KrF、ArF光刻機已完成首臺生產,進入優化升級、推廣應用階段。預計工信部披露的預計工信部披露的65nm干式干式ArF光刻機相比光刻機相比SMEE的的SSA600機型數值
234、孔徑有所提升,但套刻精度和產能仍有機型數值孔徑有所提升,但套刻精度和產能仍有較大優化空間。較大優化空間。根據工信部文件所示的核心技術指標,該ArF光刻機分辨率65nm,即對應單次曝光關鍵尺寸65nm(可對應制程65nm),套刻精度8nm(套刻精度即多次光刻的圖案層之間的對齊精度,多重曝光工藝對套刻精度的要求更高)。此次工信部所示干式ArF光刻機相比SMBB的SSA600型光刻機制程由90nm進一步微縮至65nm,我們預計主要由數值孔徑增加帶來,套刻精度和產能相比ASML和Nikon的競品仍有較大優化空間。6.3.3 可用于可用于65nm制程的國產干式制程的國產干式ArF光刻機將進入推廣應用階段
235、光刻機將進入推廣應用階段數據來源:工信部,SMEE官網,ASML官網,Nikon官網,東吳證券研究所85產品型號產品型號制程節點制程節點分辨率分辨率光源波長光源波長數值孔徑數值孔徑NA套刻精度(套刻精度(MMO)產能產能KrF光刻機光刻機2024年工信部指導目錄年工信部指導目錄-110nm110nm248nm-25nm-對比ASML相近分辨率型號的光刻機PASS 850D110nm110nm248nm0.55-0.8025nm145wphXT 860M110nm110nm248nm0.55-0.8014nm240wph對比Nikon相近分辨率型號的光刻機NSR S220D110nm110nm2
236、48nm0.826nm230wph對比SMEE相近分辨率型號的光刻機SSC600110nm110nm248nmArF光刻機光刻機2024年工信部指導目錄年工信部指導目錄-65nm65nm193nm-8nm-對比ASML相近分辨率型號的光刻機NXT 147065nm65nm193nm0.65-0.934nm300wph對比Nikon相近分辨率型號的光刻機NSR S322F65nm65nm193nm0.925nm230wph對比SMEE相近分辨率型號的光刻機SSA60090nm90nm193nm0.75表:工信部指導目錄所示光刻機與主流競品參數對比表:工信部指導目錄所示光刻機與主流競品參數對比大基
237、金三期募資落地,規模大基金三期募資落地,規模3440億元為歷史之最。億元為歷史之最。國家大基金一期1387.2億元(投資期2014-2019年,二期2041.5億元(投資期2019-2024年),2024年5月底三期完成募資。與一期、二期相比,此次廣東國資、天津國資都是新增的出資單位(上海國盛、北京亦莊前兩期也是出資單位),未來我們判斷對當地項目返投的投資比例會較大幅度上升。重資產特點的晶圓廠和低國產化率的半導體設備重資產特點的晶圓廠和低國產化率的半導體設備&材料將是最直接受益方向(先進制程材料將是最直接受益方向(先進制程Fab擴產有望加速)。擴產有望加速)。我們認為,一方面隨著AI應用加速等
238、催化,國內半導體需求拐點向上,國內存儲和邏輯大廠擴產有望得到大基金較大支持,特別是先進存儲加速擴產動力充足、趨勢明顯;另一方面以光刻機產業鏈為代表的“卡脖子”環節有望持續得到充分資金支持。國產設備商將會從Fab廠擴產帶來的試用和驗證機臺機會中間接受益。存量設備龍頭仍是提高國產化份額的邏輯,新成立的設備公司后續客戶驗證的最佳時間窗口逐漸減少。6.4 大基金三期募資落地,晶圓廠大基金三期募資落地,晶圓廠CAPEX加速加速+長期利好設備環節長期利好設備環節600,17%360,11%300,9%215,6%215,6%215,6%215,6%200,6%200,6%920,27%財政部國開金融上海國
239、盛農業銀行工商銀行建設銀行中國銀行交通銀行北京亦莊國投其他出資方170,5%150,4%100,3%100,3%100,3%90,3%80,2%50,1%40,1%40,1%其他出資方出資結構其他出資方出資結構深圳鯤鵬資本北京國誼國投集團中國煙草中國誠通廣州產投郵儲銀行華潤投資(天津)中移資本粵財控股圖:大基金三期募資結構圖:大基金三期募資結構(億元億元)數據來源:愛企查,東吳證券研究所注:標紅表示新增的廣東國資和天津國資單位。86數據來源:SMEE官網,東吳證券研究所上海微電子(上海微電子(SMEE)是國內技術最領先的光刻機整機)是國內技術最領先的光刻機整機研制生產單位。研制生產單位。SME
240、E的光刻機產品廣泛應用于IC前道、IC后道先進封裝、FPD面板、MEMS、LED、功率器件等制造領域,其中目前優勢領域集中體現在IC后道先進封裝和LED。根據公司2023年9月發布的2024年人才招聘計劃,公司先進封裝光刻機的全球市占率為37%,在中國大陸市占率高達85%。此外,公司LED系列光刻機全球市占率達到55%。截至2022年底,公司申請專利數共計3900項,獲得授權2800項,研發碩博占比70%。6.5 上海微電子:國產光刻機之光,靜待浸沒式光刻技術突破上海微電子:國產光刻機之光,靜待浸沒式光刻技術突破圖:上海微電子發展歷程圖:上海微電子發展歷程8720122002至今 2002.0
241、3 上海微電子裝備有限公司在張江高科技園區正式成立 2006.04 光刻機產品注冊商標獲得國家工商局批準 2009.12 首臺先進封裝光刻機產品SSB500/10A交付用戶 2012.05 SSB500系列先進封裝光刻機產品首次實現海外銷售 2013.08 國產首臺用于2.5代AM-OLED TFT電路制造的SSB225/10成功交付用戶 2016.06 上海微電子首臺暨國內首臺前道掃描光刻機交付用戶 2017.10 上海微電子承擔的02重大科技專項“90nm光刻機樣機研制”任務通過現場測試 2018.03 上海微電子90nm光刻機項目通過正式驗收 2022.02 上海微電子推出中國第一臺2.
242、5D/3D先進封裝光刻機,并且正式交付客戶55%37%85%85%0%10%20%30%40%50%60%70%80%90%LED系列光刻機IC后道先進封裝光刻機全球市占率中國大陸市占率圖:圖:2023年年SMEE優勢產品市占率優勢產品市占率數據來源:SMEE官網,東吳證券研究所目前目前SMEE的的IC前道光刻機可量產前道光刻機可量產90nm制程,浸沒式光刻機正加速研發。制程,浸沒式光刻機正加速研發。目前SMEE已量產的IC前道光刻機共有3款,分別為I-line光刻機、KrF光刻機以及干式ArF光刻機,可滿足90/110/280nm關鍵層,其中性能最好的是90nm的干式ArF光刻機。2017年
243、4月公司承擔的國家02重大科技專項任務“浸沒光刻機關鍵技術預研項目”通過國家正式驗收,目前公司正加速推進浸沒式DUV光刻機產業化落地。國家牽頭,多家頂尖科研院所國家牽頭,多家頂尖科研院所+高校參與,看好高校參與,看好SMEE和各大院所持續突破,實現我國光刻機供應鏈的自主和各大院所持續突破,實現我國光刻機供應鏈的自主可控??煽?。從發展模式上看,我國光刻機攻堅采取ASML的模式,國有單位領導并提供充足資金,多家頂尖科研院所、高校及衍生企業負責各子系統或關鍵部件的技術攻關,上海微電子負責組裝。過去的02專項及大基金一期支持下我國光刻機產業鏈已取得良好進展,期待后續浸沒式光刻機甚至EUV光刻機的突破。
244、6.5 上海微電子:國產光刻機之光,靜待浸沒式光刻技術突破上海微電子:國產光刻機之光,靜待浸沒式光刻技術突破表:上海微電子表:上海微電子IC前道光刻機產品參數前道光刻機產品參數型號型號SSA600/20SSC600/10SSB600/10分辨率分辨率/nm90nm110nm280nm曝光光源曝光光源/nmArF 193nmKrF 248nmI-line 365nm鏡頭倍率鏡頭倍率1:41:41:4硅片尺寸硅片尺寸/mm200/300mm200/300mm200/300mm886.6 國產光刻機完整產業鏈已初具雛形國產光刻機完整產業鏈已初具雛形數據來源:各公司官網,各公司公告,東吳證券研究所圖:
245、國產光刻鏈的打通將助力下一代國產光刻機突破圖:國產光刻鏈的打通將助力下一代國產光刻機突破上游上游核心核心零部零部件件中游中游整機整機設計設計與制與制造、造、配套配套設備設備與材與材料料光學組件光學組件掩模光刻機掩模光刻機IC前道制造(晶圓廠)前道制造(晶圓廠)SMIC、華虹、積塔、長存、長鑫等SMEE封閉框架封閉框架與減振器與減振器雙工件臺雙工件臺曝光組件曝光組件下游下游應用應用市場市場IC后道先進封裝后道先進封裝長電科技、華天科技等面板制造面板制造(FPD)京東方、維信諾、深天馬等LED制造制造東山精密、深南電路、景旺電子、滬電股份等后市場:維修保養、升級改造后市場:維修保養、升級改造PCB
246、制造制造直寫式光刻機直寫式光刻機光學鏡片光學鏡片光束形狀設置光束形狀設置光束矯正器光束矯正器測量臺測量臺曝光臺曝光臺掩模臺掩模臺掩模版掩模版遮光器遮光器能量探測器能量探測器組組件件系系統統光源系統光源系統檢測系統檢測系統控制系統控制系統測量系統測量系統照明子系統照明子系統投影物鏡投影物鏡溫度溫度/濕度濕度/清潔度控制清潔度控制計算光刻(控制軟件)子系統計算光刻(控制軟件)子系統調平調焦測量子系統調平調焦測量子系統光學系統光學系統整機(軟件)控制整機(軟件)控制光罩缺陷檢測光罩缺陷檢測電子束檢測電子束檢測浸沒系統浸沒系統無掩模光刻機無掩模光刻機干式干式DUV光刻機光刻機浸沒式浸沒式光刻機光刻機E
247、UV光刻機光刻機涂膠顯影設備涂膠顯影設備光刻膠光刻膠光刻氣體光刻氣體傳輸系統傳輸系統晶圓傳輸子系統晶圓傳輸子系統掩模傳輸子系統掩模傳輸子系統芯源微、盛美上海華卓精科精測電子、中科飛測、睿勵科學、賽騰股份泓滸半導體、廣川科技(富創精密參股)同飛股份、海立股份啟爾機電精測電子、中科飛測、睿勵科學、宇微光學、東方晶源等國望光學、國科精密、茂萊光學、賽微電子科益虹源(DUV光源)騰景科技、炬光科技、福晶科技、茂萊光學等清溢光電、路維光電、菲利華DUV/EUV激光器激光器南大光電、晶瑞股份、北京科華、容大感光等其他零部其他零部件件/結構件結構件干式干式DUV浸沒式浸沒式DUVEUV芯源微華特氣體、凱美特
248、氣等芯碁微裝等兆馳、三安光電等真空系統真空系統漢鐘精機富創精密、新萊應材89科研院所與高校:科研院所與高校:長春光機所-物鏡系統、清華大學-雙工件臺&SSMB EUV光源、哈工大-激光干涉儀、中科院-光鏡鍍膜等數據來源:東吳證券研究所6.7 總結:國產光刻機前路漫漫亦燦燦,吾將上下而求索總結:國產光刻機前路漫漫亦燦燦,吾將上下而求索目前國產光刻機實現自主可控的三大核心要素均已具備,生態網絡正逐步完善,資金面相對充足,但最為關鍵的技術端仍然薄目前國產光刻機實現自主可控的三大核心要素均已具備,生態網絡正逐步完善,資金面相對充足,但最為關鍵的技術端仍然薄弱,難以充分反哺生態和資金,導致三者尚未形成閉
249、環,靜待后續技術突破。弱,難以充分反哺生態和資金,導致三者尚未形成閉環,靜待后續技術突破。1)技術層面,)技術層面,SMEE的光刻機僅支持到90nm制程,要實現先進制程仍需在分辨率(瑞利準則下進一步分為光源波長、數值孔徑、工藝因子)、單機產能、套刻精度這三項關鍵指標不斷迭代,而這需要整機廠及上下游產業鏈的共同努力。2)生態層面,)生態層面,目前我國完整的光刻機產業鏈已經初見雛形,在政府牽頭下,各細分技術(比如浸沒式和EUV)、核心零部件或子系統均有相關企業或院校攻關。下游晶圓廠也在積極導入國產光刻機驗證或量產。3)資金層面,)資金層面,隨著制程不斷微縮,后續產線投資額和研發難度均大幅提升,政府
250、補貼力度日益增強。此外,大基金三期募資落地,規模3440億元為歷史之最,以光刻機產業鏈為代表的“卡脖子”環節有望持續得到充分資金支持。技術技術生態生態(產業鏈產業鏈+政府政府+需求需求)資金資金下游客戶逐步開放驗證機會,并在海外先下游客戶逐步開放驗證機會,并在海外先進設備制裁加強背景下提升產線設備的國進設備制裁加強背景下提升產線設備的國產化率;銷售光刻機,回籠資金;產化率;銷售光刻機,回籠資金;政府補助或稅收補貼政府補助或稅收補貼國資控股國資控股/參股上游供應商,參股上游供應商,保障關鍵零部件供應保障關鍵零部件供應圖:國產光刻機實現自主可控的三大核心要素均已具備,但技術端仍亟待突破圖:國產光刻
251、機實現自主可控的三大核心要素均已具備,但技術端仍亟待突破90目錄目錄1256ASML:全球最大:全球最大IC光刻機光刻機&半導體設備制造商半導體設備制造商光源光源&數值孔徑數值孔徑&工藝因子三輪驅動,共促光刻技術迭代工藝因子三輪驅動,共促光刻技術迭代ASML核心壁壘:技術、生態、資金三重壁壘筑高墻核心壁壘:技術、生態、資金三重壁壘筑高墻國產光刻機:前路漫漫亦燦燦,吾將上下而求索國產光刻機:前路漫漫亦燦燦,吾將上下而求索917 風險提示風險提示3 光源系統光源系統&光學系統光學系統&雙工件臺為光刻機三大核心部件雙工件臺為光刻機三大核心部件4 光刻機市場:一超雙強格局穩定,晶圓擴產拉動需求增長光刻
252、機市場:一超雙強格局穩定,晶圓擴產拉動需求增長風險提示風險提示1.半導體行業投資不及預期:半導體行業投資不及預期:若半導體行業景氣度下滑,下游客戶資本支出減少,則對半導體設備的需求將可能下降,將給半導體設備廠商的短期業績帶來一定壓力。2.設備國產化進程不及預期:設備國產化進程不及預期:集成電路專用設備技術門檻較高,特別是光刻機具有極高的技術壁壘,因此某些環節的技術難點或者國內設備及零部件供應商產能瓶頸可能導致設備國產化進展不及預期。3.國際貿易摩擦加劇風險:國際貿易摩擦加劇風險:由于少數國家不斷加強對中國半導體方面的出口管制限制,我國半導體行業發展面臨一定程度的國際貿易摩擦風險。4.半導體技術
253、快速迭代風險:半導體技術快速迭代風險:半導體行業是技術高度密集的行業,有“一代產品,一代工藝,一代設備”的特征。在摩爾定律推動下,技術不斷更新迭代,晶圓制造要先于下游產品開發新一代工藝,而半導體設備要先于晶圓制造開發新一代設備。半導體技術的快速更新對設備研發提出了更高的要求。92免責聲明免責聲明東吳證券股份有限公司經中國證券監督管理委員會批準,已具備證券投資咨詢業務資格。本研究報告僅供東吳證券股份有限公司(以下簡稱“本公司”)的客戶使用。本公司不會因接收人收到本報告而視其為客戶。在任何情況下,本報告中的信息或所表述的意見并不構成對任何人的投資建議,本公司及作者不對任何人因使用本報告中的內容所導
254、致的任何后果負任何責任。任何形式的分享證券投資收益或者分擔證券投資損失的書面或口頭承諾均為無效。在法律許可的情況下,東吳證券及其所屬關聯機構可能會持有報告中提到的公司所發行的證券并進行交易,還可能為這些公司提供投資銀行服務或其他服務。市場有風險,投資需謹慎。本報告是基于本公司分析師認為可靠且已公開的信息,本公司力求但不保證這些信息的準確性和完整性,也不保證文中觀點或陳述不會發生任何變更,在不同時期,本公司可發出與本報告所載資料、意見及推測不一致的報告。本報告的版權歸本公司所有,未經書面許可,任何機構和個人不得以任何形式翻版、復制和發布。經授權刊載、轉發本報告或者摘要的,應當注明出處為東吳證券研
255、究所,并注明本報告發布人和發布日期,提示使用本報告的風險,且不得對本報告進行有悖原意的引用、刪節和修改。未經授權或未按要求刊載、轉發本報告的,應當承擔相應的法律責任。本公司將保留向其追究法律責任的權利。東吳證券投資評級標準東吳證券投資評級標準 投資評級基于分析師對報告發布日后6至12個月內行業或公司回報潛力相對基準表現的預期(A 股市場基準為滬深 300 指數,香港市場基準為恒生指數,美國市場基準為標普 500 指數,新三板基準指數為三板成指(針對協議轉讓標的)或三板做市指數(針對做市轉讓標的),北交所基準指數為北證50指數),具體如下:公司投資評級:買入:預期未來6個月個股漲跌幅相對基準在1
256、5%以上;增持:預期未來6個月個股漲跌幅相對基準介于5%與15%之間;中性:預期未來 6個月個股漲跌幅相對基準介于-5%與5%之間;減持:預期未來 6個月個股漲跌幅相對基準介于-15%與-5%之間;賣出:預期未來 6個月個股漲跌幅相對基準在-15%以下。行業投資評級:增持:預期未來6個月內,行業指數相對強于基準5%以上;中性:預期未來6個月內,行業指數相對基準-5%與5%;減持:預期未來6個月內,行業指數相對弱于基準5%以上。我們在此提醒您,不同證券研究機構采用不同的評級術語及評級標準。我們采用的是相對評級體系,表示投資的相對比重建議。投資者買入或者賣出證券的決定應當充分考慮自身特定狀況,如具體投資目的、財務狀況以及特定需求等,并完整理解和使用本報告內容,不應視本報告為做出投資決策的唯一因素。東吳證券研究所蘇州工業園區星陽街5號郵政編碼:215021傳真:(0512)62938527東吳證券 財富家園