《國產半導體設備研究框架:光刻機、薄膜沉積、刻蝕機、清洗、氧化、離子注入、量測-220519(136頁).pdf》由會員分享,可在線閱讀,更多相關《國產半導體設備研究框架:光刻機、薄膜沉積、刻蝕機、清洗、氧化、離子注入、量測-220519(136頁).pdf(136頁珍藏版)》請在三個皮匠報告上搜索。
1、分析師:陳杭登記編號:S1220519110008聯系人:胡園園證券研究報告2022年5月19日【方正電子行業深度報告】國產半導體設備研究框架:光刻機、薄膜沉積、刻蝕機、清洗、氧化、離子注入、量測投資要點2n 邏輯/存儲/功率/三代半擴產,資本支出繼續維持高位。2022年往后,內資晶圓廠12吋潛在擴產產能至少155萬片/月,支撐3-4年高景氣周期。其中中芯國際臨港、京城、深圳合計至少24萬片/月,存儲端合肥長鑫、長江存儲各自二期22與20萬片待擴,華虹擬回A上市,預計也將繼續加碼產能擴張。此外,疊加功率、三代半高需求,行業資本支出預計繼續高位發展。n 供應鏈安全迫在眉睫,國產替代勢在必行。全球
2、半導體設備市場美、日、歐壟斷,中國大陸半導體設備綜合自給率仍非常低。大國博弈背景下,保證產業供應鏈安全迫在眉睫;國產化推動下,國內設備廠商厚積薄發,產品驗證與新機研發齊頭并進,品線擴張與設備放量賦能長期高成長。n 擴產招標穩步推進,加大備貨響應交付。中芯國際22Q1新增擴產2.8萬片/月等效8吋,預計全年產能增量高于去年;華虹3萬片擴產正陸續搬入設備,1-4月以來累積招標設備近125臺;此外,積塔1-4月累積招標近161臺;下游擴產招標穩步推進。設備公司端,上游零部件交期拉長壓力下,2021年多繼續采用2倍多超前采購策略,積極備貨以滿足交付需求,同時側面印證公司在手訂單充沛,放量信心充足。n
3、建議關注:北方華創、中微公司、盛美上海、拓荊科技、萬業企業、芯源微、華峰測控、至純科技、精測電子、長川科技、芯碁微裝、光力科技n 風險提示:(1)下游擴產不及預期;(2)零部件短缺影響出貨;(3)貿易爭端風險。半導體設備供應格局2020年(億美元)2025年(億美元)CAGR(2020-2025)海外廠商國內廠商EUV4812621%ASML-DUV6854-4%ASML、尼康、佳能上海微電子刻蝕1371826%Lam、TEL、AMAT北方華創、中微公司、屹唐半導體PVD711099%應用材料、日本Evatec、日本Ulvac北方華創CVD48729%AMAT、Lam、TEL北方華創、沈陽拓荊
4、ALD103326%TEL、先晶半導體北方華創清洗49676%迪恩士、TEL、Lam北方華創、盛美半導體、至純科技檢測控制23349%泰瑞達、愛德萬、東京電子科磊、應用材料、日本日立長川科技、華峰測控、上海睿勵、上海微電子、上海精測CMP拋光23306%AMAT、Evatec華海清科離子注入23284%AMAT、Axcelies凱世通、中科信涂膠顯影-TEL、迪恩士、蘇斯微芯源微3產能擴張:3-4年擴產周期現有產能(2020年底)總規劃產能155.4萬片/月8英寸12英寸135萬片/月38.9萬片/月74萬片/月潛在擴產95.3萬片/月潛在擴產44.4萬片/月21年新增21.2萬片/月需求3-
5、4年需求2-3年2021年之后每年擴產25萬片/月21年新增16.6萬片/月每年擴產20萬片/月45北方華創覆蓋版圖刻蝕清洗氧化沉積中微離子CMP涂膠顯影拓荊盛美萬業屹唐芯源量測華海華峰先進封裝精測長川30%25%合計22%8153人生態級芯碁1048人13億上微光刻23%員工研發4億427人3億869人3億1億388人1億方正陳杭準平臺級一單產品中后道設備wind、智東西、方正證券研究所整理國產半導體設備生態636人6營收YoY凈利潤YoY002371.SZ北方華創1312-28%14247%16.755%9.278.7688012.SH中微公司674-14%4545%10.87%15.06
6、2.3600641.SH萬業企業157-51%1574%5.033%10.331.5688072.SH拓荊科技-U16683%1259%1.279%13.8135.4688082.SH盛美上海386-30%2661%4.257%14.892.5688037.SH芯源微100-30%1358%1.484%7.669.9603690.SH至純科技111-28%3046%3.939%3.628.4688200.SH華峰測控224-28%1345%6.343%17.635.7300567.SZ精測電子101-50%2921%2.950%3.434.8300480.SZ光力科技56-39%11107%2
7、.392%5.125.0688630.SH芯碁微裝55-35%752%1.649%7.435.0300604.SZ長川科技212-39%2776%4.6113%7.945.5PE2022年一致預期代碼公司市值年初至今漲跌幅PS一致預期(截止2022年5月14日),方正證券研究所整理估值比較市值、營收、凈利潤單位:億元目錄半導體前道設備詳解1黃光區:光刻機+涂膠顯影刻蝕區:刻蝕機真空區:PVD+CVD+ALD擴散區:離子注入+熱處理輔助區:清洗+檢測+CMP拋光半導體設備需求拆分2全球視角:先進制程之爭國內視角:成熟制程國產替代半導體設備廠商復盤3發展歷程:兼收并購,平臺化擴張需求判斷:供不應求
8、到2023年國產半導體設備廠商機遇4發展歷程:單類崛起,平臺化起航需求判斷:超前備貨應需求7半導體前道設備劃分8黃光區刻蝕區真空區擴散區其他光刻機涂膠顯影刻蝕機PVDCVDALD離子注入氧化爐退火爐外延爐清洗檢測定義關鍵尺寸沉積薄膜形成PN結輔助處理CMP拋光IC工藝流程及對應半導體設備9硅片制造IC設計芯片制造(前道)芯片封測(后道)多晶硅拉晶切割研磨拋光清洗邏輯設計CAD圖形設計光罩制作氧化擴散氧化爐RTP設備激光退火薄膜沉積CVD設備PVD設備ALD設備氣相外延光刻光刻機涂膠顯影機刻蝕干法刻蝕濕法刻蝕去膠機離子注入離子注入機CMPCMP設備刷片機金屬化PVD設備CVD設備電鍍設備背面減薄
9、設備檢測貼膜機減薄機等切割晶圓安裝機劃片機清洗設備AOI貼片貼片機烤箱焊線引線鍵合機微波/等離子清洗AOI封裝注塑機切筋/成型設備AOIFT測試設備電路設計產業應用:集成電路制造工藝、方正證券研究所整理n 半導體器件制造前道工藝可分為前半段和后半段。n 前半段為基板工藝,包括在硅基板內做成三極管等元件;n 后半段為布線工藝,即在硅基板上實施布線;n 與基板工藝相比,布線工藝更為復雜且耗時耗力。不管是存儲器還是邏輯器件,產業中正越來越多地將基板工藝與布線工藝區分。n 基板工藝中涉及刻蝕的部分:隔離技術、柵電極形成、電容結構、源-漏形成。n 布線工藝中涉及刻蝕的部分:金屬線條工藝和金屬孔互連工藝。
10、圖表:集成電路制造復合工藝及其對應基本工藝復合工藝技術(工藝集成、工藝模塊等)A洗凈B熱處理C薄膜形成D摻雜物導入E光刻F光刻G平坦化基板工程隔離技術阱形成技術柵絕緣膜形成技術柵電極形成技術DRAMFRAM源-漏形成技術接觸形成技術絕緣膜平坦化技術布線工程W塞形成技術Al電極布線技術Al多層布線結構形成技術低介電常數膜形成技術Cu布線技術鈍化技術10目錄11黃光區:光刻機+涂膠顯影刻蝕區:刻蝕機真空區:PVD+CVD+ALD擴散區:離子注入+熱處理輔助區:清洗+檢測+CMP拋光半導體前道設備詳解1光刻:將掩膜版上的電路圖印射到晶圓上12,方正證券研究所整理3. 涂膠為了印制芯片層,晶圓會先涂上
11、一層感光層,即 “光刻膠“,也稱 抗蝕劑。4. 光刻光通過光掩膜版射到晶圓上。當涂膠層暴露在光照下,會產生化學反應將光掩膜版上的圖案印到晶圓上。5. 烘干顯影通過烘干清洗和顯影,去除部分光刻膠,留下電路圖。晶圓制造工藝循環圖光刻機:半導體工業皇冠上的明珠13,方正證券研究所整理u 光刻機是光刻工藝的核心設備,也是所有半導體制造設備中技術含量最高的設備,包含上萬個零部件,集合了數學、光學、流體力學、高分子物理與化學、表面物理與化學、精密儀器、機械、自動化、軟件、圖像識別領域等多項頂尖技術。u 作為整個芯片工業制造中必不可少的精密設備光刻機,其光刻的工藝水平直接決定芯片的制程和性能水平,因此光刻機
12、更是被譽為半導體工業皇冠上的明珠。圖表:光刻機工藝的發展史光源波長對應設備最小工藝節點說明第一代UVg-line436nm接觸式光刻機800-250nm易受污染,掩模版壽命短接近式光刻機800-250nm成像精度不高第二代i-line365nm接觸式光刻機800-250nm易受污染,掩模板壽命短接近式光刻機800-250nm成像精度不高第三代DUVKrF248nm掃描投影式光刻機180-130nm采用投影式光刻機,大大增加掩模版壽命第四代ArF193nm步進掃描投影光刻機130-65nm最具代表性的一代光刻機,但仍面臨45nm制程下的分辨率問題浸沒式步進掃描投影光刻機45-22nm第五代EUV
13、13.5nm極紫外光刻機22-7nm成本過高,技術突破困難428122131334351738102050100150200EUVArFiArFKrFi lineASMLNikonCanon壟斷地位2021 Nikon面板用光刻機出貨49臺2021 Canon面板用光刻機出貨67臺光刻機:ASML獨占鰲頭n ASML:獨占鰲頭,成為唯一的一線供應商,旗下產品覆蓋全部級別光刻機;n Nikon:高開低走,但憑借多年技術積累,勉強保住二線供應商地位;n Canon:屈居三線;n 上海微電子:國內后起之秀,暫時只能提供低端光刻設備,由于光刻設備對知識產權和供應鏈要求極高,短期很難達到國際領先水平。E
14、ET,方正證券研究所圖表:2021年全球半導體前道光刻機銷售情況800-250nm180-130nm130-65nm45-22nm22-7nmIC前道光刻機出貨約500臺,ASML出貨309臺,占比64%其余光刻機出貨約150臺14IC前道制造光刻機面板光刻機LED用光刻機IC后道先進封裝光刻機15分辨率套刻誤差1m500nm40090nm10038nm3216nm250nm100nm10012nm204nm2nmPAS 5000PAS 5500Twinscan XT-NXTTwinscan NXE198419891990s2000s2010sPAS 2000圖表:ASML光刻機升級歷程l A
15、SML成立于1984年,當時正是日本半導體如日中天的時代。日本半導體的成功背后,是尼康和佳能兩大光學巨頭的光刻設備,以及東京電子、日立、迪恩士等一系列配套廠商的支持。l 1994年ASML的市場份額只有18%,但設計超前的8英寸PAS5500以及1995年IPO給ASML帶來了機遇。臺積電、三星和現代(后來的Hynix)率先決定幾乎全部改用ASML的機器,而1995年東芝、西門子和IBM聯盟考慮到和佳能的合作,開始沒有選擇ASML。l 最后的結局是:堅持尼康佳能的日系半導體廠商真正開始了長達數十年的衰敗,而押寶ASML的三大東亞廠商迅速崛起直到今天稱霸。,方正證券研究所整理光刻機:發展歷程光刻
16、機:結構解析,電子發燒友,方正證券研究所環境控制系統掩模傳輸系統投影物鏡系統硅片傳輸系統工作臺系統整機軟件系統整機控制系統光源系統掩模臺系統自動校準系統調平調焦測量系統框架減震系統激光光束傳輸投影鏡片操作控制單元光源晶圓傳輸系統掩模臺掃描晶圓臺Airmounts光刻機(激光器)光刻機整體結構光刻機構成: 照明系統 Stage系統 鏡頭組 搬送系統 Alignment系統光刻機性能指標: 基片尺寸范圍 分辨率 對準精度 曝光方式 光源波長 光強均勻性 生產效率16ASML光刻機:集成全球工藝阿斯麥光刻機產業鏈臺積電三星海力士英特爾!#$!#$激光光源Cymer物鏡組東芝半導體蔡司Berliner
17、 Glas光學組件精密加工零部件Kyoceraheidenhain浸沒雙工作臺ASMLTSMC!#$%&!#$%&(#)*(#)*+,-.+,-.17,中國電子頂級開發網,方正證券研究所ASML最先進的浸沒式光刻系統:DUV全球工藝集大成者u TWINSCAN NXT:2000i DUV(雙工作臺深紫外光刻機)是ASML最先進的浸沒式光刻系統,是極紫外光刻機EUV前的重要過渡產品,也是后期7nm/5nm產能的重要補充。18光刻機行業發展趨勢:EUV成為大勢所趨息網,ASML,方正證券研究所第一代第二代第三代第四代第五代波長(nm)010020050040030043636524819313.5
18、光源:g-line接觸接近式800-250nm制程光源:i-line接觸接近式800-250nm制程光源:KrF掃描投影式180-130nm制程光源:ArF步進投影式130-65nm制程浸沒步進式45-22nm制程光源:EUV極紫外式22-7nm制程DryEUVArFi2025E2018年AMSL一半以上的收入來自于ArFi,但是預計2025年EUV將會給ASML帶來超過75%的營收ASML光刻機收入占比1920u 受益于下游需求旺盛,光刻設備有望量價齊升帶動市場空間不斷增長。u 價:隨著芯片制程的不斷升級,IC前道光刻機制造日益復雜,其價格不斷攀升。先進制程發展使得晶體管成本降低,但是光刻機
19、價格不斷增高。2018年7nm EUV光刻機平均每臺價格達到了1.2億歐元。u 量:晶圓尺寸變大和制程縮小將使產線所需的設備數量加大,性能要求變高。12寸晶圓產線中所需的光刻機數量相較于8寸晶圓產線將進一步上升。同時預計2020年隨著半導體產線得到持續擴產,光刻機需求也將進一步加大。圖表:光刻機和晶體管的價格變化趨勢圖表:12吋晶圓產線需要的光刻設備更多晶體管均價光刻機價格光刻機平均每像素成本1960 1970 1980 1990 2000 2010 202048801234567898寸線12寸線(成熟制程) 12寸線(先進制程)制造產線所需光刻機數量(臺/1萬晶圓/月)光刻機:量價齊升息網
20、,方正證券研究所整理億歐元國產光刻機產業鏈:按圖索驥國產光刻機產業鏈中芯國際華潤微華虹宏力光刻配套設施設計與整機集成上微電芯碩半導體影速半導體光刻膠光刻氣體光刻核心組件光源系統福晶科技科益虹源雙工作臺華卓精科浸沒系統啟爾機電曝光系統國科精密物鏡系統奧普光電國望光學光柵系統上光所華特氣體雅克科技光掩模版涂膠顯影缺陷檢測精測電子東方晶源南大光電容大感光華潤微菲利華芯源微IC前道制造后道封裝長電科技晶方科技21涂膠顯影:涂膠+烘烤+顯影n 涂膠顯影設備包括涂膠機、噴膠機、顯影機,是光刻工序中與光刻機配套使用的設備,是集成電路制造的核心設備。涂膠顯影設備可以應用于集成電路制造前道晶圓加工領域,以及后道
21、先進封裝領域,其中,應用于集成電路制造前道晶圓加工環節的前道涂膠顯影設備更多,市場份額占比更大。n 涂膠顯影設備主要由涂膠、顯影、烘烤三大系統組成,通過圓片傳遞機械手,使圓片在各系統之間傳輸和處理,完成圓片的光刻膠涂覆、固化、光刻、顯影、堅膜的工藝過程。n 早期或較低端集成電路工藝中,主要使用獨立機臺(Off-line),隨著集成電路工藝的提升,目前200mm及以上的生產線大多采用與光刻機聯機的設備(In-line),與光刻機配合工作。圖表:旋轉涂膠流程示意圖脫水烘烤旋轉涂膠軟烘曝光曝光后烘烤顯影堅膜烘烤顯影檢查載片臺膠嘴光刻膠收集通/斷回吸閥膠泵過濾器膠瓶圖表:光刻工藝流程招股書、集成電路產
22、業全書、方正證券研究所整理22涂膠顯影:設備結構晶圓預處理單元冷卻單元涂膠單元烘烤單元冷卻單元冷卻單元冷卻單元烘烤單元烘烤單元顯影單元涂膠機顯影機光刻機晶圓傳遞機械手全書、方正證券研究所整理涂膠機:實現光刻膠的均勻涂覆顯影機:對曝光后的圓片進行顯影及堅膜23涂膠顯影:25億美金市場空間,東京電子壟斷90%n 據統計,全球前道涂膠顯影設備銷售額由2013年的14.07億美元增長至2018年的23.26億美元,年復合增長率達10.58%,預計2023年市場規模約24.76億美元。n 全球涂膠顯影設備被日本東京電子高度壟斷,其全球市占率近90%;其余主要廠商還有日本SCREEN、中國臺灣億力鑫、德國
23、蘇斯微、韓國CND等。中國本土涂膠顯影設備生產企業主要為芯源微,在國內市場中的份額占比為4%左右。據統計,中國大區(含中國臺灣地區)2018年前道涂膠顯影設備規模8.96億美元,預計2023年將達到10.26億美元。圖表:全球前道涂膠顯影設備市場規模預測14.07 23.26 24.76 051015202530201320182023E(億美元)東京電子, 90%SCREEN, 6%其他, 4%圖表:全球前道涂膠顯影設備市場競爭格局息網、新思界產業研究中心、方正證券研究所整理24目錄25黃光區:光刻機+涂膠顯影刻蝕區:刻蝕機真空區:PVD+CVD+ALD擴散區:離子注入+熱處理輔助區:清洗+
24、檢測+CMP拋光半導體前道設備詳解1刻蝕:將掩膜版上的電路圖印射到晶圓上26,方正證券研究所整理6. 刻蝕用刻蝕材料(如氣體)將顯影階段印射出來的圖形刻蝕成3D結構。4. 光刻光通過光掩膜版射到晶圓上。當涂膠層暴露在光照下,會產生化學反應將光掩膜版上的圖案印到晶圓上。晶圓制造工藝循環圖刻蝕工藝:90%以上為干法刻蝕n 刻蝕是利用化學或者物理的方法將晶圓表面附著的不必要的材質進行去除的過程??涛g工藝可分為干法刻蝕和濕法刻蝕。目前應用主要以干法刻蝕為主,市場占比90%以上。濕法刻蝕在小尺寸及復雜結構應用中具有局限性,目前主要用于干法刻蝕后殘留物的清洗。n 濕法刻蝕可分為化學刻蝕和電解刻蝕。n 根據
25、作用原理,干法刻蝕可分為物理刻蝕(離子銑刻蝕)和化學刻蝕(等離子刻蝕)。n 根據被刻蝕的材料類型,干法刻蝕則可分為金屬刻蝕、介質刻蝕與硅刻蝕??涛g物理刻蝕濕法刻蝕干法刻蝕化學刻蝕化學刻蝕電解刻蝕金屬刻蝕介質刻蝕硅刻蝕圖表:刻蝕分類圖表:濕法刻蝕與干法刻蝕市場占比干法刻蝕, 90%濕法刻蝕, 10%27刻蝕工藝對比:濕法刻蝕 VS 物理刻蝕 VS 化學刻蝕、阿爾法經濟研究、方正證券研究所整理n 目前應用中,濕法刻蝕和物理刻蝕主要用于清洗。純化學刻蝕用于光刻膠等介質材料的去除。n 器件主要部分的刻蝕主要采用物理化學混合的反應離子刻蝕,其中又以等離子體干法刻蝕為主導。圖表:工藝指標對比工藝濕法刻蝕干
26、法刻蝕實現方式化學試劑腐蝕物理方法(物理離子濺射)化學方法(活性元素化學反應)物理化學混合主要設備硅片刻蝕機物理離子濺射刻蝕去膠機反應離子刻蝕應用氧化硅去除、濕法化學剝離表面清洗光刻膠去除、氧化硅去除、掩模氧化層去除等孔、槽等各種形狀的硅、氧化物及金屬材料等刻蝕刻蝕速率慢快慢適中刻蝕剖面各向同性各向異性各向同性各向異性線寬控制能力很差好很差很好選擇比較高低且很難提高(1:1)很高(500:1)高(5:1100:1)均勻性差較好的片內、片間和批次間刻蝕的一致性其他對器件損傷較??;設備成本較低。最小的光刻膠脫落或粘附問題;較低的材料消耗和廢氣處理問題;但會有等離子體誘導損傷。28干法刻蝕:介質刻蝕
27、 VS 硅刻蝕 VS 金屬刻蝕網、前瞻產業研究院,slidesplayer,方正證券研究所整理n 按照被刻蝕材料,干法刻蝕可以分為介質刻蝕、硅刻蝕和金屬刻蝕。n 介質刻蝕、硅刻蝕廣泛應用于邏輯、存儲器等芯片制造中,合計占九成以上市場規模。n 金屬刻蝕主要是互連線及多層金屬布線的刻蝕,但隨著180nm節點開始,銅互連技術逐步取代鋁互連,金屬刻蝕應用規??焖傧陆?,目前僅占比3%左右。圖表:介質刻蝕、硅刻蝕、金屬刻蝕對比圖表:不同刻蝕材料市場規模占比介質刻蝕48%硅刻蝕47%金屬刻蝕3%其他2%質量指標材質工藝目的刻蝕系統刻蝕速率選擇比介質刻蝕氧化硅制作接觸孔和通孔反應離子刻蝕RIE系統亞微米以下采
28、用ICP-RIE系統相對較慢高氮化硅-反應離子刻蝕RIE系統較快(120nm/min)高(20:1)硅刻蝕多晶硅形成IC中的MOS柵極,屬于關鍵尺寸的刻蝕反應離子刻蝕RIE系統較快高(150:1)單晶硅形成IC的STI槽和垂直電容槽高密度等離子體刻蝕ICP-RIE系統較快低金屬刻蝕鋁-反應離子刻蝕RIE系統快(1000nm/min)高鎢填充通孔的鎢塞沉積層的反刻(陸續被先進的CMP工藝取代)反應離子刻蝕RIE系統快(1000nm/min)高29刻蝕應用:邏輯器件中的刻蝕集成電路制造工藝、方正證券研究所整理n淺槽隔離刻蝕(STI Etch)n柵極刻蝕(Gate Etch)n柵側墻刻蝕(Space
29、r Etch)n硅凹槽刻蝕(SiGe Etch)n應力記憶刻蝕(SMT Etch)n應力鄰近技術刻蝕(SPT Etch)n雙應力層刻蝕(DSL)基板工藝n鎢接觸孔刻蝕(Contact Etch)n銅通孔刻蝕(Via Etch)n介質溝槽刻蝕(Metal Etch)n鋁墊刻蝕(AI-pad Etch)n鈍化刻蝕(Passivation Etch)布線工藝30刻蝕應用:存儲器中的刻蝕集團官網、半導體制造技術導論、方正證券研究所整理圖表:不同存儲器的WL和接觸孔密度n字線階梯式刻蝕:多道字線光刻步驟通過重復的垂直步驟刻蝕和2D剪裁,以提供3D NAND器件中使用的字線階梯的“上下”形狀。n高深寬比通
30、道刻蝕:使用超高HAR刻蝕(深寬比大于40)來形成穿過90多NAND層的存儲器通道所需的孔洞。圖表: 3D NAND存儲器陣列和關鍵工藝挑戰ILDILDILD接觸選擇性柵極WLSiNAND存儲器WL接觸DRAM柵極接觸SRAM位線:金屬填充(銅)觸點:金屬填充(鎢)梯級:階梯式刻蝕字線:金屬填充(鎢)溝道:高深寬比刻蝕狹縫:高深寬比刻蝕堆疊:更迭薄膜刻蝕多層觸點:高深寬比刻蝕31刻蝕設備:結構解析所、方正證券研究所整理反應室:線圈在高頻電激發下起輝,生成活性離子基高頻電源:給線圈放高壓電匹配器:使功率穩定在固定位置真空系統:把反應生成物抽到尾氣排放管道送氣系統:CF4和O2的混合3233圖表:
31、邏輯器件制程刻蝕工藝的步驟數40556511514016028nm20nm14nm10nm7nm5nm四倍增長刻蝕刻蝕20%刻蝕刻蝕50%2D NAND3D NAND其他其他光刻光刻檢測檢測清洗清洗沉積沉積刻蝕刻蝕圖表:2D NAND到3D NAND刻蝕設備投資占比n 先進制程以多重模板工藝為依托從而實現更小微觀尺寸,凸顯刻蝕設備重要性。由于波長限制,14納米及以下邏輯器件微觀結構的加工無法通過光刻機來實現,必須依靠多重模板技術,進一步提升刻蝕技術及相關設備的重要性和需求量。n NAND閃存進入3D、4D時代,采用縮小單層上線寬和增加堆疊層數的方法來增加集成度,要求刻蝕技術實現更高的深寬比???/p>
32、蝕技術需要在氧化硅和氮化硅一對的疊層結構上,加工40:1到60:1的極深孔或極深的溝槽。目前,3D96層與128層閃存均已進入量產階段。從2D NAND過渡到3D NAND,刻蝕設備的投資占比顯著提升,從20%提高至50%??涛g設備:微縮化+3D化,推動刻蝕用量增加招股書、中微公司報告、方正證券研究所整理刻蝕設備:155億美元市場空間報告、華經情報網、方正證券研究所整理n 2009年全球刻蝕設備市場規模為24億美元,2019年全球刻蝕市場規模達到115億美元,復合年增長率近19%。受終端應用市場蓬勃發展、及半導體制造技術升級驅動,根據SEMI預測,預計到2025年,全球刻蝕設備市場規模將增長至
33、155億美元,CAGR約為5%。n 隨著工藝制程升級,刻蝕機用量也將持續攀升。14nm制程所需刻蝕步驟為65次,較28nm提升60%;7nm制程所需刻蝕步驟高達140次,較14nm提升118%。圖表:2009-2025年全球刻蝕設備市場規模及預測115155-47%154%11%-15%-14%20%-2%10%42%13%11%-100%-50%0%50%100%150%200%020406080100120140160180200920102011201220132014201520162017201820192025E全球刻蝕設備市場規模(億美元)增長率CAGR=5%(億美元)34刻蝕設
34、備競爭格局:日美廠商頭部集中、中國廠商崛起、國際電子商情、方正證券研究所整理n 全球市場行業集中度高,技術壁壘顯著。全球刻蝕機市場長期一直被泛林半導體、東京電子、應用材料三大巨頭占據,2019年合計市場占比約90%,行業集中度高。2019年,細分介質刻蝕機市場中,東京電子處于領先地位,市占率達到52%,國內中微公司市占率也已達到3%。n 國內刻蝕機市場,國產廠商表現亮眼。泛林半導體依舊在國內刻蝕機市場中保持領先地位,2019年市占率52%;而國產廠商中,中微公司已占據20%市場份額,排名第二,北方華創則占據6%市場份額;中微領軍國內介質刻蝕,北方華創則領軍國內硅刻蝕。泛林半導體53%東京電子1
35、9%應用材料18%其他10%圖表:2019年全球刻蝕機市場競爭格局圖表:2019年中國刻蝕機市場競爭格局泛林半導體52%中微公司20%東京電子9%應用材料5%北方華創6%其他8%35國內廠商對比:中微領軍介質刻,北方華創領軍硅刻報告、方正證券研究所整理36ICPCCP設備應用領域NMC508M8吋:金屬鋁和鎢刻蝕NMC612M12吋:TiN金屬硬掩膜雙大馬士革工藝NMC612GIC領域AL刻蝕及微顯示領域金屬刻蝕NMC508C8吋:多晶硅柵、STI和硅金屬鎢化物刻蝕NMC612C12吋:55nm Logic,65nm NOR flash, 55nm CIS, 90MCU等領域硅刻NMC612D
36、12吋:先進邏輯制程中STI、Gate及FinFET結構刻蝕等設備應用領域!#$%&()*+,%-!.#系列-/0123456.7*89#.1:;?:ABCDEF!.=+$%&(5# +$%&5J)7C+7C8!7KL!8MNOHI380G+/G380C刻蝕機/380E PSS刻蝕機PQRSHSE系列8-12吋先進封裝+8吋及以下MEMS領域深硅刻蝕BMD P230 等離子去膠機8-12吋先進封裝領域表面去膠及表面活化等Descum工藝集成電路功率其他北方華創中微公司目錄37黃光區:光刻機+涂膠顯影刻蝕區:刻蝕機真空區:PVD+CVD+ALD擴散區:離子注入+熱處理輔助區:清洗+檢測+CMP拋
37、光半導體前道設備詳解1晶圓制造工藝循環圖沉積:在晶圓上沉積金屬/介質薄膜38,方正證券研究所整理1. 晶圓切片沙子被提純成高純度純硅;熔化冷卻后硅錠;然后將其切片、清洗、拋光成晶圓。2. 沉積在晶圓上沉積(半)導體或隔離材料的薄膜。u 在硅片襯底上沉積薄膜有多種技術,按工藝主要分為化學工藝和物理工藝。u 化學工藝:包括化學氣相沉積(CVD)和電化學沉積(ECD);其中CVD占據大部分薄膜沉積市場。u 物理工藝:主要為物理氣相沉積(PVD),其中濺射工藝制備的薄膜更加均勻、致密,對襯底附著性強,純度更高,逐漸發展為主流,需求占比近19%。圖表:薄膜沉積技術分類沉積設備:PECVD占比33%,PV
38、D占比19%PECVD設備33%濺射PVD19%電鍍ECD4%ALD設備11%管式CVD12%非管式LPCVD11%其他設備(包括SACVD設備)6%MOCVD4%PVD物理化學CVD薄膜沉積技術濺射鍍膜IMP真空蒸鍍LPCVDSACVDALDFCVD HDPCVDPECVDMOCVDAPCVD次常壓低壓高密度等離子體原子層流體常壓金屬有機物圖表:2020年全球各類薄膜沉積設備市場結構招股書、Gartner、方正證券研究所整理39物理氣相沉積(PVD)化學氣相沉積(CVD)低壓化學氣相沉積(LPCVD爐管)原子層沉積(ALD)沉積原理濺射-凝固氣相反應-沉積低壓化學氣相沉積(爐管式)表面反應-
39、沉積臺階覆蓋力一般好好優秀沉積速率快快較慢慢沉積溫度低高更高低沉積層均勻性一般較好更好優秀厚度控制沉積時間沉積時間,氣相分壓沉積時間,氣體比反應循環數成分無雜質易含雜質無雜質均勻雜質少u 薄膜沉積工藝中由于CVD技術路線較多,具有較好的孔隙填充和膜厚控制能力,CVD在金屬沉積方面的應用正在增加。沉積設備:CVD應用逐步增加,圖解芯片技術,AEMD,方正證券研究所整理硅圓片排氣加熱器反應氣體圖表:主要類別薄膜沉積設備對比4041研究、方正證券研究所整理沉積設備:CVD技術發展與應用歷程1m130nm90nm45nm14nm技術節點LPCVDHDPCVDALDMOCVD微米時代亞微米時代等離子時代
40、APCVD原子層時代技術類型SACVDPECVD薄膜沉積設備:CVD設備結構vapour deposition、方正證券研究所整理控制系統熱電耦質量流量控制器液體前驅體起泡器閥樣本反應室真空檢測儀節流閥冷藏室泵等離子源氣體噴嘴進氣口硅片基材a. 典型CVD系統示意圖b. 液體前驅體起泡器c. 石英基底支架,可裝載晶圓d. 氣體噴嘴及氣體入口e. 工作狀態模擬f. 布爾登管壓力表g. 電容式壓力計42沉積設備:CVD/PVD在邏輯器件中的應用l鈍化層:PECVD SiN,SiONl鈍化層:PECVD SiO2,TEOSl鈍化層:LPCVD Si3N4l金屬層間介質:PECVD TEOS,FSG,
41、Lok I,Lok IIl擴散阻擋層:PECVD SiN,ADC Il硬掩模:PECVD ACHMl抗反射涂層:PECVD SiON,SiOCl抗反射涂層:LPCVD SiONl自對準雙重成像:ALD SiO2l層間介質層:PECVD HDP,TEOSl層間介質層:APCVD SiO2,PSG,BPSG,FSGl層間介質/淺溝槽隔離:LPCVD SiO2l層間介質/淺溝槽隔離:SACVDl多晶硅柵:LPCVDl鋁墊:PVD AI padl鎢栓:W CVDl金屬硬掩模:PVD TiN招股書、方正證券研究所整理4344u 邏輯芯片:摩爾定律下需要采用多重曝光工藝,重復多次薄膜沉積和刻蝕工序以實現更
42、小線寬,由此帶動薄膜沉積設備需求成倍增加。對比中芯國際180nm和90nm產線設備用量,PVD和CVD需求均增長近4-5倍。u 存儲芯片:NAND 制造工藝從2D向3D轉化,堆疊層數也從32/64層向128/196層發展,產品結構和層數的復雜化同樣催生更多薄膜沉積設備需求。圖表:不同制程邏輯芯片產線薄膜沉積設備需求量沉積設備:線寬微縮+結構3D化,催生成倍需求招股書、中微公司報告、方正證券研究所整理9.94.84224中芯國際180nm 8吋產線中芯國際90nm 12吋產線CVD4倍增長PVD5倍增長所需設備數量(臺/萬片月產能)18%26%0%10%20%30%40%50%60%70%80%
43、90%100%2D NAND3D NAND刻蝕設備薄膜沉積設備清洗設備涂膠/顯影光刻設備其他圖:2D NAND 和3D NAND結構下制造設備支出占比12514515517219022026028034005010015020025030035020172018201920202021202220232024202545u 預計全球半導體薄膜沉積設備市場規模在2025年將從2020年的172億美元擴大至340億美元,復合年增速近18.6%。Maximize Market Research、方正證券研究所整理#=18.6%圖表:全球半導體薄膜沉積設備市場規模(億美元)沉積設備:340億美元市場空
44、間46AMAT, 28%Lam, 25%TEL, 17%ASMI, 11%Kokusai, 8%其他, 11%泛林半導體在CVD設備及沉積后處理工藝布局全面,電鍍(ECD)設備一家獨大。應用材料產品譜系最為全面,PVD設備獨占85%的市場份額,在PECVD設備市場中也有近49%的份額。東京電子在管式CVD設備市場占有率高達46%,APCVD、LPCVD 及ALD 均處在行業前列。先晶半導體將原子層沉積(ALD)和等離子體增強原子層沉積(PEALD)引入先進制造商的主流生產。,在ALD設備市場擁有46%的市占率。國際電氣(已被AMAT收購),在LPCVD設備市場擁有高達27%的市占率。85%15
45、%AMAT PVD市場份額專輯,集微咨詢,觀研天下,方正證券研究所整理沉積設備:五大巨頭占據近90%市場份額全球CVD設備市場格局(2020年)全球薄膜沉積設備市場:全球市場規模競爭局面高度壟斷40%29%31%全球ALD設備市場占比東京電子先晶半導體其他30%21%19%30%全球CVD設備市場占比應用材料泛林半導體東京電子其他85%15%全球PVD設備市場占比應用材料其他n PVD:應用材料(AMAT)基本壟斷了PVD市場,占85%的比重,處于絕對龍頭地位;n CVD:應用材料(AMAT)全球占比約為30%,連同泛林半導體(Lam)的21%和TEL的19%,三大廠商占據了全球70%的市場份
46、額。n ALD:ALD設備龍頭東京電子(TEL)和先晶半導體(ASMI)分別占據了31%和29%的市場份額,剩下40%的份額由其他廠商占據。圖:2019年全球薄膜沉積設備廠商市場份額招股書,Gartner、方正證券研究所整理47沉積設備:國產設備廠商奮起直追u 北方華創:布局PVD、APCVD、APCVD以及用于功率等的PECVD、ALD,其中PVD設備獨領風騷;u 沈陽拓荊:布局PECVD、SACVD以及ALD,產品已廣泛應用于國內14nm以上晶圓制造產線。u 中微公司:2022年新的針對Mini LED市場的MOCVD將實現0-1放量,W LPCVD研發也取得突出進展;u 盛美上海:前道大
47、馬士革ECD設備已實現批量訂單;SiN LPCVD客戶端進行量產認證,未來有望放量賦能。48MOCVDLPCVDPrismo D-BlUE 藍光LEDPrismo A7 GaN LEDPrismo HiT3 深紫外 LEDPrismo UniMax Mini LEDW/WN/TiNALDLPCVDECDUltra ECP map 55nm以下大馬士革銅Ultra FnSiN 爐管PECVDALDSACVDPF-300T12吋PF-200T8吋SA-300T12吋SA-200T8吋PE-ALDThermal ALD爐管PVDeVictor GX20 金屬/化合物8吋Polaris G620 金屬
48、/化合物12吋exiTexiTin H63055-28nm TiNeVictor AX30 Al padCVDLPCVDPECVDSES630A 6/8吋硅外延EPEE550 LED/功率/MEMSEPEE i800 LEDAPCVDTHEORIS 302 / FLOURIS 201 爐管 SiN/多晶硅HORIS L6371 SixNy/SiO2/Poly-SiALDPE-ALDThermal ALD圖表:國產廠商沉積設備布局目錄49黃光區:光刻機+涂膠顯影刻蝕區:刻蝕機真空區:PVD+CVD+ALD擴散區:離子注入+熱處理輔助區:清洗+檢測+CMP拋光半導體前道設備詳解1離子注入:注入離子
49、調整晶圓的半導體特性50,方正證券研究所整理6. 刻蝕用刻蝕材料(如氣體)將顯影階段印射出來的圖形刻蝕成3D結構。晶圓制造工藝循環圖7. 離子注入注入離子,調整晶圓的半導體特性。離子注入:較小制程下的摻雜方法造技術,方正證券研究所整理n 摻雜是把雜質引入半導體材料的晶體結構中,以改變它的電學性能。硼、磷、砷、銻是半導體制造中最常見的四種雜質。n 摻雜的原因有很多,例如硼和磷雜質擴形成硅器件的多數載流子,形成硅片的導電層,也可以改變材料的性能,摻雜還可以提高多晶硅柵電極的電導率。n 在晶片制造中,有兩種方法可以引入雜質元素,即熱擴散和離子注入,隨著特征尺寸的不斷減小,現代晶片制造幾乎所有摻雜工藝
50、都是用離子注入實現的。氧化硅氧化硅p+硅襯底摻雜氣體結深圖:p+硅襯底上摻雜區示意圖擴散區51離子注入機:結構解析 離子注入設備一般包含以下部分:n 離子源n 吸出組件n 離子分析器n 加速管n 掃描系統離子源注入離子在離子源中產生。圖表:離子注入機結構示意圖吸出組件吸引裝置負壓吸引正離子形成離子束。加速管加速離子束,提高離子束能力。掃描系統通過掃描使離子束覆蓋整個硅片。質量分析器磁鐵將需要的雜質離子從混合離子束中分離出來。造技術,Axcelis官網,方正證券研究所整理52類別能量范圍/keV低能離子注入機3001000兆伏離子注入機1000類別束流范圍小束流離子注入機100nA100A中束流