1、 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。1 證券研究報告 英特爾英特爾(INTEL)(INTC US)華麗轉身:制程的追趕,份額的收復華麗轉身:制程的追趕,份額的收復 華泰研究華泰研究 首次覆蓋首次覆蓋 投資評級投資評級(首評首評):):買入買入 目標價目標價(美元美元):):65.00 2024 年 2 月 02 日美國 電子電子 制程制程反超反超下下份額份額重升重升指日可待指日可待,首發給予買入評級,目標價,首發給予買入評級,目標價 65 美元美元 我們認為英特爾在曾任 CTO 的 Pat Gelsinger 于 21 年重新執掌帥印后,公司已具備制程反超競爭對手的條
2、件。我們預計英特爾 24 年開放晶圓代工、服務器 CPU 迭代、PC 市場復蘇及 AI PC 崛起,將重回高速增長軌道。芯片行業龍頭公司英偉達和 AMD 25 年的 PS 和 PB 均值分別為 12.33x(區間為 8.48-16.17x)和 11.73x(區間為 4.64-18.81x),晶圓代工企業臺積電和格芯的 PS 和 PB 均值分別為 4.3x(區間為 5.09-3.5x)和 2.72x(區間為2.20-3.23x)。我們主要基于 PS 估值,考慮公司制程追趕和份額重升可期,估值應迎來提升,并往競爭對手的估值靠攏,給予公司 25 年 4.2x PS(對應 2.1 xPB),對應目標價
3、 65 美元,首次覆蓋給予“買入”評級。IFS 業務:業務:IDM 2.0 為制程反超主戰場,“四年五節點”的落實為致勝關鍵為制程反超主戰場,“四年五節點”的落實為致勝關鍵 英特爾自 2016 年開始在制程上逐漸落后臺積電至今已更換了三位 CEO。Pat Gelsinger 重返公司后即提出“四年五節點”的 IDM 2.0 制程追趕戰略,并將 IFS 從成本中心轉型為盈利中心,對外提供晶圓代工和封裝業務。五節點中,Intel 7、Intel 4 和 Intel 3 在 23 年已如約而至,而將在 24H1 推出的20A 搭載兩項創新技術,PowerVia 背向供電和 RibbonFET(GAA
4、),可堆疊更多晶體管,若能成功將反超臺積電,最終節點 18A 已成功流片并預計于年底前量產。先進封裝 2.5D EMIB 和 3D Foveros,對標臺積電的 2.5D CoWoS 和 3D SoIC,將跟代工業務產生協同效應。2023 年獲取四家 18A客戶為公司 IFS 業務打下強心劑。我們認為制程追趕總體進展樂觀,英特爾有望在 25 年前重回先進制程領導地位,反超臺積電。DCAI 業務:制程差距業務:制程差距逐步逐步收窄,收窄,P 核核+E 核雙線布局兼顧性能和能耗優化核雙線布局兼顧性能和能耗優化 我們預計 24/25/26 年營收為 166/193/223 億美元,同比為 7%/16
5、%/16%。面對 AMD 和 ARM 主打低功耗和高每瓦性能的產品沖擊,英特爾推出 P 核+E 核兼顧性能與能耗的服務器 CPU。公司 24H1 將推出基于 Intel 3 的低功耗 Sierra Forest,我們認為跟 AMD 5nm 的第四代 EYPC 處理器,制程上看齊甚至反超。我們也認為 Gaudi 系列 ASIC 芯片作為加速器與 CPU 協同工作,可用作 AI 推理和訓練,將為公司在 AI 服務器市場拓展新版圖。CCG 業務:業務:PC 復蘇已至,復蘇已至,AI PC 端側應用錦上添花端側應用錦上添花 我們預計 24/25/26 年營收為 322/341/358 億美元,同比為
6、10%/6%/5%。公司于 23Q3 業績會表示庫存已出清,加上 AI 需求逐漸轉向端側。英特爾AI PC 產品管線和軟硬件合作生態完善,于 23 年 12 月推出基于 Intel 4 的首款 AI PC Meteor Lake,并計劃在 24 年推出 Arrow Lake 和 Lunar Lake、25 年推出 Panther Lake,我們認為憑借其內置高算力 AI 加速器(NPU),公司有望在 AI PC 時代保持領先優勢。風險提示:AI 技術推進不及預期,行業競爭激烈,政策落地不及預期等。研究員 何翩翩何翩翩 SAC No.S0570523020002 SFC No.ASI353 +(
7、852)3658 6000 基本數據基本數據 目標價(美元)65.00 收盤價(美元 截至 1 月 31 日)43.08 市值(美元百萬)182,142 6 個月平均日成交額(美元百萬)1,599 52 周價格范圍(美元)24.46-51.28 BVPS(美元)24.97 股價走勢圖股價走勢圖 資料來源:S&P 經營預測指標與估值經營預測指標與估值 會計年度會計年度 2022 2023 2024E 2025E 2026E 營業收入(美元百萬)63,054 54,228 58,616 65,749 73,721+/-%(20.21)(14.00)8.09 12.17 12.13 歸屬母公司凈利潤
8、(美元百萬)8,014 1,689 3,756 6,526 10,065+/-%(59.66)(78.92)122.41 73.73 54.23 EPS(美元,最新攤薄)1.95 0.40 0.89 1.55 2.37 ROE(%)8.07 1.58 3.32 5.31 7.40 PE(倍)19.46 92.32 73.16 42.11 27.31 PB(倍)1.51 1.42 2.36 2.13 1.92 EV EBITDA(倍)31.54 25.48 15.56 11.92 9.23 資料來源:公司公告、華泰研究預測 (15)8305375Feb-23Jun-23Oct-23Jan-24(
9、%)英特爾(INTEL)標普500 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。2 英特爾英特爾(INTEL)(INTC US)正文目錄正文目錄 英特爾的華麗轉身:制程的追趕,份額的收復英特爾的華麗轉身:制程的追趕,份額的收復.8 IFS 業務:代工業務增長迅速,業務:代工業務增長迅速,IDM2.0 戰略未來可期戰略未來可期.11 英特爾四年五個制程節點進展順利,2025 年或將超越臺積電.12 技術 CEO 回歸并重整旗鼓,有望帶領英特爾回歸創新本源.13 技術老將掌帥印后主導一系列技術導向的改革,帶領英特爾重回正軌.14 迭代目標較激進,英特爾 10nm 遲到三年.15 I
10、ntel 4 開創 EUV 時代,作為半代工藝為 Intel 3 鋪平道路.16 模塊化(chiplet)可擴展設計和擁抱第三方代工廠,IDM 2.0 又一利器.19 英特爾 Intel 18A 客戶漸增,代工服務或將開啟新盈利來源.20 先進封裝技術積累為代工業務錦上添花和產生協同.21 EMIB 主打低成本異構集成,Foveros 突出高性能 3D 堆疊.22 四大關鍵因素助力英特爾在 2030 年實現集成一萬億個晶體管目標.23 磨杵成針,成熟制程合作終成定果.24 美國制造大趨勢下英特爾適逢其會,借補貼加速擴產欲在 2030 年成為世界第二代工廠.24 競爭格局:臺積電市占率遙遙領先,
11、英特爾將依靠先進制程奮起直追.27 半導體巨頭紛紛參與 2nm 工藝競賽,競爭白熱化.28 臺積電:晶圓代工模式的開創者,慎終于始的制程開發者.29 三星:依托自家芯片設計部門,追趕臺積電先進制程承接溢出需求.32 格芯:放棄先進制程針對成熟制程,差異化競爭漸入佳境.33 DCAI 業務:產品布局完善,制程差距有望收窄業務:產品布局完善,制程差距有望收窄.35 服務器 CPU:制程加速追趕,E-Core 策略下有望從 AMD 收復失地.35 AMD 聯手臺積電突破制程彎道超車,提升在服務器 CPU 份額.36 英特爾在制程上的反擊:“四年五節點”先進制程趕超計劃.38 力求在 24 上半年開始
12、提升在服務器 CPU 的市占率,或全靠 E 核 Sierra Forest.39 ARM 對數據中心蠢蠢欲動,能耗優勢凸顯,或將與 x86 分而治之.45 x86 和 ARM 架構在服務器端各有優勢,或將分而治之.46 分拆 FPGA 業務,向晶圓制造優先戰略轉型.55 CCG 業務:業務:PC 復蘇態勢向好,復蘇態勢向好,AI PC 或引領新變革或引領新變革.56 全球 PC 出貨量或已觸底,多因素共振促成長,AI PC 錦上添花.56 PC 市場觸底反彈,Windows 系統換代及 AI PC 崛起拉動換機潮.56 安全隱私疊加模型輕量化趨勢,AI 民主化需求從云轉向低功耗端側.57 AI
13、 PC 相比手機兼具散熱及應用場景優勢,或先成為 AI 端側主力,并引領 PC 新增長.57 英特爾重點下注 AI PC,從現在到 2025 年產品規劃完善.62 基于 Intel 4 的 Meteor Lake 標志著英特爾 AI PC 戰略打響了第一槍.63 基于 Intel 20A 的 AI PC Arrow Lake 于 24 下半年推出,將采用 RibbonFET 和背部供電.66 AMD 同樣積極布局 AI PC 芯片及應用生態,跟英特爾又再狹路相逢?.68 AI PC 競爭格局:ARM 架構來勢洶洶,x86 能否招架?.70 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起
14、閱讀。3 英特爾英特爾(INTEL)(INTC US)英偉達:或可發揮 AI 技術優勢弄潮 AI PC 時代.70 高通:深耕移動端功耗優勢突出,推出驍龍 X Elite 進軍 AI PC 芯片.71 蘋果:進一步提升 AI 性能并降低功耗,NPU 算力為 18 TOPS.72 回顧英特爾因制程落后,在 PC CPU 也流失份額,如今萬事俱備東山再起.74 Mobileye 業務:業務:ADAS 技術奠基者,技術轉型或面臨挑戰技術奠基者,技術轉型或面臨挑戰.76 英特爾分拆 Mobileye 業務二度上市,24 年或處庫存消化期.76 ADAS 市場蓬勃發展,各芯片大廠及車企入局自駕芯片.77
15、 競爭格局:芯片算力落后加上各方入局,Mobileye 積極尋找轉型道路.77“黑盒子”模式或已不合時宜,整車創新協同能力落后.77 L3 以下市場受到沖擊,芯片算力較為落后.78 英特爾盈利預測與英特爾盈利預測與估值估值.80 風險提示.86 圖表目錄圖表目錄 圖表 1:英特爾 2021Q4-2023Q4 分業務營收(單位:百萬美元).10 圖表 2:英特爾 2021Q4-2023Q4 分業務營收占比.10 圖表 3:英特爾 2021Q4-2023Q4 分業務營收同比增速.10 圖表 4:英特爾 2021Q4-2023Q4 分業務營業利潤率.10 圖表 5:英特爾股權結構(數據截至 2024
16、 年 1 月 31 日).10 圖表 6:英特爾代工業務營收(單位:百萬美元).12 圖表 7:英特爾資本支出和營收占比(單位:百萬美元).12 圖表 8:英特爾四年五節點計劃.12 圖表 9:英特爾各節點預計推出時間.12 圖表 10:英特爾各制程節點技術對比.12 圖表 11:英特爾近三任 CEO 背景對比.13 圖表 12:英特爾的“內部代工”模式.15 圖表 13:Intel 4 良品率對比.15 圖表 14:Intel 3 和 Intel 4 工藝對比.16 圖表 15:Intel 20A 和 Intel 18A 工藝對比.16 圖表 16:FinFET 漏電分析圖.17 圖表 17
17、:FinFET 和 GAA 對比圖.17 圖表 18:PowerVia 背面供電優勢介紹.17 圖表 19:Intel 18A 節點開發進度符合規劃.17 圖表 20:Intel 4 通過集成 PowerVia 性能提升.18 圖表 21:Intel 4 通過集成 PowerVia 性能提升.18 圖表 22:英特爾和臺積電制程發展進程(藍色色塊為英特爾制程領先,紅色色塊為臺積電制程領先).18 圖表 23:英特爾模塊化可擴展設計.19 圖表 24:Meteor Lake 的 GPU 面積更小、結構更優、時鐘頻率更高.20 圖表 25:Arc Alchemist GPU 實現 Xe HPG 微
18、架構的高度集成.20 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。4 英特爾英特爾(INTEL)(INTC US)圖表 26:英特爾和 TSMC 芯片制造合作.20 圖表 27:英特爾代工服務加速器 EDA 聯盟成員.21 圖表 28:英特爾代工服務加速器 IP 聯盟成員.21 圖表 29:2023-2028 全球先進封裝市場收入增速(單位:億美元).21 圖表 30:EMIB2.5D 封裝結構圖.21 圖表 31:Intel EMIB 和 Foveros 工藝芯片封裝結構圖.22 圖表 32:英特爾新進封裝工藝介紹.22 圖表 33:英特爾玻璃基板優勢介紹.23 圖表 34:
19、Intel 美國工廠投資計劃.24 圖表 35:亞利桑那州的 Fab 42 工廠.25 圖表 36:俄亥俄州工廠規劃圖.25 圖表 37:各國半導體補貼政策細則.26 圖表 38:制程的歷史演進.27 圖表 39:臺積電、英特爾、三星先進制程工廠建設計劃.28 圖表 40:2021Q4-2023Q2 半導體代工廠市場份額.29 圖表 41:各晶圓廠芯片制程路線圖.29 圖表 42:臺積電營業收入變化(單位:新臺幣十億元).29 圖表 43:臺積電 23Q4 分制程收入占比.29 圖表 44:臺積電分地區收入貢獻(單位:新臺幣十億元).30 圖表 45:臺積電下游分地區收入占比.30 圖表 46
20、:臺積電 23Q4 分下游收入占比.30 圖表 47:臺積電 23Q4 下游收入環比增速.30 圖表 48:臺積電 3nm 制程研發進展.31 圖表 49:臺積電技術路線規劃圖.32 圖表 50:三星先進制程芯片路線圖.32 圖表 51:臺積電、英特爾、三星各節點代工客戶.33 圖表 52:2021 年以來臺積電、三星、英特爾的晶圓廠擴建計劃.34 圖表 53:英特爾在 ISC 2023 公布的產品路線圖.35 圖表 54:AMD 在 2016 年發表的企業端 CPU 技術路線,明確指出臺積電 7nm 制程 CPU 將于 18/19 年推出.36 圖表 55:Skylake 架構與 AMD 的
21、 Zen 架構參數對比.36 圖表 56:2017 年英特爾與 AMD 數據中心 CPU 產品參數對比.36 圖表 57:英特爾與 AMD 服務器制程發展進度對比及相關產品舉例,2019 年英特爾服務器制程首度被 AMD 超越37 圖表 58:服務器 CPU 市場 AMD 與英特爾出貨量市場份額.38 圖表 59:四年五節點規劃圖:2024 年進入 Intel 18A 節點.39 圖表 60:P-Core 與 E-Core 的關注重點不同,前者關注性能,后者關注能耗效率.40 圖表 61:英特爾 Xeon 產品路線圖(更新于 2023 年 5 月).40 圖表 62:英特爾 Xeon CPU
22、產品矩陣.41 圖表 63:32 核英特爾 Sapphire Rapids 在性能與能耗上均明顯優于 32 核 AMD Genoa.42 圖表 64:AMX 架構由 TILE 和 TMUL(平鋪矩陣乘法)組成.42 圖表 65:Sierra Forest 將于 2024 上半年開始出貨.42 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。5 英特爾英特爾(INTEL)(INTC US)圖表 66:AMD 在 23 年 6 月 13 日發布 Bergamo 采用 Zen 4c 架構.42 圖表 67:英特爾與 AMD 最新服務器 CPU 產品參數對比.43 圖表 68:oneAPI
23、 架構示意圖.44 圖表 69:基于 SYCL 的 oneAPI 支持多種硬件和多種框架.44 圖表 70:ARM 服務器 CPU 生態圈(部分).44 圖表 71:ARM 架構的 Graviton 3 產品實物圖.45 圖表 72:ARM 架構的英偉達 Grace CPU 產品實物圖.45 圖表 73:芯片計算性能的分拆公式.45 圖表 74:X86 相比 ARM 在服務器端操作系統和軟件兼容對比.46 圖表 75:ARM 和 x86 架構或將分別負責較輕和較重的工作負載.46 圖表 76:2023 年英特爾和 ARM 架構服務器 CPU 產品參數對比.46 圖表 77:全球服務器端 ARM
24、 和 x86 架構 CPU 市場份額變化.48 圖表 78:2023 年全球數據中心芯片市場規模份額預測(按芯片種類).48 圖表 79:英特爾 HPC 和 AI 芯片將集成 GPU 和 Gaudi 加速器.48 圖表 80:主要人工智能芯片的參數對比.50 圖表 81:英特爾 Ponte Vecchio GPU.50 圖表 82:英特爾 Habana Gaudi 2.50 圖表 83:Habana Gaudi 2 產品架構圖.51 圖表 84:MME 與 TPC 異構可以使運算重疊,顯著加速工作.51 圖表 85:Gaudi 3 相對 Gaudi 2 性能提升顯著.51 圖表 86:英特爾
25、CEO Gelsinger 于 2023 年 12 月首次展示 Gaudi 3.51 圖表 87:FP8 精確量化可使 Gaudi 2 性能提升 1 倍以上.51 圖表 88:Habana Gaudi2 與 A100 的推理延遲基準測試(單位:秒).51 圖表 89:Gaudi 2 與英偉達 A100、H100 在 AI 推理端性能對比.52 圖表 90:Gaudi 2 與英偉達 A100、H100 在 AI 訓練端性能對比.52 圖表 91:英偉達 H100 比 Gaudi2 在 BERT 模型上快 2.4 倍.52 圖表 92:主流 AI 芯片對比.52 圖表 93:英特爾宣布組建公司 A
26、rticul8 AI.53 圖表 94:Articul8 AI 為企業提供全棧生成式定制化人工智能平臺.53 圖表 95:英特爾的量子研究涵蓋整個計算堆棧,從量子比特設備到整體硬件、軟件和應用.54 圖表 96:英特爾的量子比特設備.54 圖表 97:英特爾完全加工的 12 寸硅自旋量子比特晶圓.54 圖表 98:英特爾推出量子物理的軟件開發包 SDK.55 圖表 99:英特爾量子 SDK 應用于流體力學、天體物理、材料設計.55 圖表 100:全球 PC 出貨量、同比及環比變化(單位:百萬臺).56 圖表 101:A17 Pro 處理器性能提升.58 圖表 102:A17 Pro vs A1
27、6 Bionic 芯片參數對比.58 圖表 103:模型輕量化及參數變化.58 圖表 104:知識蒸餾(Knowledge Distillation)原理圖示.59 圖表 105:剪枝(Pruning)原理圖示.59 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。6 英特爾英特爾(INTEL)(INTC US)圖表 106:量化(Quantization)原理圖示.59 圖表 107:輕量化結構(Low-Rank Factorization)原理圖示.59 圖表 108:模型問答任務準確率對比,輕量化模型在微調后取得優異效果.60 圖表 109:AI PC 發展演進趨勢.60 圖
28、表 110:AI PC 出貨量在 20202030 年的 CAGR 將達到 50%以上.61 圖表 111:20232030 年 AI PC 創新擴散曲線.61 圖表 112:各 PC 廠商 AI PC 上市節奏.61 圖表 113:聯想發布人工智能雙胞胎 AI Twin.62 圖表 114:聯想混合 AI 生態.62 圖表 115:各 AI 巨頭亮相大會,與聯想攜手推進 AI 發展.62 圖表 116:英特爾 AI PC 產品規劃參數對比.63 圖表 117:Meteor Lake 單元結構.64 圖表 118:Meteor Lake 內部結構圖(ADM 即 Adamantine,四級緩存)
29、.64 圖表 119:Meteor Lake 技術特點.64 圖表 120:GPU+CPU+NPU 架構的 AI 運算能效.64 圖表 121:Xe LPG 架構較上一代能效比提升幅度.65 圖表 122:Meteor Lake NPU 架構.65 圖表 123:Meteor Lake 的 AI 加速引擎.65 圖表 124:Meteor Lake 的 AI 能力優秀.65 圖表 125:Meteor lake 提供專門針對游戲負載的能耗管理系統,能顯著降低能耗.65 圖表 126:Meteor Lake PC 本地運行 Llama 2 LLM.66 圖表 127:用 NPU(左側)和 GPU
30、(右側)實現背景模糊的效果對比.66 圖表 128:Meteor Lake 的工作負載會首先由低能耗 E 核承擔,其次是全功耗的 E 核,最后才到 P 核.66 圖表 129:Meteor Lake 大部分工作負載會由 SoC Tile 上的低能耗 E 核承擔.66 圖表 130:英特爾 PC CPU 路線圖.67 圖表 131:2024 年后英特爾 AI PC 處理器產品規劃.67 圖表 132:Arrow Lake 支持的 XeSS 超分技術示意圖.67 圖表 133:Arrow Lake 支持的 XeSS 超分技術效果圖.67 圖表 134:英特爾 AI PC 加速計劃.68 圖表 13
31、5:英特爾的 AI PC 軟件服務商合作網絡.68 圖表 136:AMD AI PC 產品規劃參數對比.68 圖表 137:20232025 年 AMD AI PC 產品規劃.69 圖表 138:AMD Ryzen AI 與微軟的合作關系.69 圖表 139:AMD 宣布推出 Ryzen 8040“Hawk Point”處理器.69 圖表 140:AMD Ryzen AI 的合作伙伴.69 圖表 141:AMD Ryzen AI 已集成于超過 100 個應用.69 圖表 142:AMD Ryzen AI 的自動取景功能.70 圖表 143:AMD Ryzen AI 軟件平臺.70 圖表 144
32、:X86 與 ARM 架構對比.70 圖表 145:英偉達 Tegra 2(左)和 Tegra 3(右)產品實物圖.71 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。7 英特爾英特爾(INTEL)(INTC US)圖表 146:微軟與英偉達合作,為 Windows 8 提供 ARM 架構,即 Windows On ARM.71 圖表 147:英偉達數據中心 ARM 處理器:Grace CPU.71 圖表 148:英偉達數據中心 ARM 處理器 Grace CPU 內部架構設計.71 圖表 149:高通驍龍 X Elite.72 圖表 150:高通驍龍 X Elite 設計架構圖
33、.72 圖表 151:驍龍 X Elite 在 GeekBench 基準測試中性能領先英特爾 Raptor Lake、AMD Ryzen 9 和蘋果 M2.72 圖表 152:高通聲稱驍龍 X Elite 可相對英特爾 Raptor Lake 和 AMD Ryzen 9 達到 10 倍性能優勢.72 圖表 153:蘋果 M3 芯片系列將提供更大每瓦性能優勢.73 圖表 154:蘋果 M3 芯片設計圖.73 圖表 155:蘋果 M3 芯片相比 M1 有 60%性能提升,相比 M2 有 15%性能提升.73 圖表 156:蘋果 M3 芯片是 M1 每瓦性能的 2 倍.73 圖表 157:各家最新
34、AI PC 處理器對比.73 圖表 158:2023 年 x86 和 ARM 架構 PC CPU 市場格局.74 圖表 159:2027 年 x86 和 ARM 架構 PC CPU 市場格局預測.74 圖表 160:AMD 與英特爾 PC 端制程發展進度對比及相關產品舉例,2018 年 AMD PC 端制程首度超越英特爾.75 圖表 161:英特爾與 AMD 在 PC 端 CPU 市場份額變化.75 圖表 162:Mobileye 股權結構圖(數據截至 2024 年 1 月 31 日).76 圖表 163:Mobileye 營收(百萬美元)及同比增速.76 圖表 164:全球自動駕駛汽車市場規
35、模(單位:十億美元).77 圖表 165:全球高級駕駛輔助系統(ADAS)市場規模(單位:十億美元).77 圖表 166:自動駕駛芯片各廠商賦能模式.77 圖表 167:EyeQ 解決方案客戶正轉向其他廠商.78 圖表 168:頭部自動駕駛芯片參數表.79 圖表 169:歷代 EyeQ 參數表.79 圖表 170:Mobileye 歷年芯片出貨量(單位:萬套).79 圖表 171:英特爾智能汽車結構示意圖.79 圖表 172:英特爾致力于打造整車節能.79 圖表 173:英特爾分板塊盈利預測(單位:百萬美元).82 圖表 174:可比公司估值對比(數據截至 2024 年 1 月 31 日).8
36、2 圖表 175:英特爾關鍵財務指標彭博一致預期 VS 華泰研究預測(單位:百萬美元).83 圖表 176:2000 年至今英特爾歷史股價和 Forward PS(數據截至 2024 年 1 月 31 日).83 圖表 177:晶圓廠可比公司歷史 PB(數據截至 2024 年 1 月 31 日).84 圖表 178:英特爾歷史 PB(數據截至 2024 年 1 月 31 日).84 圖表 179:芯片設計可比公司歷史 PS(數據截至 2024 年 1 月 31 日).85 圖表 180:芯片制造/設計可比公司歷史 PB/PS 均值.85 圖表 181:英特爾(INTEL)PE-Bands.85
37、 圖表 182:英特爾(INTEL)PB-Bands.85 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。8 英特爾英特爾(INTEL)(INTC US)英特爾的華麗轉身:制程的追趕,份額的收復英特爾的華麗轉身:制程的追趕,份額的收復 區別于市區別于市場的觀點:場的觀點:我們認為市場對英特爾的創新和技術研發能力存在認知差。市場普遍質疑,公司在制程上基本從 2016 年開始落后,為何目前突然就能“華麗轉身”?我們認為,首先,市場忽略了公司在過去幾年管理層的變化,并低估了現任 CEO 的能力;另外,市場對于英特爾在制程追趕上所采取的“與敵同行”策略也感到困惑。最后,我們認為英特爾的估
38、值提升,除了基于業務的增長外,更重要的是在制程方面有望重回王者地位。在 2023 年 11 月 7 日 Intel Innovation Taipei 2023 科技論壇上,英特爾英特爾 CEO Pat Gelsinger再次強調“四年五節點”計劃將準時完成。再次強調“四年五節點”計劃將準時完成。我們我們認為認為英特爾有望實現英特爾有望實現此此計劃計劃,原因有四:(1)現任管理層的能力:)現任管理層的能力:2016 年起,英特爾 CEO 在 Brian Krzanich 的領導下逐漸失去了對臺積電的制程優勢,芯片工藝在 14nm 停滯不前。到 2018 年時任 CFO Bob Swan 臨時上
39、任 CEO 后,更多關注成本和利潤表現而非工程卓越,導致公司在技術上繼續落后于競爭對手。老臣子 Pat Gelsinger 于 2021 年臨危受命成為 CEO。Gelsinger 于 1979 年首次加入英特爾,他也是公司“開國功臣”之一安迪葛洛夫(Andy Grove)的得意門生,經歷過公司的黃金時代,于 2001-2005 年出任公司的 CTO,負責多項重要產品,包括第四代處理器80486。Gelsinger于2009年離開英特爾后,曾于EMC(當年的服務器巨頭)和VMWare(云計算巨頭之一)擔任 CXO 位置,因此熟知芯片設計與制造、以及云和數據中心的運作。上任英特爾 CEO 后花了
40、兩年時間重整旗鼓,不但致力于拓展數據中心業務,并提出 IDM2.0策略,將代工業務開放,從成本中心變為盈利中心,同時集中火力研發 CPU 的制程,推進“四年五節點”計劃,外包非核心技術到臺積電,志在重回英特爾在制程的領導地位。(2)改良芯片設計)改良芯片設計:與 IDM 1.0 包辦芯片設計和制造的模式不同,英特爾在 IDM 2.0 模式中使用良品率較高和可集多家優勢于一身的 chiplet 技術,將一些非核心的 chiplet 交給臺積電代工,并通過自身的 Fovoros 和 EMIB 工藝將 chiplet 封裝一起,從而集中火力專注于自身最擅長的先進 CPU 制程工藝研發。(3)采用)采
41、用 EUV 技術:技術:英特爾的 10nm 工藝開始較早,當時 EUV 技術尚未成熟,導致英特爾“起了大早趕了晚集”,原定 2016 年量產的 10nm 工藝延后至 2019 年量產,使得公司在制程端的優勢被逐漸追平,甚至被超越。直至 2022 年公司在 Intel 4 制程中首次采用 EUV技術,不僅能降低工藝復雜性,公司也預計相比 Intel 7,Intel 4 將有 20%的每瓦性能提升,成為公司推進先進制程的又一里程碑。(4)通通過過 RibbonFET 和和 PowerVia 背部供電技術,堆疊更多的晶體管背部供電技術,堆疊更多的晶體管:RibbonFET 通過環繞柵極的設計提高了晶
42、體管的電流控制效率,并允許垂直堆疊,從而在更小的空間內實現更高性能,解決了 FinFET 技術面臨的電流泄露和物理極限問題;PowerVia 通過將電源線移至晶圓背面,并通過納米級硅通孔直接向晶體管層供電,降低了電阻并減輕了電源干擾,從而可實現更高的晶體密度和性能。我們認為,我們認為,在在 IDM2.0 的的戰略戰略與制程的與制程的穩步推進、穩步推進、Gaudi 系列系列 AI 芯片芯片的的推出、推出、AIPC 的逐的逐漸普及、漸普及、PC 市場市場的的復蘇復蘇、以及以及服務器和服務器和 PC CPU 產品的迭代,產品的迭代,英特爾英特爾均能均能展示出顯著的展示出顯著的增長潛力,有望通過制程的
43、追趕和份額的搶回,駛入快速發展車道。增長潛力,有望通過制程的追趕和份額的搶回,駛入快速發展車道。我們預計 2024-2026 年公司營收分別為 586/657/737 億美元,同比變動 8%/12%/12%。具體而言:免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。9 英特爾英特爾(INTEL)(INTC US)IFS(Intel Foundary Service,英特爾代工)業務:英特爾代工)業務:我們預計代工業務 2024/2025/2026年營業收入為 11/19/38 億美元,對應營收同比增長 20%/68%/100%。1)“四年五節點”下)“四年五節點”下的制程追趕:的制
44、程追趕:隨著 Intel 4(對標臺積電 7nm)的 Meteor Lake 在 2023 年 12 月正式上市,應用 Intel 3(對標臺積電 N5-N3)的 Sierra Forest 和 Granite Rapids 服務器 CPU 在 2024年將相繼推出。我們認為英特爾若能按照計劃,在 2024 年下半年順利量產 Intel 20(臺積電 N3-N2)和 Intel 18A(晶體管密度或超臺積電 N2),至 2025 年代工業務將具有顯著制程優勢,甚至反超臺積電。我們也認為,英特爾的代工業務或將因此不斷吸引客戶,18A代工將在 25 年開始貢獻較大收入。2)AI 應用的不斷擴展帶動
45、應用的不斷擴展帶動先進封裝需求,并跟代工先進封裝需求,并跟代工業務產生協同效應業務產生協同效應:公司能提供 2.5D EMIB 和 3D Fovoros 先進封裝技術,前者效果跟臺積電 CoWoS 相似但成本較低,目前客戶陸續上線,而后者跟臺積電的 SoIC 可比,公司也希望封裝業務可跟代工業務產生協同效應。3)先進封裝具有成本優勢:先進封裝具有成本優勢:英特爾的 2.5D EMIB能以較低的成本完成芯片的異構集成,在先進封裝產能緊缺的當下較具吸引力。我們認為2024年先進封裝將率先支撐營收增長,直至2025年英特爾四個先進制程晶圓廠開始量產,有望充分釋放 Intel 20 和 Intel 1
46、8A 的產能。DCAI(Data Center and AI,數據中心與人工智能)業務:數據中心與人工智能)業務:我們預計英特爾 DCAI 業務2024/2025/2026年的營業收入為166/193/223億美元,對應營收同比增速為7%/16%/16%。服務器 CPU 方面,公司在 2023 上半年已順利推出基于 Intel 7 的 Sapphire Rapids 和Emerald Rapids,而 24 年上半年將推出以低能耗(e-core)為特色并基于 Intel 3 制程的Sierra Forest,與同樣低能耗(Performance per Watt)的 AMD 基于 TSMC 5
47、nm 制程 Zen 4 架構的第四代 EYPC 處理器(包含 Genoa、Genoa-X、Bergamo 及 Siena)正面交鋒,制程基本跟 AMD 看齊甚至反超,我們認為公司有望迎來市場份額重升新機。同時,該產品也能與同樣以低能耗為優勢的ARM架構展開較量。公司在24/25年將繼續計劃推出Granite Rapids(Intel 3),Diamond Rapids 和 Clearwater Forest(Intel 18A)等服務器 CPU 產品,逐步邁向 18A 的目標。在 AI 方面,公司雖然在服務器 GPU 暫不占優,計劃在 2025年推出其新款服務器 GPU 產品 Falcon S
48、hores,但公司此前收購的 Habana Labs 里 Gaudi系列 ASIC 芯片可作為加速器與 CPU 協同工作,主要用作 AI 推理,也可做 AI 訓練,我們認為可為公司在 AI 硬件市場發展提供支持。公司在 23Q4 業績會中稱,以 Gaudi 為代表的加速器 AI 加速器的營收年增率達兩位數字,目前已知訂單顯著高于 20 億美元,而且還在成長,至于 2024 年將增加對于 Gaudi 2 和 Gaudi 3 的供應。CCG(Client Computing Group,客戶端計算)業務:客戶端計算)業務:我們預計英特爾 CCG 業務2024/2025/2026的營業收入為322/
49、341/358億美元,對應營業收入同比為10%/6%/5%。1)PC 業務庫存基本出清,復蘇業務庫存基本出清,復蘇已至已至:截至 2023Q3,全球 PC 出貨量已連續 2 個季度實現環比增長,同比下滑趨勢放緩。英特爾于 23Q3 業績會也表示公司庫存在 23 年上半年已出清,下半年營收有望實現連續環比增長,公司預計 2023 年全球 PC 出貨量約 2.7 億臺,PC 市場規模有望恢復至 3 億臺。AMD 也預期 2023 年全球 PC 出貨量為 2.5 億到 2.55 億臺左右。展望 24 年,我們認為隨著 PC 庫存回到正常水平,并在疫情高基數下新一輪的更換需求潮將為 PC 市場帶來較大
50、的增量。2)PC 端端 AI 應用應用需求需求錦上添花錦上添花:根據研究機構 Canalys預估,到 2024 年第四季度支持 AI 應用的 PC 將增至 2000 萬臺,占全球 PC 總出貨份額的25%以上,預計在 2025 年成為市場主流產品,并在 2026 年占整體銷售額的一半。英特爾正在積極推進 AI PC 計劃,與 100 多家軟件廠商緊密合作,為 PC 市場帶來數百款 AI 增強型應用。目前,華碩、微星、宏碁、聯想等合作伙伴均已推出搭載基于 Intel 4 的 Meteor Lake 處理器 AI PC 產品,英特爾預計酷睿 Ultra 處理器 24 年將為全球筆記本電腦和 PC制
51、造商的 230 多款機型帶來 AI 特性,并計劃在未來 2 年內交付 1 億個客戶端處理器。英特爾的 AIPC 產品管線完善。Meteor Lake 已在 12 月 14 日正式發布,該芯片搭載了專門負責 AI 功能的 20 TOPS NPU。展望未來,英特爾計劃在 24 年推出采用 Intel 20A 的 Arrow Lake 和可能基于 Intel 18A 或臺積電 N3B 的 Lunar Lake,并在 2025 年推出基于 Intel 18A制程的 Panther Lake。我們認為英特爾憑借其 CPU 內置的 AI 加速器(NPU),有望在 AI PC領域取得領先優勢。免責聲明和披露
52、以及分析師聲明是報告的一部分,請務必一起閱讀。10 英特爾英特爾(INTEL)(INTC US)NEX(Network and Edge,網絡與邊緣)業務:網絡與邊緣)業務:我們預網絡與邊緣業務 2024/2025/2026的營業收入為 61/70/76 億美元,對應營業收入同比增速為 6%/15%/8%。公司從 2022 年起將NEX業務作為獨立的核算部分。2022年NEX業務的營收為89億美元,在Mount Evans、Raptor Lake P&S、Alder Lake N 和 Sapphire Rapids 等產品的推動下實現了 11%的營收同比增長。但由于網絡和邊緣市場的需求持續疲軟
53、和庫存水平上升,23 年 NEX 業務的應收未58 億美元,同比下降了 31%。我們認為鑒于延時和成本問題,AI 將向邊緣轉移帶動邊緣計算市場需求。據 Gartner 預測,2025 年,將有多達 75%的企業數據會在傳統數據中心以外生成。此外,傳統 RAN 基礎設施只能采取一家供應商的一體式設施,增加了運營商的成本,因此,各大運營商正在積極推動 Open RAN 布局,以優化供應商體系。英特爾正在與愛立信合作使用 Intel 18A 制程開發 5G 虛擬 RAN 芯片,有望憑借制程優勢將性能提升至基于ARM 架構的低功耗專用芯片相似水平,以此贏得市場份額。圖表圖表1:英特爾英特爾 2021Q
54、4-2023Q4 分業務營收分業務營收(單位單位:百萬美元百萬美元)圖表圖表2:英特爾英特爾 2021Q4-2023Q4 分業務營收占比分業務營收占比 資料來源:公司公告,華泰研究 資料來源:公司公告,華泰研究 圖表圖表3:英特爾英特爾 2021Q4-2023Q4 分業務營收同比增速分業務營收同比增速 圖表圖表4:英特爾英特爾 2021Q4-2023Q4 分業務分業務營業利潤率營業利潤率 資料來源:公司公告,華泰研究 資料來源:公司公告,華泰研究 公司股權結構較為分散,機構投資者為主要股東。公司股權結構較為分散,機構投資者為主要股東。截至 2024 年 1 月 31 日,機構投資者持有流通股占
55、比為 66.24%,當中最大六家占 29.54%,其中包括 VANGUARD集團持股 9.01%,為公司第一大股東;貝萊德持股 8.07%,為第二大股東。圖表圖表5:英特爾股權結構(數據截至英特爾股權結構(數據截至 2024 年年 1 月月 31 日)日)資料來源:Bloomberg,華泰研究 50%51%50%53%47%49%52%56%57%31%33%31%28%31%32%31%27%26%10%12%14%14%14%13%11%10%10%7%4%4%5%6%5%4%5%5%0%10%20%30%40%50%60%70%80%90%100%21Q422Q122Q222Q322Q4
56、23Q123Q223Q323Q4CCGDCAINEXIFSOther 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。11 英特爾英特爾(INTEL)(INTC US)IFS 業務:業務:代代工業務增長迅速,工業務增長迅速,IDM2.0 戰略未來可期戰略未來可期 2021 年英特爾宣布年英特爾宣布 IDM2.0 戰略戰略,未來英特爾的制造將變革為未來英特爾的制造將變革為:“強化全球內部工廠網絡強化全球內部工廠網絡+擴大第三方產能利用擴大第三方產能利用+發展世界一流代工服務發展世界一流代工服務”組合組合。在 Fabless+Foundry 模式盛行的如今,老對手 AMD 與臺積電合作
57、走上逆襲之路,而英特爾卻嘗到了 IDM 模式的苦澀。鑒于 10nm工藝延期近三年,英特爾的先進制程已落后于臺積電和三星,因此 CPU 性能也逐漸落后于AMD。我們認為英特爾此次戰略轉型旨在重回先進制程的領導地位,并開放其代工業務,讓代工廠從成本中心轉型為盈利中心。英特爾希望憑借其先進制程和封裝業務吸引新訂單,并降低生成成本,從而提升整體盈利能力,并預計在 2025 年對收入出現較大貢獻。我們預計英特爾代工服務我們預計英特爾代工服務 2024/2025/2026 年年營業收入為營業收入為 11/19/38 億美元,對應營收同比億美元,對應營收同比增長增長 20%/68%/100%。我們認為,英特
58、爾的 IFS 業務目前正處于導入期,收入增長主要取決于先進制程節點的推出、工廠的產能增長、以及客戶訂單的獲取,因此,公司預計 2025年將出現較大的收入貢獻。英特爾計劃在 2024 年開始量產 20A 和 18A 制程芯片,客戶認可方面也是捷報頻傳:如新思科技已經和 Intel 達成戰略合作協議,共同開發基于 Intel 3 和Intel 18A 制程節點的 IP;Arm 與 Intel 簽署了涉及多代前沿系統芯片設計的協議,旨在利用Intel 18A 開發低功耗計算系統級芯片;瑞典電信設備商愛立信也宣布,將使用 Intel 18A 打造定制化的 5G 系統級芯片。2025 年英特爾在亞利桑那
59、州和俄亥俄州的 20A 和 18A 工廠將投入運營,預期會對收入有較大的貢獻,成為公司提升晶圓廠產能利用率、分攤先進制程研發成本與建設投入的重要業務。半導體行業持續增長,半導體行業持續增長,IFS 業務長期有望受益。業務長期有望受益。我們認為英特爾我們認為英特爾 IDM2.0 代工業務代工業務不但不但有助有助于公司提升數據中心和客戶端產品競爭力,更重要的是豐富了于公司提升數據中心和客戶端產品競爭力,更重要的是豐富了公司公司在芯片產業的角色,并在芯片產業的角色,并重重回回制程的王者地位。制程的王者地位。長期來看,隨著 AI 和云計算技術的不斷發展和算力需求的提升,數據中心建設對半導體的需求正在不
60、斷增長。根據 ASML 的預測,全球半導體市場規模 2023年預計為 5950 億美元,在 2025 年有望達到 7370 億美元,到 2030 年這一數字預計將增長至 10980 億美元,2025-2030 年 CAGR 為 8%。英特爾 IFS 業務步入成熟期后,有望受益于半導體行業的持續增長。先進制程工藝的進步將顯著提升英特爾產品的性能,也將逐漸恢復其在 X86 架構下 PC 端和數據中心被 AMD 搶占的市場份額。如今,英特爾與一些行業玩家既是競爭對手也是客戶關系,這種商業模式目前已越來越普遍,關鍵是要確保公司在何種情況下獲利最大化。比如說,我們假設 ARM 架構的 CPU 市場份額若
61、迅速增加,英特爾將可通過與 ARM 的合作,為 ARM 架構處理器提供代工,賺取可觀營收;反過來,若 X86架構服務器和 PC 市場份額仍保持高位,更先進的制程將增強英特爾產品性能,也能提升公司盈利能力。長期來看,隨著高性能計算的強勁需求和各國對半導體供應鏈安全的持續重視,長期來看,隨著高性能計算的強勁需求和各國對半導體供應鏈安全的持續重視,英特爾英特爾代代工業務工業務也也有望憑借其有望憑借其所在地和所在地和獨特競爭優勢獨特競爭優勢為公司貢獻為公司貢獻營收。營收。只有先進制程芯片能滿足高端手機和 AI、HPC 的性能需求,而全球少數能生產 7nm 或以下先進制程的廠家,包括英特爾、三星、臺積電
62、,因此我們認為英特爾一旦完成“四年五節點”計劃重回領先位置,將獲得穩定的代工訂單保障代工業務營收。同時,作為唯一一個主要產能集中在歐洲和美國的晶圓廠,英特爾已獲得了德國政府 109 億美元,以色列政府的 32 億美元并加入美國芯片法案補貼公司名單,作為美國公司有望獲得美國的政策傾斜取得更多補貼,迅速擴展其產能并降低生產成本。憑借這些競爭優勢英特爾或將在競爭激烈的代工市場贏得一席之地,公司預計英特爾 2024 年制造收入將超過 200 億美元(包括內部芯片代工),進而成為全球第二大芯片代工廠。英特爾正積極擴張全球先進制程芯片制造能力。英特爾正積極擴張全球先進制程芯片制造能力。在美國,英特爾正在俄
63、亥俄州利金縣建設Fab27 工廠,以及在亞利桑那州錢德勒市建設 Fab62 和 Fab52 工廠,計劃在 2025 年投產,總投資額達 400 億美元。同時,英特爾在德國馬格德堡和以色列加特鎮分別建設 Fab29 和Fab38 工廠,預計在 2027 年投產,總投資額高達 330 和 250 億美元,將用于生產 Intel 4/Intel 3/20A/18A 等先進制程芯片。根據 Toms Hardware 2024 年 1 月報道,英特爾位于德國馬格德堡的工廠將用于生產 18A 及以后先進制程節點(如 1.5nm)。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。12 英特爾英特
64、爾(INTEL)(INTC US)圖表圖表6:英特爾代工業務營收(單位:百萬美元)英特爾代工業務營收(單位:百萬美元)圖表圖表7:英特爾資本支出和營收占比英特爾資本支出和營收占比(單位:百萬美元)(單位:百萬美元)資料來源:英特爾公司財報,華泰研究 資料來源:英特爾公司財報,華泰研究 英特爾四年五個制程節點進展順利,英特爾四年五個制程節點進展順利,2025 年或將超越臺積電年或將超越臺積電 公司在“四年五節點”路線圖中表示將會在公司在“四年五節點”路線圖中表示將會在 2021 下半年完成下半年完成 Intel 7(臺積電(臺積電 N10)、)、2022下半年完成下半年完成 Intel 4(臺積
65、電(臺積電 N7-N5)、)、2023 年下半年完成年下半年完成 Intel 3(臺積電(臺積電 N5-N3)、)、2024年上半年完成年上半年完成 Intel 20A(臺積電(臺積電 N3-N2)及)及 2024 年下半年完成年下半年完成 Intel 18A(超臺積電(超臺積電 N2)共計五代工藝節點的量產準備,目前已官宣共計五代工藝節點的量產準備,目前已官宣按時完成按時完成了了三三個節點,個節點,我們認為我們認為英特爾有望持英特爾有望持續兌現承諾。續兌現承諾。臺積電則表示 N2 制程(2nm)將在 2025 如期量產,Intel 20A 和 Intel 18A計劃 2024 年開始量產,若
66、二者計劃順利實施,2025 年英特爾將重新獲得半導體領先優勢。圖表圖表8:英特爾四年五英特爾四年五節點計劃節點計劃 資料來源:英特爾官網,華泰研究 圖表圖表9:英特爾各節點預計推出時間英特爾各節點預計推出時間 節點節點 推出時間推出時間 芯片密度臺積電節點對照芯片密度臺積電節點對照 Intel 7 2021 N10 Intel 4 2022 N7-N5 Intel 3 2023 N5-N3 Intel 20A 2024 N3-N2 Intel 18A 2024 超 N2 資料來源: 官網,華泰研究 圖表圖表10:英特爾各制程節點技術對比英特爾各制程節點技術對比 資料來源:XDA develop
67、ers 官網,華泰研究 Q1Q2Q3Q4Q1Q2Q3Q4Q1Q2Q3Q4Q1Q2Q3Q4制程節點制程節點Intel20AFET技術技術光刻技術光刻技術FINFETPowerVia+RibbonFETDUVEUV2022202320242025Intel 7Intel 4Intel 3Intel 18A 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。13 英特爾英特爾(INTEL)(INTC US)技術技術 CEO 回歸并重整旗鼓回歸并重整旗鼓,有望,有望帶領英特爾回歸帶領英特爾回歸創新創新本源本源 英特爾英特爾當年當年不敵不敵 AMD,或與管理層決策有關或與管理層決策有關?;仡櫄v
68、史,2016 年以來,英特爾在 AMD 與臺積電聯手的攻勢下失去了在 X86 領域保持多年的領先優勢。時任 CEO 的 Brian Krzanich(布萊恩科再奇)欲扭轉局面,但在 10nm 節點中采取了激進目標(英特爾在 10nm 工藝上的最初規劃是 14nm 的 2.7 倍,性能接近三星和臺積電 7nm)和保守技術(選擇 DUV方案,而三星與臺積電在 7nm 均采用 EUV 方案)的錯誤路線,導致 10nm 制程遲遲無法落地,而臺積電在 2017 年和 2018 年分別推出 10nm 與 7nm 節點,對比英特爾 10nm 節點直至 2019 年才姍姍來遲。此外其在包括平板電腦、智能穿戴、
69、無人機等移動處理器市場也頻繁試錯卻均黯淡收場。在科再奇于 18 年 6 月離任后,原任英特爾 CFO 的 Bob Swan(鮑勃斯旺)成為臨時 CEO,并在 7 個月后成為正式 CEO,但財務出身的斯旺幾欲放棄晶圓制造業務,以節省資本開支及促進業務靈活性。直至2021年初,帕特基辛格(Pat Gelsinger)回歸英特爾擔任 CEO,并重整旗鼓,英特爾自此邁入了一個嶄新的階段。2021 年年 2 月眾望所歸的帕特月眾望所歸的帕特基辛格成為英特爾第八任首席執行官,技術出身的他也是英基辛格成為英特爾第八任首席執行官,技術出身的他也是英特爾歷史上唯一擔任過特爾歷史上唯一擔任過 CTO 的的 CEO
70、?;粮窬哂性鷮嵉募夹g背景,他 16 歲被提前錄取至林肯技術學院并獲得副學士學位,24 歲獲得斯坦福大學電氣工程和計算機科學碩士學位。1979 年年僅 18 歲的帕特基辛格就加入了英特爾成為了一名技術員,在英特爾工作的 30年里曾擔任過高級副總裁及首席技術官,也是英特爾創辦人之一安迪 葛洛夫(Andy Grove)的門生。1989 年,基辛格作為首席架構師帶領團隊開發出第四代 80486 處理器,在 2001年成為 CTO 后,他曾帶領公司研發 Wi-Fi、USB 等行業關鍵技術,在包括 Intel Core(酷睿)和 Intel Xeon(至強)處理器等 14 個微處理器項目發揮了關鍵作用,
71、經歷及見證了英特爾最輝煌的年代。2009 年基辛格離開英特爾,先后擔任 EMC(后被戴爾收購)總裁兼首席運營官及 VMware(后給博通收購)首席執行官,兩家均為當時數據中心業務的巨頭,因此基辛格對數據中心業務非常熟識?;粮竦?CEO 生涯成績斐然,在 VMware 的九年中使公司的年營收幾乎翻了三倍。2019 年美國 Glassdoor 的年度調查中,基辛格榮膺“美國最佳 CEO”。我們認為我們認為 2021 年基辛格于英特爾內外交困之際回歸并執年基辛格于英特爾內外交困之際回歸并執掌帥印掌帥印,或或將帶領英特將帶領英特爾回歸技術本源,為創新和技術引領的新時代開辟航道爾回歸技術本源,為創新和
72、技術引領的新時代開辟航道,并有望重,并有望重回回制程領導者寶座制程領導者寶座。圖表圖表11:英特爾近三任英特爾近三任 CEO 背景對比背景對比 布萊恩布萊恩科再奇科再奇 鮑勃鮑勃斯旺斯旺 帕特帕特基辛格基辛格 出生年份出生年份 1960 1960 1961 出生地出生地 加利福尼亞州 紐約 賓夕法尼亞州 教育經歷教育經歷 圣荷西州立大學(化學,學士)紐約州立大學布法羅分校(工商管理,學士);賓漢頓大學(MBA)16 歲時被提前錄取至林肯技術學院并獲得副學士學位;圣克拉拉大學(電氣工程,學士);斯坦福大學(電氣工程和計算機科學,碩士)工作經歷工作經歷 1982 年加入英特爾,在芯片廠擔任工程師,
73、其后擔任制造工廠經理,并于 2012年升任 COO,從 2013 年開始擔任 CEO 曾于美國通用電氣公司、eBay、諾斯洛普格魯門公司等企業擔任 CFO、COO 等職位,2016 年加入英特爾擔任 CFO,2018 年擔任臨時 CEO 18 歲加入英特爾擔任質量控制技術員,2001 年成為 CTO,2009 年離開英特爾并先后于 EMC 任總裁和 COO、VMware 擔任 CEO,于 2021 年回到英特爾擔任 CEO CEO 任期任期 2013-2018 2018-2021 2021 至今 資料來源:英特爾官網,Innovate Finance,Crunchbase,華泰研究 免責聲明和
74、披露以及分析師聲明是報告的一部分,請務必一起閱讀。14 英特爾英特爾(INTEL)(INTC US)參考英特爾的競爭對手參考英特爾的競爭對手 AMD 可知,一位優秀的可知,一位優秀的 CEO 對對公司成敗公司成敗具有決定性的作用。具有決定性的作用。工科工科出身的蘇姿豐(出身的蘇姿豐(Lisa Su)曾憑借對技術創新方向的準確把握和果決的領導風格令)曾憑借對技術創新方向的準確把握和果決的領導風格令 AMD 絕絕處逢生。處逢生。我們認為同樣技術出身的基辛格此次回歸英特爾出任我們認為同樣技術出身的基辛格此次回歸英特爾出任 CEO,將發揮其技術遠見和,將發揮其技術遠見和領導才能,有望帶領英特爾回歸創新
75、本源,重拾增長動力。領導才能,有望帶領英特爾回歸創新本源,重拾增長動力。蘇姿豐 17 歲被麻省理工學院電機工程系錄取,并先后于該校獲得電機工程學士、碩士、博士(本碩博三個學位),后于德州儀器、IBM、Freescale 等公司擔任研發主管、CTO 等要職。她于 2012 年加入 AMD,2014 年成為 CEO,彼時的 AMD 內部正深陷財務危機,外部又面臨來自英特爾激烈的競爭壓力,英特爾因提前布局企業級數據中心 CPU,壟斷了利潤豐厚的高端數據中心市場,市占率一度高達 99%,而 AMD 卻由于晶圓制造子公司格羅方德(Global Foundries)制程落后導致新產品一再延期,市占率倍受擠
76、壓。值此內外交困之際,蘇姿豐認為最重要的是找到 AMD 的核心競爭力并發揚光大,即便市場風向正逐漸偏向手機、平板等移動端芯片及物聯網技術,她仍選擇鞏固 AMD 在個人電腦、數據中心 CPU 及游戲顯卡業務上的優勢。她背水一戰歷時 5 年主導設計 Zen CPU 核心架構,研發投入約 50 億美元,最終于 2016 年成功推出 Zen 架構,2017 年推出基于 Zen 架構的 Ryzen PC CPU 和 EPYC 數據中心 CPU產品組合。針對制程掣肘及債務危機,她于 2014 年果斷剝離格羅方德,并借此轉移了 12億美元的外債,AMD 由此正式轉向 Fabless 模式,將芯片制造外包給臺
77、積電,保證了產品制程迭代的穩定性。正是由于蘇姿豐對核心優勢的準確判斷和對技術創新的堅守,以及果斷剝離格羅方德的戰略決策,才使得 AMD 在 2016 年英特爾深陷 10nm 制程停滯泥淖之時逆勢趕超,截至 2023Q3 AMD 在數據中心 CPU 市場份額升至 23.3%,PC CPU 市場份額升至約 20%。技術老將技術老將掌帥印掌帥印后后主導一系列技術導向的改革,主導一系列技術導向的改革,帶領帶領英特爾重回正軌英特爾重回正軌 基辛格認為過去英特爾存在三大戰略失誤,分別為 1)推出面向智能手機的 Atom CPU、2)取消早期針對 AI 的 GPU Larrabee 的開發計劃,以及 3)缺
78、乏對先進晶圓制造業務的重視缺乏對先進晶圓制造業務的重視。其中 Atom CPU 于 2008 年推出,但由于智能手機芯片市場長期被 ARM 架構壟斷,x86 架構的 Atom CPU 并不占優,該產品線在 2016 年即被英特爾取消。Larrabee 則是一款早期通用 GPU,但在 2010 年基辛格離開英特爾時其研發計劃便夭折了,若英特爾當年繼續對Larrabee 的研發,或能在目前的 AI 芯片競賽中擁有更大主動權?;粮駥τ⑻貭柈敃r在晶圓制造業務的輕視尤為惋惜,他認為晶圓代工的模式能對沖其在服務器和端側 CPU 領域的競爭風險,可通過代工模式與競爭對手英偉達合作以擴大營收?;粮窬腿魏蟮?/p>
79、核心變革基辛格就任后的核心變革是是 IDM2.0 策略,堅持發展半導體制造工策略,堅持發展半導體制造工藝,藝,包括包括四四方面內容:方面內容:1)重點發展先進制造工藝重點發展先進制造工藝,建立全球工廠網絡布局建立全球工廠網絡布局。先進制造方面,英特爾提出“四年五節點”趕超計劃,目前已順利推進并發售 Intel 7 和 Intel 4(首次全面采用 EUV 極紫外光刻技術)的芯片,Intel 3 也已完成了生產準備,在未來將陸續推出 Intel 20A、Intel 18A 先進制程節點。工廠布局方面,英特爾 21 年宣布投資約 200 億美元在亞利桑那州新建兩座晶圓廠、投資 70 億美元在馬來西
80、亞新建封測廠,次年宣布投資超過 200 億美元在俄亥俄州新建兩座晶圓廠,并宣布投資 800 億歐元建設歐盟半導體價值鏈,包括在德國、意大利、愛爾蘭新建晶圓廠,在法國、波蘭、西班牙及荷比盧地區深耕研發制造和代工服務。2)擴大利用第三方代工產能)擴大利用第三方代工產能,以優化成本、推動進度和提高供貨能力。以優化成本、推動進度和提高供貨能力。英特爾預計未來與臺積電等外部晶圓代工廠的合作將會增長,以提高英特爾的生產靈活性并擴大規模。22 年底發布的第一款針對HPC 和AI 工作負載進行優化的GPU Ponte Vecchio即采用多種不同工藝,RAMBO 緩存和 Foveros 基礎切片使用 Inte
81、l 7 工藝制成,計算核心采用臺積電 N5工藝制造,Xe-Link 模塊則使用臺積電 N7 工藝制造;而于 23 年推出的 Meteor Lake 14 代酷睿處理器 CPU 模塊采用 Intel 4 工藝生產,GPU 模塊則采用臺積電的 N5 工藝,SoC 和I/O 模塊采用臺積電的 N6 工藝生產。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。15 英特爾英特爾(INTEL)(INTC US)3)轉為轉為“內部代工內部代工”模式模式,打造世界一流的英特爾代工業打造世界一流的英特爾代工業務務(IFS)。)。英特爾計劃成為美國和歐洲晶圓代工產能的主要供應商,并在 23 年初表示已
82、“從一家主營云計算、邊緣運算和數據中心解決方案的客戶取得訂單,將采用 Intel 3 制程生產芯片”,但未透露具體信息。值得注意的是,英特爾新的“內部代工”模式或將為 IFS 業務發展提供重要助力,“內部代工”模式即制造部門獨立運營、自負盈虧,內部產品部門和制造部門的關系轉變為類似代工的關系,通過引入市場競爭刺激制造部門提高效率及節約成本。該模式是 IDM 2.0 策略的關鍵,目標是將公司長期的毛利率和運營利潤率定在 60%和 40%的水平,并為其全球晶圓客戶提供服務,。公司預計到 2023 年節約成本 30 億美元,到 2025 年節約成本 80100 億美元。4)IDM2.0 包括了英特爾
83、先進的包括了英特爾先進的封裝技術封裝技術,結合,結合 Chiplet 生態,生態,有效地彌補了在單純制程有效地彌補了在單純制程工藝方面的差距。工藝方面的差距。英特爾推出了多項革命性的封裝技術,其中包括 2.5D 的 EMIB(嵌入式多芯片互連橋接技術)、3D 堆疊的 Foveros 技術,以及融合 2.5D 和 3D 封裝的 Co-EMIB技術。Foveros 封裝技術采用 3D 堆疊方法,能夠整合不同的邏輯芯片,為集成電路設計公司提供靈活性。這種技術允許不同技術的 IP 區塊與各種記憶體和 I/O 元件進行有效的混合和搭配,如可針對特定的應用或市場需求將處理器、內存、I/O 等模塊靈活組合在
84、一起,并可通過在封裝前對單獨的芯片或芯片模塊進行測試,使得每個模塊故障更容易被定位和修復,從而提升最終產品的良品率,并提高整體的芯片制造效率。圖表圖表12:英特爾的“內部代工”模式英特爾的“內部代工”模式 圖表圖表13:Intel 4 良品率對比良品率對比 資料來源:英特爾官網,華泰研究 資料來源:英特爾官網,華泰研究 迭代目標較激進,迭代目標較激進,英特爾英特爾 10nm 遲到三年遲到三年 復盤英特爾從毋庸置疑的制程領先地位復盤英特爾從毋庸置疑的制程領先地位到到逐漸逐漸被趕超被趕超的過程,的過程,我們認為我們認為 10nm 的多次延遲的多次延遲難辭其咎難辭其咎,而而落后的原因可歸咎于落后的原
85、因可歸咎于:1)過于激進的迭代目標。過于激進的迭代目標。英特爾在 10nm 節點上使用了許多先進技術,因此在提升性能的同時,也大幅降低了芯片的良率。早在 2013 年英特爾路線圖中已計劃提供 2.7 倍密度,并計劃采用四重曝光技術(SAQP,Self-Aligned Quadruple Patterning)、有源柵極觸點(COAG,Contact Over Active Gate)、單虛擬柵極(SDG,Single Dummy Gate)、鈷局部互聯、以及 EMIB 和 Forveros 封裝等新技術。英特爾最終在 2016 實現 10nm 制程芯片,反觀臺積電在 2018 年第二季度率先開
86、始量產 7nm 芯片,英特爾 2019 年才推出 10nm 芯片。根據Digitimes 測算,臺積電 7nm 芯片晶體管密度為 0.97 億/mm2而英特爾 10nm 芯片則為 1.06億/mm2實際上略微領先于臺積電,但是晶體管密度高達1.73億/mm2的臺積電5nm在2020年上半年開始量產,在先進制程研發超越了英特爾。2)DUV 光刻路線選擇導致英特爾光刻路線選擇導致英特爾 10nm 進展緩慢。進展緩慢。英特爾在 10nm 中選擇 DUV 的原因有二:1)技術考量技術考量,英特爾的 10nm 工藝開始較早,當時 EUV 技術尚未成熟;2)成本考量成本考量,DUV 技術相對較成熟,成本較
87、低。但 DUV 的波長更長,因而使得光源更容易發生衍射,從而影響精度。英特爾選擇了DUV后就需要搭配SAQP四重曝光技術,從而提高光刻精度。多技術同時代入導致 10nm 最終良率僅為 50%60%,無法滿足量產要求,使得量產推遲。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。16 英特爾英特爾(INTEL)(INTC US)Intel 4 開創開創 EUV 時代,作為半代工藝為時代,作為半代工藝為 Intel 3 鋪平道路鋪平道路 7nm 更名為 Intel 4,是首次使用 EUV 技術的英特爾 FinFET 節點,每瓦性能可提升 20%,相比上一代在各方面的性能都有較大提升。根
88、據英特爾數據顯示,Intel 4 高性能庫密度比Intel 7 增加了兩倍,晶體管尺寸減少了一半,在該制程下能效比有大幅提升,較前一代提升了 20%以上。半導體咨詢機構 IC Knowledge 指出,Intel 4 制程性能優于臺積電 5nm,接近臺積電和三星的3nm工藝,可見該制程的命名就是為了對標另外兩家廠商的4nm標準。根據根據英特爾發布的良率對比英特爾發布的良率對比圖中圖中可知,可知,Intel 4 擁有較高良率,這證明了英特爾晶圓廠的技術,并希望借此吸引客戶使用其主力節點 Intel 3。Intel 4 作為過渡節點,唯一使用該節點的 Meteor Lake 移動端處理器已于 23
89、 年 12 月上市。Intel 3 作為作為 Intel 4 的后續產品,提高了性能庫的密度,同時加入高密度庫,增加了的后續產品,提高了性能庫的密度,同時加入高密度庫,增加了 EUV技術的使用,技術的使用,對比對比 Intel 4 每瓦性能提高每瓦性能提高 18%。Intel 3 采用 7nm+節點,比臺積電 5nm 至3nm 制程迭代提升幅度高 10-15%,工藝性能提升較大。目前該制程僅針對 Sierra Forest和 Granite Rapids 的數據中心產品,用于及時補強英特爾數據中心算力和功耗的短板。據韓媒 ChosunBiz報道三星和臺積電的 3nm 工藝良率都在 50%左右,
90、良率底導致臺積電 3nm芯片供不應求,因此對標臺積電 5nm-3nm 制程的 Intel 3 意義重大。目前英特爾已與聯發科和同級大型 IC 設計客戶達成合作,而 Intel 3 在 23 年年底已順利投入生產,英特爾芯片或將以更低的成本和更高的性能成為客戶高性價比的選擇。圖表圖表14:Intel 3 和和 Intel 4 工藝對比工藝對比 圖表圖表15:Intel 20A和和 Intel 18A 工藝對比工藝對比 資料來源:英特爾官網,華泰研究 資料來源:英特爾官網,華泰研究 Intel 20A 使用使用 PowerVia 和和 RibbonFET 兩項突破性技術開創兩項突破性技術開創埃米時
91、代埃米時代(angstrom era)Intel 20A 較較 Intel 3 每瓦性能提升每瓦性能提升 15%,2024 年年若能準時若能準時量產量產則則有望反超臺積電有望反超臺積電,重奪制,重奪制程程領先優勢。領先優勢。RibbonFET 是英特爾基于全環繞柵極(Gate all around,GAA)晶體管的最新技術。隨著晶體管尺寸和柵極寬度的減小,FinFET 技術逐漸逼近物理極限,電流泄露問題愈加嚴重(臺積電 3nm 采用 FinFET 技術,我們認為,搭載了其的 iPhone 15 系列手機或因而出現漏電和發熱嚴重)。GAA 技術應運而生,RibbonFET 讓帶狀的晶體管溝道整個
92、被柵極環繞,更有效的控制電流流通,同時水平溝道可以進行垂直堆疊占用空間更小,帶來更高性能。GAA 工藝作為公認的新一代半導體解決方案,三星已在其 3nm 制程中引入 GAA架構,但是目前良品率較低,臺積電也計劃在 2025 年量產使用 GAA 架構的 2nm 芯片。我們認為如果一切進展順利,英特爾芯片將會較臺積電和三星提前進入 2nm 時代。Intel 20A僅作為過渡節點在英特爾消費級處理器 Arrow Lake 上使用。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。17 英特爾英特爾(INTEL)(INTC US)圖表圖表16:FinFET 漏電分析圖漏電分析圖 圖表圖表17
93、:FinFET 和和 GAA 對比圖對比圖 資料來源:V.P.-H.Hu,M.-L.Fan,P.Su and C.-T.Chuang,Comparative Leakage Analysis of GeOI FinFET and Ge Bulk FinFET,in IEEE Transactions on Electron Devices,vol.60,no.10,pp.3596-3600,Oct.2013,doi:10.1109/TED.2013.2278032.,華泰研究 資料來源:Lam Research 官網,華泰研究 背部背部供電技術供電技術 PowerVia 將成將成 2nm 以上
94、先進制程基石及以上先進制程基石及制勝重要因素制勝重要因素 PowerVia 作為一種背面供電方案(BSPDN,Back Side Power Delivery Network)開創性的將電源線移至晶圓背面,通過納米級硅通孔(TSV,Through-Silicon Via)直接向晶體管層供電,可減少布線長度,同時在充足的空間里,電源線可做的更寬,更低的電阻能緩解電壓下降。相比前向供電的傳統方案,PowerVia 的互聯層顯著降低了電源干擾的影響,更緊湊的設計可有效提升晶體密度,進一步推動性能上升。同時,導線復雜度的降低減少了EUV 光刻次數,也能有效降低成本。在應用背面供電技術上,臺積電計劃于
95、2026 年推出的 N2P 工藝上將會采用該技術,若 2024 年 Intel 20A 順利量產,則在該技術上也領先臺積電。圖表圖表18:PowerVia 背面供電優勢介紹背面供電優勢介紹 圖表圖表19:Intel 18A 節點開發進度符合規劃節點開發進度符合規劃 資料來源:英特爾官網.,華泰研究 資料來源:英特爾官網,華泰研究 在實施 PowerVia 技術的 Intel 4 節點測試中(看下圖),PowerVia 技術實現了 30%的電流降(IR Droop)顯著減少,以及 6%的核心頻率(Fmax)提升,此外互連層(M0 層)的間距也得到放松,從 30nm 增加到 36nm,庫單元高度從
96、 240nm 降低到 210nm,前側層的數量從 15+RDL 減少到 14,并新增了 4 層后側層,這些改進最終能提高了晶體管的密度和性能。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。18 英特爾英特爾(INTEL)(INTC US)圖表圖表20:Intel 4 通過集成通過集成 PowerVia 性能提升性能提升 圖表圖表21:Intel 4 通過集成通過集成 PowerVia 性能提升性能提升 資料來源:公司官網 S&P,華泰研究 資料來源:公司官網,華泰研究 Intel 18A 蓄勢待發,英特爾或將在蓄勢待發,英特爾或將在 2025 年重登先進制程主導者寶座年重登先進制
97、程主導者寶座 Intel 18A 將背負著重振英特爾制程榮光的重任,也代表著英特爾代工業務的未來。Intel 18A在制程上跟 20A 相似,都應用了帶狀架構創新(RibbonFET,通過用柵極包圍溝道,可更有效控制晶體管中的電流,縮小晶體管的同時并保持性能和能效),但通過金屬線距減少(金屬線連接芯片的各個部分,例如晶體管、緩存和其他組件,通過減小金屬線間距,可將更多的組件連接封裝到同一芯片中,實現更密集的芯片設計),從而較上一代提升 10%性能。另外,PowerVia 在 Intel 4 的測試中也提升了芯片 6%的頻率,我們認為,接近半代制程提升幅度,為 Intel 18A 工藝性能提供了
98、穩固的保障。根據 Toms Hardware 在 2023 年 3 月報道,英特爾已完成 20A 和 18A 制造工藝的開發階段,并實現芯片流片,正在最終確定這兩種技術的規格、材料和性能目標。接著,英特爾在 Intel Innovation 2023 conference 和 23Q3 業績會也透露,公司發布了 18A 工藝設計套件(PDK,process design kit)0.9 版本,并即將向外部客戶開放。英特爾在 RibbonFET 和 PowerVIA 技術的開發上已完成研發,正迅速向生產階段過渡?;?18A 工藝產品將于 2024 年 Q1 投入試生產,公司預計在 24 年下半
99、年實現成熟的生產能力(achieve manufacturing readiness)。此外,英特爾分別于 2023 年 4 月和 7 月宣布與ARM 和愛立信達成合作,在 18A 節點上開發和生產 SoC,公司首席財務官 David Zinsner也在 23Q3 業績會宣布 18A 工藝已成功簽約三家新客戶。同時首席執行官 Pat Gelsinger表示,預計在 23 年底前將簽署第四家客戶(目前還未披露)。另外,在 2023 年 8 月的 INTC Deutsche Banks 2023 Technology Conference,公司宣布已收到一筆大額客戶預付款,用于擴展其位于亞利桑那州
100、的 18A 工廠產能。圖表圖表22:英特爾和英特爾和臺積電制程發展進程臺積電制程發展進程(藍色藍色色塊色塊為為英特爾英特爾制程領先,紅色制程領先,紅色色塊色塊為臺積電制程領先為臺積電制程領先)資料來源:英特爾官網、臺積電官網、華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。19 英特爾英特爾(INTEL)(INTC US)模塊化模塊化(chiplet)可擴展設計和可擴展設計和擁抱第三方代工廠,擁抱第三方代工廠,IDM 2.0 又一利器又一利器 在 2021 年的 Intel Architecture Day,英特爾介紹了模塊化可擴展設計的技術,能將芯片分為 P-Core(
101、高性能核心)、E-Core(高效能核心)、Display(顯示控制單元)、PCIe(外設組件互連單元)、TBT(Thunderbolt,高速外部硬件接口)、GNA(Gaussian Neural Accelerator,用于低功耗 AI 任務的神經網絡加速器單元)、IPU(Image Processing Unit,圖像處理單元)、LLC(Last Level Cache,緩存單元)、Media(媒體處理單元)、32EU/96EU(圖形處理單元)、Memory(內存單元)、SOC(System on a Chip,集成多種功能的單一芯片單元)等模塊,從而提升芯片設計的靈活性和可擴展性,并易于為
102、每個模塊選擇和升級最佳解決方案,進而提升良率與性能。同時,從功耗方面考慮也可選擇開關不同模塊以降低不必要能耗。而通過 2.5D EMIB 和 3D Foveros 封裝工藝,使得英特爾能封裝不同制程的芯片模塊,并將異構芯片(CPU、GPU、NPU、FPGA 等)在單一封裝中集成,從而支持英特爾芯片的模塊化和可擴展設計。圖表圖表23:英特爾模塊化可擴展設計英特爾模塊化可擴展設計 資料來源:2021 Intel Architecture Day,華泰研究 18A 制程制程積極擁抱臺積電先進制程代工,提升產品競爭優勢。積極擁抱臺積電先進制程代工,提升產品競爭優勢。為防止市場份額被 AMD 和英偉達等
103、競爭對手進一步侵蝕,英特爾積極擁抱臺積電先進制程代工。借助臺積電的成熟工藝和低成本,使英特爾得以實現成本效益的突破。比如說,在 HPC 芯片 Ponte Vecchio 中,RAMBO 緩存和 Foveros 基礎切片均使用 Intel 7工藝制成,計算核心采用臺積電 N5 工藝制造,Xe-Link 模塊則使用 TSMC N7 工藝制造,最后采用英特爾的 EMIB 和 Foveros 工藝封裝,以實現性能與成本的最優化。英特爾最新Arc Alchemist GPU也由臺積電N6制程打造,以實現Xe HPG 微架構中各組件的高度集成,并提升能效表現。Meteor Lake 采用分離式模塊化(Ti
104、le-based)設計,封裝技術采用 3D Foveros,將處理器劃分為計算模塊(Intel 4)、I/O 模塊(N6)、SoC 模塊(N6)、GPU 模塊(N5),不同的單元可利用不同的制程技術。與 Raptor Lake 相比,Meteor Lake 的 GPU受益于設計優化和晶體管尺寸的減少,實現了更小的 GPU 面積和更高的時鐘頻率。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。20 英特爾英特爾(INTEL)(INTC US)圖表圖表24:Meteor Lake 的的 GPU 面積更小、結構更優、時鐘頻率更高面積更小、結構更優、時鐘頻率更高 圖表圖表25:Arc Al
105、chemist GPU 實現實現 Xe HPG 微架構的高度集成微架構的高度集成 資料來源:hothardware 官網、華泰研究 資料來源:英特爾官網、華泰研究 此外,Meteor Lake 的下一代 Arrow Lake CPU 將基于 Intel 20A 節點,GPU 基于 TSMC 3nm節點,實現圖形性能顯著提升。隨后的 Lunar Lake 在英特爾 PC CPU 路線圖上顯示將基于 Intel 18A 節點,不過根據 Toms Hardware 在 23 年 11 月的報道,Lunar Lake MX 的計算單元或將采用臺積電 N3B 節點??紤]到英特爾計劃將 Lunar Lak
106、e 的 CPU 和 GPU(基于臺積電 3nm 節點)單元放在同一塊硅片上,我們認為,此舉或可省去基于 Intel 18A 重新設 Xe2 GPU 的步驟,從而實現成本節約。圖表圖表26:英特爾和英特爾和 TSMC 芯片制造合作芯片制造合作 產品產品 所屬領域所屬領域 Intel 模塊與制程模塊與制程 TSMC 模塊與制程模塊與制程 Ponte Vecchio HPC 芯片 Intel 7:RAMBO 緩存、Foveros 基礎切片 N5:計算核心 N7:Xe-Link 模塊 Meteor Lake PC 處理器 Intel 4:CPU 模塊 N5:GPU 模塊 N6:SoC 和 I/O 模塊
107、 Arc Alchemist GPU GPU-N6 工藝制造工藝制造 Arrow Lake PC 處理器 Intel 20A:CPU 模塊 TSMC 3nm:GPU 模塊模塊 Lunar Lake PC 處理器 Intel 18A*:CPU 模塊 TSMC N3B*:CPU 模塊 TSMC 3nm:GPU 模塊 *注:Intel 18A 為公司官方規劃,TSMC N3B 為 Toms Hardware 官網報道 資料來源:英特爾官網、Toms Hardware 官網、華泰研究 采用成熟制程代工,避免重復“造輪子”。采用成熟制程代工,避免重復“造輪子”。英特爾實行成熟制程外包生產策略,以優化其供
108、應鏈和生產效率。歷史上英特爾與代工廠的合作主要集中在 Wi-Fi 模塊、芯片組、以及特定的以太網控制器等非核心部分。目前公司約 20%的產品是外部代工完成,這些產品采用了成熟的工藝節點,不僅提高了英特爾的生產靈活性和市場響應速度,同時也允許公司專注于其核心競爭優勢,即先進制程的研發和廠能建設,并提升良率和性價比。綜上,我們認為英特爾通過外包第三方代工,一方面能綜上,我們認為英特爾通過外包第三方代工,一方面能為其設計的芯片選擇最合適的技術,為其設計的芯片選擇最合適的技術,進而取得性能進而取得性能、良率、良率與成本最優化,提升競爭力;另一方面也能集中與成本最優化,提升競爭力;另一方面也能集中火火力
109、發展其最先進的力發展其最先進的18A 節點技術,盡早實現制程趕超。節點技術,盡早實現制程趕超。英特爾英特爾 Intel 18A 客戶漸增,代工服務或將開啟新盈利來源客戶漸增,代工服務或將開啟新盈利來源 公司通過不斷突破的先進制程和不斷完備的芯片設計生態吸引客戶代工訂單。2021 年 8 月,與美國國防部簽署代工協議,為 RAMP-C 計劃提供 Intel 18A 代工服務。2023 年 4 月,與ARM 達成合作推動 18A 工藝的低功耗 SoC,通過設計技術共優化(Design Technology Co-Optimization),以改善 SoC 功耗、性能、面積和成本。2023 年 7
110、月,與愛立信合作使用 18A 制程開發 5G 芯片。在 23Q4 業績電話會,公司宣布與 4 家客戶簽約,當中已有客戶支付了一筆較大的預付款。我們認為現階段尋找足夠高質量客戶是 Intel 18A 成功的關鍵,短期來看客戶的增加,尤其是支付預付款的客戶,體現了對于英特爾制程追趕計劃的信心。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。21 英特爾英特爾(INTEL)(INTC US)我們認為長期來看先進制程將逐漸引領營收我們認為長期來看先進制程將逐漸引領營收和盈利的和盈利的增長增長。假設“四年五假設“四年五節點”節點”能能順利順利按按時時完成,英特爾完成,英特爾將將重回先進制程領
111、先地位,憑借其豐富的技術積累有望保持優勢。重回先進制程領先地位,憑借其豐富的技術積累有望保持優勢。屆時,公司將盡量把代工廠的產能填滿,提高產能利用率,進而大幅降低成本。英特爾首席財務官 David Zinsner 在 2023 年 6 月的在線研討會上表示,在 2026 及 2027 年先進制程取得領導地位之后,有望大幅提升代工服務營收,最終毛利率有望達到 60%。圖表圖表27:英特爾代工服務加速器英特爾代工服務加速器 EDA聯盟成員聯盟成員 圖表圖表28:英特爾代工服務加速器英特爾代工服務加速器 IP 聯盟成員聯盟成員 資料來源:英特爾官網,華泰研究 資料來源:英特爾官網,華泰研究 先進封裝
112、技術積累為代工業務錦上添花和產生協同先進封裝技術積累為代工業務錦上添花和產生協同 隨著高性能 AI 芯片的崛起,先進封裝逐漸成為提高晶體管密度的關鍵。根據咨詢公司 Yole Group 2023 年的預測,先進封裝的市場規模在 2022 年達 443 億美元,預計到 2028 年將超過 780 億美元,年復合增長率為 10%。我們認為先進封裝的作用在于:1)通過多層堆疊在不改變制程的前提下有效提高晶體管密度;2)實現 Chiplet 異構集成,連接不同種類不同制程的芯片,提高整體良率及降低成本。英特爾在先進封裝領域一直處在行業領先地位,擁有 2.5D 封裝 EMIB(embedded mult
113、i-die interconnect bridge,嵌入式多芯片互聯橋)和 3D 封裝技術 Foveros,這些技術均迭代多次。公司在 23Q3 電話會中提到,封裝和代工服務之間具協同效應,目前已獲兩家AI芯片客戶并和六家客戶積極推進。在 23Q4電話會,公司表示 23 年先進封裝客戶總數達 5 家,其中大部分將于 2025 年開始貢獻收入;在晶圓和先進封裝領域為 IFS 提供的生命周期交易價值現已超過 100 億美元。圖表圖表29:2023-2028 全球先進封裝市場收入增速(單位:億美元)全球先進封裝市場收入增速(單位:億美元)圖表圖表30:EMIB2.5D 封裝結構圖封裝結構圖 資料來源
114、:Yolegroup 官網,華泰研究 資料來源:英特爾官網,華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。22 英特爾英特爾(INTEL)(INTC US)EMIB 主打低成本異構集成,主打低成本異構集成,Foveros 突出高性能突出高性能 3D 堆疊堆疊 與臺積電 CoWoS 傳統 2.5D 封裝采用的硅中介層結構不同,英特爾直接將小型硅橋嵌入基板中實現芯片之間的互聯,在互聯效率相似的基礎上不需要花較高成本來制造足夠大的硅中介層。我們認為相比 2.5D CoWoS 封裝,EMIB 有如下提升良率和降低成本的優勢:1)采用硅橋而不是整片硅中介層;2)無需使用硅通孔技術
115、(TSV);3)設計簡單,靈活度高,芯片封裝不會受制于硅中介層的大小。經過多年沉淀該技術凸點間距不斷縮小,第三代EMIB 凸點間距將從 55m 縮至 45m,傳輸效率不斷提高。目前,該封裝技術已應用至Ponte Vecchio GPU 和第四代服務器 CPU Sapphire Rapids。Foveros 3D 封裝是英特爾在 2019 年推出的芯片到芯片(die-to-die)堆疊技術,通過硅通孔(TSV)技術和微凸塊實現邏輯芯片間直接互聯。Foveros Omni 將承載功率的 TSV 引至頂部芯片邊緣,減少其對信號的干擾,同時結構的改變取消了頂部芯片面積必須小于底部芯片的限制。Forve
116、ros Direct 使用混合鍵合技術,凸點間距降低至 10m 以下,帶來更低的電阻和功耗,相對于同樣采用混合鍵合技術的臺積電 SoIC N5,其凸點距離為 6m。另外,英特爾 Co-EMIB 技術使用 EMIB 連接多個 Foveros 封裝,實現在水平和垂直方向的高密度互聯和芯片設計靈活性。Meteor Lake 就使用了 Co-EMIB 集成 Base Tile(Intel 16)、Compute Tile(Intel 4)、GPU Tile(TSMC N5)、SoC Tile(TSMC N6)和 I/O Tile(TSMC N6)。圖表圖表31:Intel EMIB 和和 Fovero
117、s 工藝芯片封裝結構圖工藝芯片封裝結構圖 資料來源:techpowerup,華泰研究 圖表圖表32:英特爾新進封裝工藝介紹英特爾新進封裝工藝介紹 資料來源:英特爾官網,華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。23 英特爾英特爾(INTEL)(INTC US)英特爾英特爾在在 2023 年年 9 月月宣布推出玻璃基板,引領先進封裝未來。宣布推出玻璃基板,引領先進封裝未來。與傳統基板相比,玻璃基板高溫下形變減少 50%,其超平坦度電特性能顯著改善光刻焦深和互聯的尺寸穩定性,使玻璃基板上的互聯密度可提高十倍。同時玻璃在高溫下穩定的結構提高了信號完整性和能量效率,加速芯片
118、之間數據傳輸速度。英特爾表示已深耕玻璃基板研究近十年,擁有一條完全集成的研發線,預計在 2025-2030 年開始量產,有望開創新的先進封裝時代。圖表圖表33:英特爾玻璃基板優勢介紹英特爾玻璃基板優勢介紹 資料來源:英特爾官網,華泰研究 我們認為短期內先進封裝將成為英特爾代工業我們認為短期內先進封裝將成為英特爾代工業務的營收主要務的營收主要來源來源,并為代工業務產生協同,并為代工業務產生協同效應效應。2023 年 6 月臺積電 CoWoS 先進封裝產能告急,成為 AI 芯片產能瓶頸,臺積電預計24 年擴大至少兩倍產能大幅提高承接能力。所以對于英特爾來說 23 年是推廣先進封裝技術的關鍵一年,通
119、過允許客戶單獨采購先進封裝,可承接因臺積電產能不足溢出的封裝訂單深化和客戶的合作,憑借性價比較高的 EMIB 先進封裝,英特爾也收獲了不少客戶,目前亞馬遜、思科、美國國防部等已承諾使用其封裝技術。在足夠的訂單下,英特爾能迅速提升 3D 封裝的工藝水平,加速技術迭代追趕臺積電,同時擴大產能。2021 年,英特爾宣布投資約 105 億美元,用于新墨西哥州里奧蘭喬和馬來西亞工廠的先進封裝制造。其中新墨西哥州的先進封裝工廠 Fab 9 已于 2024 年 1 月開業,該工廠著力于 3D Foveros 等先進封裝技術,是美國第一家大規模生產全球最先進封裝解決方案的工廠;馬來西亞的設施預計將于 2024
120、 年投入生產。接著 2023 年 8 月 DigiTimes Asia 報道,英特爾承諾在馬來西亞額外投資 60 億美元,用于在檳城建設 3D 先進封裝工廠和在居林建設測試工廠,預計將于2024-2025 年投入大規模生產。英特爾技術生產部門副總裁 Robin Martin 表示,2025 年3D Foveros 產能將增加四倍。我們認為,未來英特爾的玻璃基板技術有望成為基石技術,取得先進封裝領先地位,提振業務發展潛力。四大關鍵因素助力英特爾在四大關鍵因素助力英特爾在 2030 年實現集成一萬億個晶體管目標年實現集成一萬億個晶體管目標 英特爾 CEO Pat Gelsinger 在 2023
121、年 3 月于麻省理工學院的訪談里做了一個很好的總結。他表示摩爾定律中描述的晶體管數量兩年翻一倍的黃金時代或雖暫告一段落,但技術創新仍能持續挑戰摩爾定律的底線。他認為目前業界發展的可見度將維持在十年。隨著與摩爾定律相關的經濟效應減緩,他預計未來晶體管數量翻倍速度或延緩至三年一次,而他也認為晶體管的數量,將會從目前的 1000 億個,至 2030 年前增加至一萬億,4 大關鍵因素為:1)新型柵極)新型柵極 Gate-All-Around 技術的采用:技術的采用:解決了晶體管漏電流的問題;2)背部供電技)背部供電技術:術:通過 RibbonFET 和 PowerVia 工藝從背面而非頂面進行功率傳輸
122、,創建了三明治晶圓結構,能有效解決功率和晶體管密度的問題;3)光刻技術:)光刻技術:通過采用 13.5 nm EUV 和下一代 High NA 光刻技術打造芯片;4)3D 封裝技術:封裝技術:芯片從傳統的二維轉變為三維堆疊,能進一步增加晶體管數量。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。24 英特爾英特爾(INTEL)(INTC US)磨杵成針,成熟制程磨杵成針,成熟制程合作合作終成定果終成定果 英特爾與英特爾與 UMC(聯華電子公司聯華電子公司)達成達成協議協議合作代工成熟制程,合作代工成熟制程,實現實現產能利用率與毛利率產能利用率與毛利率雙雙增增。在 IDM 1.0 模
123、式中,服務器與桌面 CPU 制程迅速迭代,導致成熟制程利用率較低。英特爾在 2022 年 2 月曾欲通過并購 Tower 擴張成熟制程芯片代工業務,但交易未獲批準并于 2023 年 8 月終止。英特爾此后未放棄擴張成熟制程代工業務,并最終于 2024 年 1 月與UMC 達成合作協議。合作將利用英特爾在美國亞利桑那州 Fab 12、22、32 工廠的大批量生產能力和成熟的 FinFET 生產技術,以及 UMC 數十年的芯片代工經驗,協作開展 12nm代工業務。我們認為,此舉將幫助英特爾利用 UMC 豐富的代工經驗,開展成熟制程芯片代工業務,提升工廠廠能利用率并改善毛利率。美國制造大美國制造大趨
124、勢下英特爾適逢其會趨勢下英特爾適逢其會,借補貼加速擴產欲在借補貼加速擴產欲在 2030 年年成為世界第二代工廠成為世界第二代工廠 最后,最后,芯片產業逆全球化之風盛行,美國急需本土企業提振本地制造水平,英特爾擴產計芯片產業逆全球化之風盛行,美國急需本土企業提振本地制造水平,英特爾擴產計劃恰逢其時。劃恰逢其時。英特爾的制程追趕英特爾的制程追趕也也迎合了美國希望迎合了美國希望重塑全球半導體產業鏈,將制造重心轉重塑全球半導體產業鏈,將制造重心轉移回移回本土的需求,本土的需求,英特爾英特爾或將成為主要補助對象?;驅⒊蔀橹饕a助對象。2020 年疫情以來,半導體產業鏈的陰霾讓各國加碼重視芯片行業的本地化
125、。歐洲芯片法案2023 年 9 月 21 日正式生效,預計累計投入 430 億歐元用于支持歐洲半導體產業,旨在實現 2030 年將市場份額翻倍至 20%。2022 年拜登正式簽署芯片與科學法案(Chips and Science Act)為美國半導體產業提供了 527 億美元補貼,計劃在 2030 年推動半導體產業回流美國,重掌主導權。根據華爾街日報官網 1 月 27 日的報道,拜登政府計劃 3 月底前宣布發放芯片與科學法案的第三筆補貼,預計英特爾、臺積電和其他半導體龍頭公司將獲得數十億美元,來加快推進全美各地新工廠的建設。因此,巨頭們包括臺積電、三星和英特爾爭相宣告擴建計劃。根據美國商務部預
126、計,芯片與科學法案補貼的金額將占芯片制造商資本支出的 5%-15%。英特爾的 IDM2.0 正逢其時借此機會迅速擴展晶圓廠版圖。公司自 2021 年起斥資超過 980億美元,分別在美國、德國、以色列共新建 6 座晶圓廠,包括在亞利桑那州和俄亥俄州共建設 4 座先進制程圓晶廠,預期 2025 年投產生產 18A 和 20A 芯片,總投資將至 600 億美元,并預計獲得美國政府高額補貼,德國政府109億美元補貼和以色列政府32億美元補貼。我們預計英特爾先進制程若如期而至,在建工廠在 2025 年之前如期完工,英特爾有望重回先進制程的領先地位并擁有充足產能,在群雄逐鹿的半導體代工競賽中擁有明顯競爭優
127、勢。我們認為,英特爾的優勢有三:1)先進制程的領先地位:)先進制程的領先地位:2024 年下半年,若英特爾 18A能量產并開放代工,將確立其先進制程領先地位,公司預計其性能應優于 2025 年臺積電的2nm 工藝;2)全球布局供應鏈產能充足:)全球布局供應鏈產能充足:多個建廠計劃推動下 2025 年英特爾產能將分散并提升,能滿足先進制程需求。若有足夠的客戶數量則能滿產降低成本,進一步擴大價格優勢;3)因因地緣政治地緣政治緊張緊張:美國芯片與科學法案旨在增加美國本土芯片產業份額,英特爾作為擁有尖端技術的美國本土企業預計將獲得更多補貼。圖表圖表34:Intel 美國工廠投資計劃美國工廠投資計劃 地
128、區地區 投資金額投資金額 投資目的投資目的 預計完工時間預計完工時間 俄勒岡州 數十億美元 工廠擴建和現代化改造(包括引入全球首臺high-NA EUV 光刻機)-亞利桑那州 200 億美元 新建兩座先進晶圓廠(18A、20A)2025 新墨西哥州 35 億美元 先進半導體封裝技術(包括 Foveros 3D)2025 俄亥俄州 200 億美元 新建兩座先進晶圓廠(18A、20A)-注:新墨西哥州先進封裝工廠中的 Fab 9 已于 2024 年 1 月開業 資料來源:公司官網,華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。25 英特爾英特爾(INTEL)(INTC US
129、)英特爾扎根美國制造業英特爾扎根美國制造業 50 年年,未來將繼續擴大投資。,未來將繼續擴大投資。俄勒岡州為英特爾半導體研究、技術開發和制造的創新中心,自 1974 年破土動工以來,英特爾累計已投資總額達 590 億美元,未來還將投資數十億美元用于工廠擴建和現代化改造,包括在 2023 年底引入全球首個高數值孔徑極紫外光刻機(high-numerical aperture EUV lithography tool)。公司已在俄勒岡州的晶圓廠安裝全球首個高數值孔徑 EUV 光刻機,旨在應對 18A 之后的挑戰。根據TechNews 在 23 年 12 月 22 日的報道,該設備每臺成本約 3-4
130、 億美元。英特爾在亞利桑那州歷史投資達 345 億美元,未來計劃新建兩座先進晶圓廠,生產 18A 和 20A 制程芯片,預計將于 2025 年完工,投資總額將達 300 億到 400 億美元;在新墨西哥州已投資 167 億美元,未來將再投資 35 億美元于更新先進半導體封裝技術(包括 Foveros 3D)。在俄亥俄州,英特爾計劃投資超過 300 億美元建設兩座先進晶圓廠,生產 18A 和 20A 制程芯片,預計將于 2025 年完工,從而為美國芯片制造建立新的區域經濟集群。正如 CEO 基辛格在以上的麻省理工訪談里稱,“Lets turn the Rust Belt into the Sil
131、icon Heartland.”圖表圖表35:亞利桑那州的亞利桑那州的 Fab 42 工廠工廠 圖表圖表36:俄亥俄州工廠規劃圖俄亥俄州工廠規劃圖 資料來源:公司官網,華泰研究 資料來源:公司官網,華泰研究 英特爾參與美國國防部先進芯片代工與制造英特爾參與美國國防部先進芯片代工與制造 RAMP-C、SHIP 項目,項目,IFS 業務因此受益。業務因此受益。國際半導體產業協會數據顯示,截至 2020 年,亞洲占全球芯片產能的 79%,這使得美國國防部在獲取確保國家安全的芯片代工能力受限。RAMP-C 項目旨在促進使用美國本土的商業半導體晶圓廠生態系統,制造對國防部至關重要的先進半導體產品。英特爾
132、與 IBM、Cadence、Synopsys 等廠商合作,通過建立半導體生態系統來支持美國政府設計和制造先進處理器的需求,并在 18A 工藝上開發和制造芯片。此外,美國國防部與英特爾在 SHIP二期項目達成合作,將政府專用芯片與英特爾的商用產品(包括 FPGA、ASIC、CPU)結合,利用公司的美國制造能力為政府提供芯片。然而,美國政府為了確保公司正確使用資金,在申請補貼時要求公司提供詳細的企業運營數據,包括按晶圓類型的產能、利用率、預期晶圓良率、生產第一年的售價、每年的產量和價格上的變化等機密數據。我們認為,方案的實施將對英特爾美國工廠的建設、高質量人才培養、財務表現的提升、供應鏈穩定性等產
133、生重大益處。我們預計其他晶圓我們預計其他晶圓代工代工廠商因為缺乏在海外建廠的經驗,晶圓廠量產時間和生產成本效率廠商因為缺乏在海外建廠的經驗,晶圓廠量產時間和生產成本效率或將不及或將不及他們他們預期。預期。因此,我們認為臺積電成本的升高和美國的豐富補貼將讓英特爾代工因此,我們認為臺積電成本的升高和美國的豐富補貼將讓英特爾代工服務成本具有競爭優勢。服務成本具有競爭優勢。比如說,臺積電因缺少擁有足夠經驗的建筑工人,在美國亞利桑那州工廠將量產時間從 2024 年延后至 2025 年。臺積電將投資 400 億美元在亞利桑那州建造兩座晶圓廠負責生產先進制程芯片:第一座工廠一期工程已于 2022 年完工,正
134、在追加投資擴大產能,預期于 2024 年開始生產 N4 節點芯片;第二座工廠預計于 2026 年上線,將用于生產 N3 新一代節點的芯片,并有望能獲得美國政府高達 150 億美元的補貼。為了加快施工進度,臺積電從中國臺灣地區派遣約 500 名有經驗的建筑工人到美國工作,而此舉還遭到當地工會的反對。我們認為這問題只是冰山一角,東西方文化差異和薪資差異也將嚴重影響臺積電招募員工速度。晶圓廠完工后,臺積電或將從臺灣地區持續向美國工廠輸送工程師緩解人才壓力。人才缺失將導致生產效率降低,同時美國高昂的人力成本將增加臺積電的生產成本。臺積電創始人張忠謀表示在美國制造芯片的成本比中國臺灣貴 50%。免責聲明
135、和披露以及分析師聲明是報告的一部分,請務必一起閱讀。26 英特爾英特爾(INTEL)(INTC US)而三星自 2007 年在德克薩斯奧斯汀運營一家圓晶廠,主要生產 65nm 到 14nm 芯片,三星也將在德克薩斯的泰勒投資 250 億美元建設先進制程圓晶廠,預期 2024 年投產生產 4nm芯片。圖表圖表37:各國半導體補貼政策細則各國半導體補貼政策細則 政策名稱政策名稱 出臺時間出臺時間 國家國家 補貼名稱補貼名稱 補貼詳情補貼詳情 限制條件限制條件 芯片和科學法案 2022 年 8 月 9 號 美國 美國芯片基金 370 億美元用于建設美國國內先進制程半導體制造、組裝、測試、高級封裝的相
136、關設施 20 億美元用于補助汽車和國防系統所使用的成熟制程芯片,預計為公司提供資本支出的 5-15%,最高不超過 35%110 美元用于商務部的研究與開發活動(R&D)提供給國家半導體技術中心(NSTC),國家先進封裝制造項目,美國半導體制造研究所和微電子計量研發項目 1)接受超過 1.5 億美元的企業需要上交部分明顯超過公司預測的利潤 2)禁止公司使用該資金用于股息或股票回購 3)禁止企業在接收資助 10 年內在受關注國家進行任何半導體產能擴張 4)禁止受資企業與受關注的外國實體進行任何涉及國家安全的聯合研究或技術許可活動 美國芯片勞動力與教育基金 2 億美元用于培養國內半導體人才 美國芯片
137、國防基金 20 億美元用于構建以大學為基礎的全國性微電子原型設計網絡,加速實驗成果落地 美國芯片國際安全和創新基金 5 億美元用于促進國際企業合作,保護通訊技術安全并建立安全可靠的半導體供應鏈 公共無線供應鏈創新基金 15 億美元用于資助美國移動寬帶市場中創新的、突破性的技術 稅收抵免 240 億美元為半導體制造投資提供 25%的投資稅收抵免 歐洲芯片法案 2022 年 2 月 8 號 歐洲 歐洲芯片倡議 110 億歐元(約 118 億美元)公共資金資助用于支持開發設計平臺和建立試驗線等活動,以加速半導體創新和生產 1)資金必須投資于下一代芯片(2nm 以下)的制造,接受歐盟委員會監督 2)禁
138、止公司履行第三國施加的可能削弱其在歐生產能力的義務 3)危機時期優先生產歐盟相關訂單 供應鏈安全 430 億歐元(約 460 億美元)公共和私人資金用于對芯片制造商及其供應商的制造設施進行投資,確保供應鏈安全 危機監測和應對 在各成員國之間建立協調監測機制,確保發生半導體危機時供應的連續性 5G 促進法修正案 2021 年 12 月 6 號 日本 后5G情報通訊系統基礎強化研究開發基金 提供最高 50%補貼用于特定半導體生產設施建設,擴建提。2022 年預算為 6170 億日元(約 41 億美元),2023 年已經為臺積電和 Rapidus 提供了超過 1 萬 4000 億日元(約 92億美元
139、)的補貼 1)保證在日本十年以上的持續生產 2)在半導體供需緊張時及時增加產量穩定日本國內半導體供應 NEDO 法修正案 特定半導體基金 提供最高 50%補貼用于企業,研究機構和大學對后 5G 技術,先進半導體設計制造的研發資金,2022 年預算為 1100億日元(約 7 億美元)。確保穩定供應支持基金 提供最高三分之一,150 億日元的補貼用于企業升級半導體生產設施,保障半導體國內穩定供應,2022 年預算為 470億日元(約 31 億美元)。資料來源:美國白宮官網,日本貿易振興機構官網,歐洲芯片法案官網,華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。27 英特爾英特
140、爾(INTEL)(INTC US)競爭格局:競爭格局:臺積電市占率遙遙領先,英特爾臺積電市占率遙遙領先,英特爾將依靠先進制程奮起直追將依靠先進制程奮起直追 全球半導體行業的運營模式主要分為三種:全球半導體行業的運營模式主要分為三種:(1)Fabless 模式:專注于芯片設計而不參與制造過程,代表公司包括 AMD、蘋果、高通、英偉達等;(2)Foundry 模式:專注于為其他企業生產芯片,而不涉及設計,一般跟客戶沒有正面競爭關系,代表性企業有臺積電、中芯國際和格芯等;(3)IDM 模式:既設計芯片也負責制造,三星和英特爾是此模式的代表性企業。IDM 模式不僅能提供全面的生產控制權,在供應鏈管理方
141、面也更具靈活性和穩定性,尤其在市場產能緊張時期,同時還能緊貼市場響應和技術創新,并有助于長期成本控制,保護知識產權,并減少技術泄露風險等好處。反過來,前期大量成本的投放也不是所有企業能承受。英特爾通過 IFS 業務開放自身代工能力,能提升晶圓廠產能利用率,進而為公司帶來規模效益,提升運營效率。AI 浪潮激發了高性能計算(浪潮激發了高性能計算(HPC)芯片的強勁需求)芯片的強勁需求,臺積電、三星,臺積電、三星和英特爾和英特爾在先進制程上在先進制程上三足鼎立三足鼎立。根據 2023 年 10 月 23 日 DIGITIMES 研究中心發展報告中指出,23 年半導體行業下行周期導致全球晶圓代工產業營
142、收下滑至 1215 億美元,同比減少 13.8%。但長遠來看半導體市場仍然充滿潛力,預計 2023-28 年全球晶圓代工營收年復合增長率(CAGR)將達 11.3%,生成式 AI 將帶動 HPC 結構性需求持續增長。雖然臺積電 22 年 AI 收入占比僅 6%,但公司預期 22-27 年 AI 業務收入 CAGR 將為 50%,到 27 年收入占比將接近 10%,算力的需求加劇了晶圓廠巨頭研發先進制程的競爭。在半導體行業,先進制程技術的發展呈現出資金和技術壁壘不斷提高的趨勢,導致行業格局逐漸向少數領導者集中。隨著制程領先的縮小,晶圓代工廠也面臨著必須投入高額資本,用于采購更高級的設備和新建產線
143、,以維持在市場中的競爭地位。圖表圖表38:制程制程的歷史演進的歷史演進 資料來源:各公司官網,華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。28 英特爾英特爾(INTEL)(INTC US)半導體巨頭紛紛參與半導體巨頭紛紛參與 2nm 工藝競賽工藝競賽,競爭白熱化,競爭白熱化 先進制程為未來芯片發展方向,競爭優勢明顯。先進制程為未來芯片發展方向,競爭優勢明顯。在服務器芯片中,通過在相同面積的芯片上實現更小、更緊密的晶體管布局,不僅能實現處理速度和計算能力的顯著提升,還降低了芯片的功耗與發熱,增強了能源效率。而在終端設備中,晶體管尺寸的縮減進一步推動了包括智能手機和筆記本
144、電腦等更輕便、便攜設備的發展。此外,提高的晶體管密度不僅意味著尺寸和能效的優化,還使得集成更多高級功能(如先進的圖形處理單元和人工智能處理器)成為可能。而對于芯片制造廠商來說,先進制程芯片的單位面積價格更高,創收能力更強,因此成為半導體制造三巨頭白熱化競爭的領域。臺積電:臺積電:計劃于 2025 年開始量產其全環繞柵極(GAA)N2 2nm 工藝,并將于 2025 年下半年推出 N2P/N2X 平臺,2026 年進入量產。臺積電表示,N2 將比 N3E 工藝在速度上提高 15%,在功耗上減少 30%。其首個生產 N2 芯片的工廠位于中國臺灣新竹科學園區,同時在高雄也有規劃 N2 工廠。英特爾:
145、英特爾:目標在 2024 年上半年推出 20A 工藝,隨后在 2024 年下半年跟進 18A 工藝。20A工藝采用 RibbonFET 和 PowerVia 背面電源傳輸解決方案,預計將提高 15%的每瓦特性能。英特爾在亞利桑那州和俄亥俄州投資200億美元擴建產能,包括兩座新工廠(Fab 52和62),預計將在 2025 年第三季度投產。此外,英特爾還計劃在德國馬德堡投資 300 億歐元建設先進制程工廠,預計 2027 年投入運營。三星:三星:將于 2025年開始大規模生產基于 SF2工藝(2nm)的移動芯片,采用和臺積電CoWoS類似的 I-Cube 封裝技術;2026 年擴展到高性能計算(
146、HPC)應用,2027 年擴展到汽車應用。SF2 節點的迭代 SF2P,預計將于 2026 年投入大規模生產。三星披露,其 2nm 工藝將比 3nm 性能提高 12%,能效提高 25%。其韓國平澤市制造基地正在進行多階段開發,第四階段預計將于 2024 年第一季度進入試生產階段,投資額超過 220 億美元。圖表圖表39:臺積電、英特爾、三星先進制程工廠建設計劃臺積電、英特爾、三星先進制程工廠建設計劃 公司公司 地點地點 節點節點制程制程 預計投入運營時間預計投入運營時間 英特爾 美國亞利桑那州+俄亥俄州 先進制程 2025 英特爾 德國馬德堡 2nm 及以下 2027 臺積電 中國臺灣新竹+高
147、雄 2nm 2025 臺積電 美國亞利桑那州 3nm 2026 三星 美國德克薩斯州 4nm 2024 三星 韓國平澤(四期)先進制程 2025 資料來源:Toms Hardware 官網,Digitimes 官網,各公司官網,華泰研究 目前晶圓代工市場中,臺積電憑借制程和產能目前晶圓代工市場中,臺積電憑借制程和產能的領先地位的領先地位一騎絕塵一騎絕塵。我們認為,未來晶圓我們認為,未來晶圓代工市場的競爭將圍繞先進制程研發和對逆全球化局勢的應用。代工市場的競爭將圍繞先進制程研發和對逆全球化局勢的應用。在市場研究機構Counterpoint Research2023 年 11 月 30 日發布的全
148、球晶圓代工市場報告中,臺積電市場份額達 57%,三星市場份額為 14%,前五大晶圓廠營收占比 92%。晶圓代工行業呈現一超多強的競爭格局,臺積電穩坐首位占據絕大部分先進制程訂單,三星承接其溢出部分,以及生產內部的 SoC 和儲存芯片,剩下的競爭者則聚焦成熟制程,關注產品差異化競爭。隨著中美芯片競爭白熱化,晶圓產業也面臨愈更多地緣政治問題,同時美國歐洲紛紛出臺半導體補貼法案,促使該產業未來進行區域轉移。我們認為地緣政治是危也是機,代工廠可利用各國補貼政策擴大全球晶圓廠布局和產能,分散及規避地緣風險。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。29 英特爾英特爾(INTEL)(IN
149、TC US)圖表圖表40:2021Q4-2023Q2 半導體半導體代工廠代工廠市場份額市場份額 圖表圖表41:各晶圓廠芯片制程路線圖各晶圓廠芯片制程路線圖 資料來源:Counterpoint 官網,華泰研究 資料來源:英特爾官網,三星官網,臺積電官網,格芯官網,華泰研究 臺積電:晶圓代工模式的開創者,慎終于始的制程開發者臺積電:晶圓代工模式的開創者,慎終于始的制程開發者 臺積電成立于 1987 年,作為全球首家晶圓代工廠,通過制程的不斷超越成為晶圓代工龍頭。在 28nm 節點選擇后閘極(Gate-last)方案率先完成突破,超越三星和格芯。2018 年使用氟化氬完成 7nm 制程,超越英特爾開
150、啟了臺積電的領先時代。我們認為臺積電競爭優勢有三:1)先進制程的領先地位:先進制程的領先地位:代工模式不需要芯片設計,而工藝研發經費達營收的 8%,臺積電能集中發展先進制程,推動研發速度;2)憑借憑借規模效應規模效應降低成本:降低成本:作為代工廠商不斷擴產提升產能和規模效應,提升良率并降低成本;3)與客戶間的信任關系:與客戶間的信任關系:代工廠商與IDM 廠商不同,與客戶沒有競爭關系,而臺積電與客戶是利益共同體。如今臺積電擁有全球絕大部份先進制程產能,其營收占比也如今臺積電擁有全球絕大部份先進制程產能,其營收占比也逐年提高,同時越先進的制程逐年提高,同時越先進的制程營收增速越高。營收增速越高。
151、代工生態和客戶基礎也共同構筑臺積電護城河。代工生態和客戶基礎也共同構筑臺積電護城河。臺積電和各大代工客戶,以及許多 EDA(如 Synopsys、Siemens EDA 等)、IP 設計公司(如 ARM 等)有著長期和緊密合作,深度綁定代工生態。在人工智能的迅猛發展下,高算力芯片需求暴漲。AMD、英偉達、聯發科、高通等都宣布在下一代產品使用臺積電 3nm 制程。蘋果作為第一大客戶,目前更占據了臺積電 90%的 3nm 產能。圖表圖表42:臺積電營業收入變化(單位:新臺幣十億元)臺積電營業收入變化(單位:新臺幣十億元)圖表圖表43:臺積電臺積電 23Q4 分制程收入占比分制程收入占比 資料來源:
152、臺積電官網、華泰研究 資料來源:臺積電官網、華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。30 英特爾英特爾(INTEL)(INTC US)圖表圖表44:臺積電分地區收入貢獻(單位:新臺幣十億元)臺積電分地區收入貢獻(單位:新臺幣十億元)圖表圖表45:臺積電下游分地區收入占比臺積電下游分地區收入占比 資料來源:臺積電官網、華泰研究 資料來源:臺積電官網、華泰研究 圖表圖表46:臺積電臺積電 23Q4 分下游收入占比分下游收入占比 圖表圖表47:臺積電臺積電 23Q4 下游收入環比增速下游收入環比增速 資料來源:臺積電官網、華泰研究 資料來源:臺積電官網、華泰研究 臺積電
153、正與蘋果、聯發科、高通和英偉達合作,開發基于其先進的臺積電正與蘋果、聯發科、高通和英偉達合作,開發基于其先進的 3nm 和和 2nm 工藝的芯工藝的芯片。片。蘋果采用臺積電的 3nm(N3)工藝,用于其 iPhone 15 Pro 和 Pro Max 型號中的 A17 Pro 芯片,以及搭載 M3 處理器的 Mac 系列產品;Digitimes Asia 于 2022 年 4 月 22 日報道,蘋果還計劃采用臺積電的 2nm 工藝,并可能成為其 N2 節點的首批客戶之一。另一方面,聯發科于 2023 年 9 月 7 日宣布其已成功與臺積電共同開發了一款 3nm 芯片,用于其Dimensity
154、5G 智能手機芯片組,預計 2024 年進入大規模生產。2023 年 10 月 25 日高通在2023 驍龍峰會中推出 Snapdragon 8 Gen 3,基于臺積電的 4nm 節點,根據 wccftech 官網在 23 年 12 月 1 日的報告,其 Snapdragon 8 Gen 4 將在 2024 年轉移到臺積電 3nm 節點。而據 Digitimes Asia 于 2023 年 9 月 26 日進一步報道,英偉達的 B100 GPU 將會采用 3nm工藝,并準備在 24 年 4 季度推出。17%27%-29%13%-35%-16%-40%-30%-20%-10%0%10%20%30
155、%40%HPC智能手機loT汽車DCE其他 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。31 英特爾英特爾(INTEL)(INTC US)圖表圖表48:臺積電臺積電 3nm 制程研發進展制程研發進展 資料來源:臺積電 23 年技術研討會、華泰研究 各國政府半導體補貼或將成為雙刃劍。各國政府半導體補貼或將成為雙刃劍。隨著半導體產業逆全球化不斷發展,各國對半導體產補貼不斷,臺積電也迅速在全球開展擴產計劃。2021 年起在美國亞利桑那州新建兩座晶圓廠、在日本熊本縣新建兩座晶圓廠、在德國新建一座晶圓廠,以上將于 2025 年起陸續開始量產,鞏固領先地位。不過,我們也應注意到半導體補貼對
156、臺積電的限制,日本歐洲的限制條例中規定了要首先保證該國半導體的供應,美國出臺的條例更是限制了補貼公司在受關注國家擴大先進制程產能。此外,臺積電堅持將最頂尖的制程放在中國臺灣,根據路透社報道,公司首席執行官2023年7月表示將繼續扎根中國臺灣,2014年1月Taipei Times報道,臺積電重申中國臺灣是臺積電全球擴張的中心,公司正在新竹縣寶山興建一座 2nm晶圓廠,預計 2025 年開始商業化生產,并計劃在高雄新建兩座 2nm 晶圓廠,以及在臺中市建設一座 2nm 后節點圓晶廠,同時考慮在嘉義縣建設 1nm 圓晶廠,相比之下,公司在美國亞利桑那州的 3nm 圓晶廠預計將在 2027-2028
157、 年才姍姍來遲。我們認為,各國的半導體補貼均要求將工廠建設于補貼國內,全球擴張進展緩慢或不利于臺積電獲取半導體補貼。與與大大客戶客戶緊密緊密合作加速制程迭代合作加速制程迭代利弊相生利弊相生,或使臺積電采取,或使臺積電采取較為較為保守保守的的技術。技術。與蘋果、AMD等大客戶緊密合作是臺積電加速技術演進,減少先進制程巨大資本開支風險的關鍵。根據DigiTimes 2023 年 5 月報道,蘋果將占據臺積電 2023 年 90%的 3nm 廠能。此外臺積電還與 AMD 合作,在 AMD MI300 中首次采用 SoIC 先進封裝技術。大客戶依賴也成為制約臺積電發展尖端技術的雙刃劍。根據 2023
158、年 8 月 The Information 報道,與客戶承擔不良芯片的行業慣例不同,臺積電與蘋果達成協議,在 iPhone 15 Pro 及其 A17 Bionic 芯片推出之前,不向蘋果收取有缺陷的 3nm 芯片費用。我們認為,臺積電未在 3nm 節點采用全環晶體管技術(GAAFET),以及不會在第一代 N2 節點導入晶背供電技術,而是更先進的 N2P制程采用,或與技術引入后會增加制程步驟,進而降低芯片良品率并增加公司成本相關,所以才決定在技術成熟后再引入。公司正積極推進 N2 工藝,首部機臺計劃 24 年 4 月進廠,并有望 25 年量產,N2P 計劃 2026 年底量產。此外,公司預計
159、27 年將推出 1.4nm 芯片,并在 2030 年實現 1nm 級 A10 制造工藝。競爭對手英特爾將在 Intel 20A 先進節點制程,采用自家研發的 GAAFET 與晶背供電技術,并在 2024 年有望率先實現 1.8nm 節點。相較之下,臺積電先進節點創新與冒險態度相對保守,可能使臺積電進步放緩,但也可為客戶提供更穩定的品質。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。32 英特爾英特爾(INTEL)(INTC US)圖表圖表49:臺積電臺積電技術路線規劃圖技術路線規劃圖 資料來源:臺積電官網、華泰研究 三星:三星:依托自家芯片設計部門依托自家芯片設計部門,追趕臺積電
160、先進制程承接溢出需求,追趕臺積電先進制程承接溢出需求 三星常年穩坐晶圓代工的第二把交椅,其能達到臺積電三分之一的市場份額全靠對先進制程的不斷追逐。2022 年 6 月 30 日,三星宣布使用 GAA 工藝的 3nm 芯片開始量產,但目前良率不高,對比臺積電在 3nm 里依然采用 FinFET 工藝。三星先進制程的持續突破為其爭得高通的訂單和其他臺積電外溢訂單,同時依托三星 LSI(系統半導體事業部門)的穩定需求,得以保持其市場份額。三星的競爭優勢在于:1)與臺積電相似的先進制程:)與臺積電相似的先進制程:三星可緩解臺積電產能不足問題,作為客戶的替代廠商;2)更低的芯片價格:)更低的芯片價格:臺
161、積電代工費用多次漲價,3nm 代工費用約為 19865 美元一片晶圓,三星則以其有競爭力的報價爭取到部分訂單;3)制衡臺積電:)制衡臺積電:作為現階段臺積電的競爭對手,在價格和性能相似的情況下,廠商們有理由去支持三星以此來約束臺積電繼續上漲代工價格。圖表圖表50:三星先進制程芯片路線圖三星先進制程芯片路線圖 資料來源:三星官網,華泰研究 三星良品率三星良品率或或成為其成為其能否能否獲得獲得更多更多份額的關鍵因素。份額的關鍵因素。早在 2020 年 7 月據 Digitimes 報道,三星 5nm 芯片良品率就存在問題。其代工的 5nm 工藝驍龍 888 和 4nm 工藝驍龍 8Gen1芯片均出
162、現了過熱和高功耗等問題,導致高通轉向臺積電 4nm 代工驍龍 8Gen1,能耗表現有較大的提升。由于為英偉達代工 RTX30 系列顯卡的三星 8nm 工藝良品率不及預期,英偉達將 40 系列顯卡全部轉為臺積電 4nm 進行代工。在最新一代 3nm 制程的競爭中,Digitimes 2021 年 7 月報道,三星 3nm 晶體管密度約為每平方毫米 1.7 億顆,而臺積電為2.9 億顆。更低的良品率和晶體管密度,或影響了三星代工廠對大客戶的吸引力。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。33 英特爾英特爾(INTEL)(INTC US)三星的三星的 3nm 制程有望成為轉折點,
163、承接臺積電溢出訂單。制程有望成為轉折點,承接臺積電溢出訂單。根據韓國媒體 Chosun Biz 2023年 10 月報道,三星和臺積電的 3nm 半導體良率或都難以超過 60%的水平。隨著臺積電和三星在 3nm 良品率上的激烈競爭,英偉達、高通、AMD 等大客戶為了獲得足夠的產能并降低臺積電的議價能力,正將部分訂單轉向三星代工廠。我們推測假若三星代工廠首先突破3nm 良品率等問題,訂單數量或將顯著升高,但若良品率未能提升,三星仍可承接小部分因臺積電產能受限溢出的訂單。三星三星工藝工藝規劃:規劃:2025 年量產年量產 2nm 節點,節點,2027 年量產年量產 1.4nm 節點。節點。根據三星
164、在年度三星代工論壇(SFF 2023)上公布的最新工藝技術路線圖,三星的 SF2 節點預計于 2025 年量產,并于 2027 年大規模生產 1.4nm 節點。SF2 工藝比前一代 SF3 在芯片面積上縮減 5%,同時實現了25%的功效提升與12%的性能增強。三星還為SF2工藝配置了包括LPDDR5x、HBM3P、PCIe Gen6 和 112G SerDes 等先進的 IP 組合。繼 SF2 之后,三星計劃在 2026年推出專為高性能計算(HPC)優化的 SF2P 工藝,并在 2027 年引入專為汽車應用優化的SF2A 工藝。在 2027 年,三星預期 SF1.4 制程技術進行量產。就 2n
165、m 工藝量產時間對比,三星與臺積電相近,二者均較英特爾的 20A 工藝晚約一年。格格芯:放棄先進制程針對成熟制程,芯:放棄先進制程針對成熟制程,差異化競爭漸入佳境差異化競爭漸入佳境 格芯 2018 年宣布放棄 7nm 及更先進的制程開發,將主要業務轉移到成熟的 14nm 工藝上,走上特色工藝之路。鑒于臺積電和三星在先進制程領域已構筑了較高的技術壁壘,即使繼續投入研發經費取得突破也難以追趕,倒不如集中火力將資金用于改良現有節點,專注需求明顯的物聯網、5G 和汽車領域。格芯通過七個半導體工藝平臺,來滿足客戶的多元化需求。在地緣政治緊張加劇的時代背景下中格芯作為美國的本土企業,美國的需求有望對其業績
166、產生積極影響,增加其市場份額。圖表圖表51:臺積電、英特爾、三星各節點代工客戶臺積電、英特爾、三星各節點代工客戶 節點節點 臺積電客戶臺積電客戶 三星客戶三星客戶 英特爾客戶英特爾客戶 10nm AMD,Apple,Broadcom,Huawei,MediaTek,Qualcomm IBM,NVIDIA,Qualcomm-7nm AMD,Apple,Broadcom,Intel,Marvell,NXP,Qualcomm Google,IBM,Qualcomm-4/5nm AMD,Apple,MediaTek,NVIDIA,Qualcomm,Tesla Qualcomm,Google,Groq,
167、Ambarella,Tenstorrent-3nm AMD,Apple,Broadcom,Intel,MediaTek,NVIDIA,Marvell,Qualcomm,Google NVIDIA,IBM,Qualcomm-2nm(18A)Apple,Fujitsu,NVIDIA-2023 年已簽下四家客戶 資料來源:Toms Hardware 官網,各公司官網,華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。34 英特爾英特爾(INTEL)(INTC US)圖表圖表52:2021 年以來臺積電年以來臺積電、三星三星、英特爾的晶圓廠擴建計劃英特爾的晶圓廠擴建計劃 公司公司
168、工廠名稱工廠名稱 建設狀態建設狀態 位置位置 規劃產能規劃產能(萬片萬片/月月)制程制程 投產時間投產時間 總投資總投資 (美元)(美元)補貼(美元)補貼(美元)臺積電 Fab20 P1-P4 在建 中國臺灣新竹市 10 2nm 2025 600 億*-Fab22 P1-P2 在建 中國臺灣高雄市 4 2nm 2025 300 億-Fab24*規劃在建 中國臺灣臺中市 4*2nm 2025 310 億-Fab21 P1 完工 美國亞利桑那州鳳凰城 2 5nm/4nm 2025 共計 400 億 期望得到 150億 Fab21 P2 在建 美國亞利桑那州鳳凰城 3 3nm 2026 Fab18
169、P5-P9 在建 中國臺灣臺南市 15*3nm 陸續建成 605 億-Fab23 P2 規劃在建 日本熊本縣菊代鎮 6 12nm/6nm 2027 133 億 約 60 億 ESMC 規劃在建 德國薩克森自由州德累斯頓市 4 12/16nm/22/28nm 2027 38 億 53 億 Fab23 P1 完工 日本熊本縣菊代鎮 5.5 12/16nm/22/28nm 2024 86 億 約 40 億 Fab16 P2 完工 中國江蘇省南京市 4*28nm 2023 28.87 億-三星 Tyler Fab 在建 美國得克薩斯州泰勒市 3 4nm 2024 250 億-Pyeongtaek Fa
170、bP4-P6 在建 韓國京畿道平澤市-陸續建成 760 億-Seoul Fab 規劃在建 韓國首爾市-陸續建成 2300 億-英特爾 Fab27(共兩座)在建 美國俄亥俄州利金縣-Intel 18A/Intel 20A 2025 200 億 20 億 Fab62 在建 美國亞利桑那州錢德勒市-Intel 18A/Intel 20A 2025 共計 200 億-Fab52 在建 美國亞利桑那州錢德勒市-Intel 18A/Intel 20A 2025-Fab29 在建 德國馬格德堡-Intel 18A/Intel 20A/1.5nm 2027 330 億 109 億 Fab38 在建 以色列加特
171、鎮-Intel 4/Intel 3 2027 250 億 32 億 注:*為 semiwiki 預測值 資料來源:臺積電官網,三星官網,英特爾官網,semiwiki 官網,華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。35 英特爾英特爾(INTEL)(INTC US)DCAI 業務業務:產品布局完善,制程差距有望收窄:產品布局完善,制程差距有望收窄 我們預計英特爾我們預計英特爾 DCAI 業務業務 2024/2025/2026 年的年的營業收入為營業收入為 166/193/223 億美元,對應營億美元,對應營收同比增速為收同比增速為 7%/16%/16%。DCAI 業務
172、 202122 年營收下降主要受 AMD 競爭壓力及制程落后,以及數據中心 CPU Sapphire Rapids 多番推遲至 1H23 所影響。2023 年起營收同比降幅逐漸收窄,主要由于 Xeon CPU 競爭力提升及 ASP 上升,從而增強了盈利能力。展望未來,考慮到英特爾在制程上進展穩健,最快有望于 2024 年底前能趕超臺積電,加上Xeon CPU 在性能和能耗雙線布局,能彌補以往短板,并有望與注重每瓦性能的 AMD 和具有較強能耗優勢的 ARM 匹敵,我們認為 202425 年 DCAI 業務將重回增長軌道。英特爾在數據中心與英特爾在數據中心與 AI 業務的布局廣泛業務的布局廣泛,
173、主要產品包括:1)英特爾 Xeon(至強)服務器CPU,包括 P 核和 E 核(尚未推出,預計 24 年上半年);2)Gaudi 系列 ASIC;及 3)FPGA。其中,我們認為其中,我們認為 Xeon 系列將系列將隨著基于隨著基于 Intel 3 的的 E 核產品推出,應與核產品推出,應與 AMD 制程漸進,而制程漸進,而Habana Gaudi 系列,作為系列,作為 AI 加速器與加速器與 CPU 搭配起來,在搭配起來,在 AI 推理端具備較強競推理端具備較強競爭力,或爭力,或也能與也能與 GPU 在在 AI 訓練端有一戰之訓練端有一戰之力力。GPU 產品 Falcon Shores(預計
174、 2025 年推出)曾被規劃為CPU+GPU架構,本應可與同為異構架構的 AMD MI300A及英偉達Grace Hopper在 AI 訓練端展開較量,但現在只為純 GPU 產品。我們將著重對 Xeon 和 Habana Gaudi系列產品進行分析。DCAI 業務也迎新領軍人物。業務也迎新領軍人物。2024 年 1 月 5 日,英特爾任命 Justin Hotard 為 DCAI 業務的新任總經理,該任命自 2024 年 2 月 1 日起生效。Hotard 在計算和數據中心轉型方面有 20多年的深厚經驗,以及在提供可擴展人工智能系統方面的領先經驗。他 Hotard 將負責監督包括英特爾至強處理
175、器、GPU 和加速器在內的關鍵產品線。他的任命標志著英特爾在數據中心和人工智能領域的戰略加強。Hotard 持有 UIUC(伊利諾伊大學厄巴納-香檳分校)的電氣工程學士學位和 MIT(麻省理工學院)的工商管理碩士學位。加入英特爾之前,他在慧與科技(HPE)擔任高級職位,專注于人工智能和高性能計算領域。他的背景包括在 NCR Small Business、美國訊寶科技公司和摩托羅拉公司的企業發展和運營經歷。圖表圖表53:英特爾在英特爾在 ISC 2023 公布的產品路線圖公布的產品路線圖 資料來源:英特爾官網,華泰研究 服務器服務器 CPU:制程制程加速追趕,加速追趕,E-Core 策略下有望從
176、策略下有望從 AMD 收復失地收復失地 英特爾原來的英特爾原來的“Tick-Tock”芯片制造與設計迭代模式被打破芯片制造與設計迭代模式被打破,10nm 量產深陷良率問題不斷量產深陷良率問題不斷推遲,與此同時,推遲,與此同時,AMD 聯手臺積電,在制程上不斷取得突破,在服務器端聯手臺積電,在制程上不斷取得突破,在服務器端 CPU 制程上彎制程上彎道超車,致使英特爾市場份額持續下滑。道超車,致使英特爾市場份額持續下滑。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。36 英特爾英特爾(INTEL)(INTC US)AMD 聯手臺積電聯手臺積電突破制程彎道超車,突破制程彎道超車,提升提
177、升在服務器在服務器 CPU 份額份額 由于在制造更先進制程芯片的過程中遭遇技術困難,英特爾 10nm 芯片良率不佳,過去十幾年沿用的“Tick-Tock”模式一年微架構的處理器晶片制程的更新(Tick),一年微處理器架構和性能的提升(Tock)的兩年一循環的芯片制造與設計迭代模式被打破,制程停滯于 14nm,而原定于 2016 年下半年的 10nm(相當于臺積電 7nm)量產多番推遲至 19 年下半年。觀其原因觀其原因,我們認為我們認為“Tick-Tock”模式的失效與英特爾模式的失效與英特爾 IDM 模式工序長模式工序長、成本高成本高,且兩階段互相牽制的缺陷相關,一旦制程進展停滯,芯片設計的
178、更新也必然受到掣肘;而且兩階段互相牽制的缺陷相關,一旦制程進展停滯,芯片設計的更新也必然受到掣肘;而AMD 早在早在 2008 年賣掉晶圓廠格芯后,專攻芯片設計,并將晶圓代工外包給行業龍頭臺積年賣掉晶圓廠格芯后,專攻芯片設計,并將晶圓代工外包給行業龍頭臺積電,電,這種分工合作的模式相對效率更高且風險較低這種分工合作的模式相對效率更高且風險較低。反觀彼時的 AMD,2016 年上半年發布了企業端 CPU 技術路線圖,其中明確表示制程上的突破,基于臺積電 7nm 的 CPU 將于 2018/19 年推出。隨后 6 月,AMD 發表了 Zen 架構,涵蓋 PC 端及服務器端 CPU 產品,并在 20
179、17 年宣布以 Zen 架構重新整合其 PC 及服務器產品。在該 Zen 架構技術路線圖中,AMD 進一步明確了 2018/19 年將有 7nm 產品推出,2020 年將向更先進制程邁進。2016 年 6 月,AMD 宣布推出 Zen x86-64 微架構。對比彼時英特爾的 Skylake 架構,Zen 的 CPU 部分面積較小,緩存空間有所提升,且散熱片間距加寬,默認頻率更高,功耗更低,價格也較低。隨后在 17 年推出,同樣基于 Zen 架構的EPYC CPU 產品,采用了 14nm 制程及 8 核 16 線程工藝,對標同為 14nm 制程的英特爾Xeon CPU。EPYC 憑借高性能表現及
180、高能耗效率,開始在數據中心的市場份額上攻城略地。開始在數據中心的市場份額上攻城略地。圖表圖表54:AMD 在在 2016 年發表的企業端年發表的企業端 CPU 技術路線,明確指出臺積電技術路線,明確指出臺積電 7nm 制程制程 CPU 將于將于 18/19 年推出年推出 資料來源:Videocardz,華泰研究 圖表圖表55:Skylake 架構與架構與 AMD 的的 Zen 架構參數對比架構參數對比 圖表圖表56:2017 年英特爾與年英特爾與 AMD 數據中心數據中心 CPU 產品參數對比產品參數對比 Zen 架構架構 Skylake 制程制程 14nm 14nm 核心核心/線程線程 4/
181、8 核心,8/16 線程 4/8 核心,8/16 線程 面積面積 44mm2 49mm2 二級緩存(每核心)二級緩存(每核心)512KB,1.5mm2 256 KB,0.9mm2 三級緩存(每核心)三級緩存(每核心)8MB,16mm2 8MB,19.1mm2 CPP(nm)78 70 散熱片間距(散熱片間距(nm)48 42 1x 金屬片間距(金屬片間距(nm)64 52 標準標準 6t SRAM(mm2)0.0806 0.0588 金屬片層數金屬片層數 12 w/MiM 13 w/MiM Intel Xeon E5 Bronze/Silver Intel Xeon E7 Gold/Plati
182、num AMD Naples Platform 系列代號系列代號 Skylake-SP Skylake-SP AMD EPYC 工藝節點工藝節點 14nm 14nm 14nm PCH Lewisburg PCH Lewisburg PCH SOC 插槽插槽 Socket P Socket P SP3 LGA socket LGA 3647 LGA 3647 最大核心數最大核心數 26 28 32 最大線程數最大線程數 52 56 64 最大三級緩存最大三級緩存 16.5 MB L3 38.5 MB L3 64 MB L3 DDR4 內存支持內存支持 6 通道 DDR4 6 通道 DDR4 8
183、通道 DDR4 熱設計功耗熱設計功耗 70-85W 85-205W 120-180W 資料來源:英特爾官網,AMD 官網,華泰研究 資料來源:英特爾官網,AMD 官網,華泰研究 2019 年,AMD 推出了采用 TSMC 7nm 節點制程的 Zen 2 架構,并基于此推出服務器端EPYC Rome CPU,首度在首度在服務器服務器 CPU 制程上超越當時還是制程上超越當時還是 14 nm 的英特爾的英特爾,加速搶占加速搶占英特爾的英特爾的服務器服務器市場份額。市場份額。Zen 2 架構采用了 Chiplet 設計,通過 CPU 和 IO 核心分離,解決超多核心并行問題的同時也降低了生產成本,并
184、達到降低延遲的效果。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。37 英特爾英特爾(INTEL)(INTC US)2020 年 7 月底,英特爾宣布將推遲 7nm(后更名為 Intel 4)制程至 2022 年以后。反觀 AMD在 20Q2 財報中 PC 端業務營收大漲 45%,并進一步上調了全年營收預期。當月 AMD 股價大漲 47%并首度超越英特爾的股價。同年 10 月,AMD 宣布收購頭部可編程邏輯器件(FPGA)生產商賽靈思(Xilinx),并于 22Q1 完成并表。對比英特爾在 2015 年收購了 FPGA 生產商Altera,收購賽靈思能為 AMD 帶來 FGPA、
185、可編程 SoC 及自適應計算加速平臺產品,并將AMD 的產品矩陣擴充至與英特爾看齊。2021 年,英特爾推出了采用 10nm 制程的第三代 Xeon 可擴展 CPU,而此時的 AMD 則已率先推出了基于臺積電 7nm 制程的 Zen 3 架構,并推出了 EPYC Milan 服務器 CPU。隨后的 2022 和 2023 年,AMD 接連推出基于臺積電 5nm 制程的 EPYC Genoa、EPYC Bergamo 和 EPYC Sienna,再次拉開與英特爾服務器端制程的距離。圖表圖表57:英特爾與英特爾與 AMD 服務器制程發展進度對比及相關產品舉例,服務器制程發展進度對比及相關產品舉例,
186、2019 年英特爾服務器制程首度被年英特爾服務器制程首度被 AMD 超越超越 注:*為還未正式推出產品的制程 資料來源:AMD 官網、英特爾官網、華泰研究預測 在 16Q1,AMD 的服務器 CPU 份額僅為 0.3%,市場基本被英特爾所壟斷,但 2017 年 EPYC推出后,服務器 CPU 的份額也開始一路上漲。截至 23Q3,AMD 服務器端 CPU 市場份額達到 23.3%,而英特爾跌至 76.7%。AMD 23Q3 份額相比上季度增長 4.7Pct,相比 22Q3增長 5.8 個百分點,市場份額上升較快,或主要由 2023 年推出的第四代 EPYC Genoa-X和 Bergamo 貢
187、獻的增量推動,其已成為 AMD 三季度最受歡迎的數據中心產品,并被幾乎所有主要云廠商采用;而英特爾對標 EPYC Bergamo 的數據中心產品 Sierra Forest 預計將于 2024 年上半年面世。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。38 英特爾英特爾(INTEL)(INTC US)圖表圖表58:服務器服務器 CPU 市場市場 AMD 與英特爾出貨量市場份額與英特爾出貨量市場份額 資料來源:Mercury 官網,華泰研究 英特爾在制程上的反擊英特爾在制程上的反擊:“四年五節點四年五節點”先進制程趕超計劃先進制程趕超計劃 英特爾的英特爾的“四年五節點”“四年五節
188、點”產品路線圖能否順利落地成反敗為勝的關鍵。產品路線圖能否順利落地成反敗為勝的關鍵。早在 14nm 工藝時,英特爾占據著領先優勢,但在 10nm 的關口卻停滯不前。2019 年,AMD 在服務器端 CPU采用的工藝制程首次超越英特爾,而英特爾采用 10nm 工藝的服務器版 Ice Lake 于 2021年 4 月姍姍來遲,此時距離 AMD 在 2019 年推出 7nm 工藝的 EPYC Rome 已有兩年(AMD采用的臺積電工藝 7nm 大致相當于英特爾 10nm,也就是 Intel 7)。此后此后,英特爾一直處于艱難的追趕狀態英特爾一直處于艱難的追趕狀態。英特爾于 2021 年 7 月公布了
189、工藝制程的趕超戰略“四年五節點”,指的是公司希望能在 2025 年以前實現 Intel 7(10nm)、Intel 4(7nm)、Intel 3(7nm+)、Intel 20A(2nm)及 Intel 18A(1.8nm)5 代工藝節點。在這五代節點中,前三個節點的目的是追趕上臺積電的進度,后兩個則是英特爾進入“安米時代(Angstrom Era)”,在 2025 年超越臺積電重返制程領先地位的關鍵。2021 年計劃發布時,英特爾預計 Intel 18A將于 2025 年推出;在 2022 年,英特爾將 18A 時間點提前到了 2024 年下半年。目前看到的服務器端進度顯示,基于 Intel
190、7 的 Sapphire Rapids 在 23 年已批量出貨,同樣基于 Intel 7 的 Emerald Rapids 也在 23 年推出;而基于 Intel 3 的 Sierra Forest 和 Granite Rapids 將會在 2024 上半年相繼推出,最后,18A 已開展內部測試以及與潛在代工客戶的產品測試。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。39 英特爾英特爾(INTEL)(INTC US)圖表圖表59:四年五節點規劃圖:四年五節點規劃圖:2024 年進入年進入 Intel 18A 節點節點 注:2023 年 9 月發布 資料來源:英特爾官網,華泰研究
191、 Intel 18A 進展順利,已與涉及國防、通信等多個行業客戶達成合作。進展順利,已與涉及國防、通信等多個行業客戶達成合作。Intel 18A 預計于 2024 年下半年投入生產,并將用于生產 PC 端和數據中心 CPU,每瓦性能相較 Intel 20A 最多可提高 10%。英特爾 CEO 基辛格在 2023 年 8 月底透露“一家大客戶”已針對 Intel 18A 制程的產能“支付訂金”,因此英特爾決定加快亞利桑那州晶圓代工新廠的工程進度。早在 23 年3 月,英特爾就表示 intel 20A 和 Intel 18A 已成功流片,即已有達成規格、材料、性能目標的相關設計定案。此外,英特爾的
192、代工業務(IFS)目前已有 43 家潛在合作伙伴正在測試芯片,其中至少 7 家來自全球 TOP10 的芯片客戶。23 年 7 月 18 日英特爾稱,作為美國國防部“快速保障微電子原型-商業計劃(RAMP-C)”第二階段的一部分,英特爾代工業務將新增兩家客戶:包括波音(Boeing)和諾斯羅普格魯曼(Northrop Grumman)。隨后在 7月下旬,英特爾又宣布將與瑞典電信設備制造商愛立信合作,將利用其 Intel 18A 制程為愛立信制造定制 5G SoC。英特爾于英特爾于 23Q3 財報會議財報會議公布公布了了 18A 客戶客戶的新進展,其中包含的新進展,其中包含 ARM 架構。架構。英
193、特爾 CFO David Zinsner 透露英特爾為其 18A 工藝技術簽下了三家新客戶,其中包括 ARM 架構,CEO Pat Gelsinger 也表示,預計在 23 年底前將簽署第四家客戶,目前暫未披露。根據英特爾根據英特爾的進度,的進度,18A 預計于預計于 2024 年下半年推出,我們目前看好其進展。年下半年推出,我們目前看好其進展。此外,先進封裝方面公司能提供 2.5D 和 3D 技術,目前客戶數眾多,可與代工產生協同效應。英特爾意識到,能否在先進制程上趕超臺積電,是決定英特爾未來最重要的一環。英特爾意識到,能否在先進制程上趕超臺積電,是決定英特爾未來最重要的一環。若英特若英特爾
194、能按照計劃順利推進,則臺積電在制程上的領先優勢或將大幅縮小,甚至在爾能按照計劃順利推進,則臺積電在制程上的領先優勢或將大幅縮小,甚至在 2025 年會出年會出現英特爾超過臺積電的情況?,F英特爾超過臺積電的情況。在 2023 年 3 月的 Morgan Stanley Technology,Media and Telecom 會議上,基辛格稱英特爾將開源節流,但唯一不會裁減開支的領域就是先進制程計劃,“四年五節點必須實現(five nodes in four years has to happen)”,展示了實現“四年五節點”先進制程趕超計劃的決心,而英特爾也會為了這個目標進行所有必須的資本投資
195、。根據臺積電的規劃,N2 制程(2nm)將在 2025 年開始量產,而英特爾目前的計劃是在 2024年開始量產 20A(2nm)和 18A(1.8nm),如果二者分別都實現,那么 2025 年英特爾在先進制程方面或會超過臺積電。力求在力求在 24 上半年開始上半年開始提升提升在服務器在服務器 CPU 的市占率,或全靠的市占率,或全靠 E 核核 Sierra Forest 性能與功耗雙管齊下,性能與功耗雙管齊下,Xeon Scalable“大核”(“大核”(P-Core)與“小核”()與“小核”(E-Core)策略或是)策略或是反擊關鍵。反擊關鍵。P-Core(Performance Core)
196、,強調高性能;),強調高性能;E-Core(Efficiency Core),著),著眼低能耗,公司對服務器端產品的戰略規劃思路或來自其遭眼低能耗,公司對服務器端產品的戰略規劃思路或來自其遭 AMD 撬動份額的反思。撬動份額的反思。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。40 英特爾英特爾(INTEL)(INTC US)我們觀察到,我們觀察到,2023 年年 Q1 和和 Q2 英特爾和英特爾和 AMD 在服務器在服務器 CPU 市場的市占率維持了穩定市場的市占率維持了穩定而而Q3 英特爾市占率再次下滑。英特爾市占率再次下滑。我們認為隨著我們認為隨著英特爾基于英特爾基于 In
197、tel 3 制程的制程的 E 核核 CPU 處理器處理器Sierra Forest 于于 24 上半年推出后,該產品面向低功耗需求,將有助公司搶回服務器上半年推出后,該產品面向低功耗需求,將有助公司搶回服務器 CPU的份額的份額。英特爾在 23Q1 業績電話會上稱當季服務器市場份額維持穩定的原因之一是“Sapphire Rapids 帶來的貢獻”,這也是 CEO Pat Gelsinger 掌舵英特爾后第一次維持住服務器市占率大致平穩不降,而第三季度的下滑仍來自 AMD 的競爭壓力,主要是 EPYC Bergamo 及 Genoa-X 的推出。據 Mercury 于 2023 年 11 月測算
198、,從 2016 年到 23Q3,AMD已“從零開始”搶占 23.3%服務器 CPU 市場份額,英特爾則降至 76.7%。我們認為,公司在服務器方面落后 AMD 的重要一環在于英特爾關注“每核性能(performance per core)”,而 AMD 則將重點放在“每瓦性能(performance per watt)”,前者指的是提高 CPU 的單核性能,而后者則考慮能耗效率。我們認為,隨著 AI 模型規模的持續擴大,面對數據中心降本增效及解決高能耗問題的發展趨勢,單位能源帶來的經濟效益越趨重要,服務器 CPU客戶的關注重點更多轉向功耗。英特爾另一主要競爭對手 ARM 架構幾年前就推出了基于
199、Neoverse 架構的高密度內核設計,AMD 也于 23 年 6月推出了 Zen 4C 架構和最大支持 128核的 EPYC Bergamo處理器。圖表圖表60:P-Core 與與 E-Core 的關注重點不同,前者關注性能,后者關注能耗效率的關注重點不同,前者關注性能,后者關注能耗效率 資料來源:英特爾官網,華泰研究 圖表圖表61:英特爾英特爾 Xeon 產品路線圖(更新于產品路線圖(更新于 2023 年年 5 月)月)資料來源:英特爾官網,華泰研究 強調每核性能強調每瓦性能 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。41 英特爾英特爾(INTEL)(INTC US)對此
200、,公司針對高性能和低能耗兩種需求也提供了不同的產品,既要覆蓋更注重功率能耗的應用場景,又不放棄高性能需求。根據 Xeon Scalable 現有的推出計劃,公司已在 2023年 Q4 推出 Emerald Rapids(Intel 7,P-Core);2024 上半年將推出 Sierra Forest(Intel 3,E-Core),隨后同年推出 Granite Rapids(Intel 3,P-Core);2025 年推出 Clearwater Forest(18A,E-Core)和 Diamond Rapids(18A,P-Core)。這種全面的產品策略符合此前公司這種全面的產品策略符合此
201、前公司對其產品戰略的總結:提供足夠多的選擇,滿足更廣泛的用戶光譜。對其產品戰略的總結:提供足夠多的選擇,滿足更廣泛的用戶光譜。圖表圖表62:英特爾英特爾 Xeon CPU 產品矩陣產品矩陣 系列名稱系列名稱 Clearwater Forest Granite Rapids Sierra Forest Emerald Rapids Sapphire Rapids Ice Lake Cooper Lake Cascade Lake Skylake 平臺平臺 Intel Mountain Stream;Intel Birch Stream Intel Mountain Stream;Intel Bi
202、rch Stream Intel Mountain Stream;Intel Birch Stream Intel Eagle Stream Intel Eagle Stream Intel Whitley Intel Cedar Island Intel Purley Intel Purley 預計發布年份預計發布年份 2025 2024 2024 2023 2023 2021 2020 2019 2017 制程制程 Intel 18A Intel 3 Intel 3 Intel 7 Intel 7 10nm 14nm 14nm 14nm 插槽插槽 LGA 4677/7529 LGA 467
203、7/7529 LGA 4677/7529 LGA 4677 LGA 4677 LGA 4189 LGA 4189 LGA 3647 LGA 3647 核心架構核心架構-Redwood Cove Crestmont Raptor Cove Golden Cove Sunny Cove Skylake 微架構 Skylake 微架構 Skylake 微架構 最大核心數最大核心數 NA(E 核)NA(P 核)288 E 核 64 P 核 60 P 核 40 28 28 28 最大線程數最大線程數-288 128 120 80 56 56 56 最大三級緩存最大三級緩存-108 MB 320 MB 1
204、05 MB 60 MB 38.5 MB 38.5 MB 38.5 MB 內存支持內存支持-DDR5-6400 DDR5-6400 DDR5-5600 DDR5-4800 DDR4-3200 DDR4-3200 DDR4-2933 DDR4-2666 存儲器通道存儲器通道-12 Channels 12 Channels 8 Channels 8 Channels 8 Channels 6 Channels 6 Channels 6 Channels PCIe Gen 支持支持-136 Gen 5 68 Gen 5 80 Gen 5 80 Gen 5 64 Gen 4 48 Gen 3 48 Ge
205、n 3 48 Gen 3 最大設計功耗最大設計功耗 -500W 350W 350W 350W 270W 250W 205W 205W 資料來源:Wccftech,the Register,Hardware Times,華泰研究 2023 年年 1 月推出的月推出的 P 核核 Sapphire Rapids 引入的引入的 AMX(Advanced Matrix Extensions,矩陣運算擴展)提高了矩陣運算擴展)提高了 Xeon 的深度學習能力,為英特爾的的深度學習能力,為英特爾的 CPU 產品在產品在 AI 推理端的進一推理端的進一步應用帶來了更多可能。步應用帶來了更多可能。Xeon 在
206、AI 推理端被廣泛應用。早在 2021 年 12 月,英特爾曾對全球運行 AI 推理工作負載的數據中心服務器裝機情況進行市場建模,公司當時稱,推理所用的已裝機 CPU 中高達 70%是英特爾 Xeon 產品。盡管如此,面對瞬息萬變的 AI 市場,面臨 GPU、ASIC 等產品的競爭,Xeon 也在提升其 AI 能力:AMX 在 2020 年就已發布,2021 年就已確定會被引入 Sapphire Rapids,但由于 Sapphire Rapids 的延后,2023 年才與其一同推出。AMX 包含兩個組件,分別是:1)TILE,由 8 個 1KB 大小的 2D 寄存器組成,可存儲大數據塊;2)
207、平鋪矩陣乘法(TMUL),它是與 TILE 連接的加速引擎,可執行用于 AI 的矩陣乘法計算,其中 TMUL 是 AMX 的核心,可以使 Xeon 具備更強的 AI 訓練與推理所需的矩陣運算能力。根據英特爾以第三代 Xeon 為基準的測試,AMX 帶來了帶來了 Xeon代際間的代際間的 AI 能力提升。能力提升。第四代 Xeon(Sapphire Rapids)可在多個大模型里實現訓練端3.5-10 倍的性能提升,推理端可實現 5.7-10 倍的性能提升。我們認為,英特爾我們認為,英特爾 Sapphire Rapids 可與可與 AMD EPYC Genoa 匹敵,盡管核心數仍較少,匹敵,盡管
208、核心數仍較少,但在一些測試中,性能和能耗優勢顯著。但在一些測試中,性能和能耗優勢顯著。根據英特爾對相同核心數下的 Sapphire Rapids和 AMD EPYC Genoa 進行的基準測試,搭載 AMX 的 32 核的 Sapphire Rapids(Xeon 8462Y+)相對同為 32 核的 EPYC Genoa 9354,在多個 AI 大模型中能實現高達 37 倍的性能提升。Sapphire Rapids 在能耗端也表現突出,每瓦性能達到 EPYC Genoa 的 25 倍。然而,英特爾 Xeon 8462Y+雖具有性能和能耗上的優勢,價格也遠高于 EPYC Genoa 9354,前
209、者為 5,945 美元,比后者的 3,420 美元高出 74%。值得注意的是,EPYC Genoa 最高支持 96 核,遠高于英特爾 Sapphire Rapids 的 56 核。而在英特爾公布的另一個針對 56 核Sapphire Rapids(Xeon Max 9480)和 96 核 AMD EPYC Genoa(EPYC 9645)的基準測試中,前者相比后者具有 30%的性能優勢,說明增加 EPYC Genoa 核心數后,英特爾Sapphire Rapids 仍然占據顯著性能優勢。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。42 英特爾英特爾(INTEL)(INTC US
210、)圖表圖表63:32 核英特爾核英特爾 Sapphire Rapids 在性能與能耗上均明顯優于在性能與能耗上均明顯優于32 核核 AMD Genoa 圖表圖表64:AMX 架構由架構由 TILE 和和 TMUL(平鋪矩陣乘法)組成(平鋪矩陣乘法)組成 資料來源:英特爾官網,華泰研究 資料來源:英特爾官網,AMD 官網,華泰研究 英特爾英特爾 P 核產品穩步推進。另一核產品穩步推進。另一 P 核產品第五代核產品第五代 Xeon CPU Emerald Rapids AI,性能與,性能與能效雙升級,或為英特爾搶灘能效雙升級,或為英特爾搶灘 AMD 增加勝算。增加勝算。英特爾最新 P 核 Xeon
211、 CPU Emerald Rapids于 2023 年 12 月 14 日推出,與 23 年 1 月推出的 Sapphire Rapids 同樣基于 Intel 7 節點,核心架構升級至Raptor Cove,并將最大核心數提升至64核,最大三級緩存提升至320MB,HPC性能提升至1.3倍。此外,Emerald Rapids還重點提升了AI性能,沿用Sapphire Rapids中使用的 AMX 技術加強 AI 任務中的矩陣運算能力,并內置 AI 加速器,使得 AI 推薦系統和自然語言處理性能提升至 1.4 倍,大數據吞吐量提升至 1.7 倍。與上一代產品相比,在相同的熱設計功率范圍內,第五
212、代英特爾至強可擴展處理器平均性能提升 21%,并在一系列工作負載中將每瓦性能提升高達 36%。對于遵循典型的五年更新周期并從更前一代處理器進行升級的客戶,總體擁有成本最多可降低 77%。而第六代而第六代 Xeon CPU Granite Rapids 將將邁入邁入 Intel 3 制程,性能與能效進一步提升。制程,性能與能效進一步提升。公司預計于 2024 上半年推出的 Granite Rapids 將基于 Intel 3 節點、經優化的微架構設計及更高核心數,將與下一代 Birch Stream 平臺兼容并搭載 Intel AMX,預計將帶來顯著性能提升。根據英特爾內部測算,Granite
213、Rapids 相比目前的 Sapphire Rapids 內存帶寬將提升2.8 倍,在 AI 推理端(DeepMD+LAAMPS)將實現 2.9 倍的性能提升,在總體 AI 負載上實現近 3 倍的性能提升。圖表圖表65:Sierra Forest 將于將于 2024 上半年開始出貨上半年開始出貨 圖表圖表66:AMD 在在 23 年年 6 月月 13 日發布日發布 Bergamo 采用采用 Zen 4c 架構架構 資料來源:英特爾,華泰研究 資料來源:英特爾,華泰研究 每核可存儲更大的數據塊每核可存儲更大的數據塊可在單次運算中計算更大矩陣的指令可在單次運算中計算更大矩陣的指令 免責聲明和披露以
214、及分析師聲明是報告的一部分,請務必一起閱讀。43 英特爾英特爾(INTEL)(INTC US)英特爾英特爾 E 核服務器核服務器 CPU 產品產品 Sierra Forest 同樣同樣基于基于 Intel 3 制程,每瓦性能提升顯著,制程,每瓦性能提升顯著,最高支持最高支持 288 核,制程基本與核,制程基本與 AMD 看齊甚至反超,核心數將首次實現反超??待R甚至反超,核心數將首次實現反超。英特爾將于2024 上半年量產交付的第一款數據中心 E-Core(Efficiency Core,高效能核)CPU Sierra Forest 為向客戶提供低能耗選擇,每瓦性能較上一代 P 核的 Sapph
215、ire Rapids 顯著提升 2.4倍。值得注意的是,英特爾于 23 年 9 月宣布 Sierra Forest 最高將支持 288 顆 E 核同時運行,或將首次從核心數量上超越 256 核的 AMD EPYC Bergamo。Sierra Forest 標志著英特爾“E 核”數據中心 CPU 產品線的開辟。下一代基于 Intel 18A 節點的 E-Core CPU Clearwater Forest 已經進入晶圓廠,將于 2025 年推出,提供更先進的低能耗數據中心 CPU解決方案。而曾憑借優越的而曾憑借優越的“每瓦性能每瓦性能”擊敗英特爾的擊敗英特爾的 AMD,在在 23 年年 6 月
216、推出月推出了了對標英特爾對標英特爾 Sierra Forest 的的 EPYC Bergamo,基于,基于 Zen 4c 架構,相對上一代架構,相對上一代 EPYC Genoa 提升提升 2.7 倍每倍每瓦性能。瓦性能。EPYC Bergamo 最大支持 128 核,遠低于 Sierra Forest 的 288 核。不同于英特爾Sierra Forest為P核和E核設計不同的微架構而犧牲SMT、AMX及AVX512技術,EPYC Bergamo 保留了 P 核與 E 核通用的微架構,但代價則是將 Zen 4 核心縮小 35%而犧牲了一定性能。Toms Hardware 在 2023年 12月
217、 7日發布的Geekbench 6基準測試顯示,Sierra Forest 在單核(即 144 核)和雙核(即采用兩個 144 核 CPU)配置下性能均弱于 AMD EPYC Bergamo,但由于該基準測試采用的 Sierra Forest 仍是預發布版本,且 Sierra Forest 性能配置或未達到最優,因此我們認為 Sierra Forest 和 EPYC Bergamo 究竟誰更具有性能及能耗優勢,仍需待 Sierra Forest 正式交貨后進一步基準測試才能判斷。圖表圖表67:英特爾與英特爾與 AMD 最新服務器最新服務器 CPU 產品參數對比產品參數對比 Intel Gran
218、ite Rapids Intel Sierra Forest Intel Emerald Rapids Intel Sapphire Rapids AMD EPYC Turin AMD EPYC Siena AMD EPYC Bergamo AMD EPYC Genoa-X(預計)發布時間(預計)發布時間 2024 2024 1H 2023.12 2023.1 2024 2023.9 2023.1 2023.1 工藝節點工藝節點 Intel 3 Intel 3 Intel 7 Intel 7 3/4nm TSMC 5nm TSMC 4nm TSMC 5nm TSMC 插槽插槽 LGA 4677
219、/7529 LGA 4677/7529 LGA 4677 LGA 4677 LGA 6096 LGA 4844 LGA 6096 LGA 6096 最大核心數最大核心數-288 E 64 P 60 P 128*64 128 96 最大線程數最大線程數-288 128 120 256*128 256 192 最大三級緩存最大三級緩存-108 MB L3 320 MB L3 105 MB L3 256 MB L3 128 MB L3 256 MB L3 1152 MB L3 DDR5 內存支持內存支持 12通道 DDR5 12通道 DDR5 8 通道 DDR5 8 通道 DDR5 12 通道 DD
220、R5(SP5)6 通道 DDR5(SP6)6 通道 DDR5 12 通道 DDR5 12 通道 DDR5 最大設計功耗最大設計功耗 500W 350W 350W 350W 480W (cTDP 600W)225W 320W (cTDP 400W)400W *注:具體參數官方尚未確認 資料來源:Wccftech、the Register、Hardware Times、華泰研究 軟件生態會否也成為英特爾突圍的軟件生態會否也成為英特爾突圍的阿喀琉斯之踵?阿喀琉斯之踵?與與 AMD 一樣,英特爾推進一樣,英特爾推進 AI 產品布局成功的另一大障礙或許是軟件生態。產品布局成功的另一大障礙或許是軟件生態。
221、英特爾的英特爾的oneAPI 不直接與不直接與 GPU 通用運算生態圈的領軍通用運算生態圈的領軍 CUDA 競爭,而是橫跨競爭,而是橫跨 CPU、GPU、FPGA、NPU 等多種硬件,以及等多種硬件,以及 CUDA、ROCm 等不同軟件平臺,試圖建立統一的生態圈,但這等不同軟件平臺,試圖建立統一的生態圈,但這種兼容所有軟件和硬件的思路,落地效果如何,能否突出種兼容所有軟件和硬件的思路,落地效果如何,能否突出 CUDA 重圍,目前看還需進一步重圍,目前看還需進一步判斷。判斷。英特爾的軟件框架 oneAPI 于 2019 年底開始測試,2020 年 9 月推出了 1.0 正式版,比 CUDA 晚了
222、 13 年。對此,英特爾也承認 oneAPI 推出較晚,但同時也認為目前只是 AI的起步點。對比英偉達 CUDA(Compute Unified Device Architecture)于 2007 年發布,通過先發優勢和長期耕耘,生態圈已較為成熟,為英偉達 GPU 開發、優化和部署多種行業應用提供了獨特的護城河。全球 CUDA 開發者 2020 年達 200 萬,2023 年已達 400 萬。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。44 英特爾英特爾(INTEL)(INTC US)圖表圖表68:oneAPI 架構示意圖架構示意圖 資料來源:英特爾,華泰研究 在英特爾最初發
223、布 oneAPI 時,口號是“No transistor left behind(所有晶體管都不能落下)”,這是指英特爾希望 oneAPI 能提供覆蓋多種硬件的異構編程模型,且成為行業標準。oneAPI面對“統一”的目標方向進行了諸多努力:1)軟件方面,容納其他軟件生態圈的代碼,例如oneAPI 提供的 DPCT(Data Parallel C+Compatibility Tool,即 DPC 兼容工具,其中 Data Parallel C+是 OneAPI 的核心編程語言)工具,可移植 95%以上的 CUDA 程序;2)硬件方面,oneAPI 本就基于科納斯組織(Khronos Group)
224、的 SYCL 規范(基于 C+的異構平行編程框架,發音為 sickle,是一個名稱而并非縮寫),支持異構硬件編程。而為了更有效經營 oneAPI 的硬件統一生態,2022 年 6 月英特爾宣布收購 Codeplay(一家 SYCL 編程機構),這家公司編寫的庫在英偉達、AMD 和英特爾的硬件上都可運行,利用 SYCL 的可移植性,被稱為“一次寫入,多次調整”,類似于英特爾提到的“write the code once and it works everywhere”。然而,移植代碼本身,與移植后的代碼在其他硬件和軟件平臺上運行的效率如何是兩個不同的命題。目前 oneAPI 發展時間不過三年,能
225、否突出 CUDA 重圍,我們認為還需更多基準測試才能判斷。圖表圖表69:基于基于 SYCL 的的 oneAPI 支持多種硬件和多種框架支持多種硬件和多種框架 圖表圖表70:ARM 服務器服務器 CPU 生態圈(部分)生態圈(部分)資料來源:英特爾官網,華泰研究 資料來源:Toptal Developers 官網,華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。45 英特爾英特爾(INTEL)(INTC US)ARM 對數據中心蠢蠢欲動,對數據中心蠢蠢欲動,能耗優勢凸顯,或將與能耗優勢凸顯,或將與 x86 分而治之分而治之 我們認為服務器我們認為服務器 CPU 市場的競爭格
226、局在市場的競爭格局在近年來近年來加速深化。隨著數據中心及加速深化。隨著數據中心及 HPC 領域能耗領域能耗飆升,低能耗成為了服務器飆升,低能耗成為了服務器 CPU 的一大關注重點,眾多廠商紛紛加碼低能耗產品以求突破。的一大關注重點,眾多廠商紛紛加碼低能耗產品以求突破。除除英特爾和英特爾和 AMD 的的 x86 架構外架構外,一直深耕移動端、標榜低功耗的,一直深耕移動端、標榜低功耗的 ARM 對于服務器市場對于服務器市場也來勢洶洶,憑借其也來勢洶洶,憑借其低能耗優勢低能耗優勢開始開始從移動端逐漸向服務器端蔓延從移動端逐漸向服務器端蔓延,攻城略地。攻城略地。AMD 以“每瓦性能”為秘密武器在市場中
227、占有一席之地,從重視“每核性能”的英特爾手中撬動市場份額。但英特爾也痛定思痛,準備于 24 年推出 Intel 3 制程的低功耗服務器 CPU Sierra Forest 試圖收復失地。Sierra Forest 標志著英特爾專注低能耗的 E 核 CPU 產品線的開辟,該產品線將于未來持續迭代,并計劃于 2025 年推出基于 Intel 18A 的 Clearwater Forest。值得關注的是,由于 ARM 的單核面積也遠小于 x86 核,因此在同樣芯片尺寸下可承載更多核心數。通過“堆核”的方式,ARM 架構處理器得以在性能快速提升下,仍保持較低的功耗。ARM 已于 2023 年 9 月
228、14 日在美國納斯達克上市,蘋果、谷歌、英偉達、AMD、英特爾和臺積電等科技巨頭均是此次發行的基石投資者。亞馬遜早在 2018 年已在 AWS 中大量運用 ARM 架構的自研 Graviton CPU;英偉達的異構芯片 Grace Hopper 中,Grace CPU 也采用了 ARM 架構;而微軟的自研服務器芯片Azure Cobalt 100 CPU 同樣基于 ARM 架構,將于 24 年上市并在微軟云上運行通用計算工作負載。初創公司方面,由英特爾前任總裁 Rene J.James 創立的 Ampere 也專注于為云服務商提供服務器 ARM CPU。圖表圖表71:ARM 架構的架構的 Gr
229、aviton 3 產品實物圖產品實物圖 圖表圖表72:ARM 架構的英偉達架構的英偉達 Grace CPU 產品實物圖產品實物圖 資料來源:Serve The Home,華泰研究 資料來源:Wccftech,華泰研究 ARM 和和 x86 架構的最根本區別在于,前者的設計模式為架構的最根本區別在于,前者的設計模式為 RISC(精簡指令集,(精簡指令集,Reduced Instruction Set Computer),而后者是),而后者是 CISC(復雜指令集,(復雜指令集,Complex Instruction Set Computer)。)。計算性能(定義為執行單位程序所需時間)可拆分為單
230、位程序指令數、單位指令所需的計算周期數、以及單位計算周期所需時間三個部分的乘積。CISC 架構通過減少單位程序指令數來提高性能,但代價是單位指令往往需要更多計算周期數;RISC 架構則把重點放在減少單位指令所需的計算周期數上,每條指令僅需一個計算周期,而代價則是單位程序需要輸入更多指令語句才可完整執行??傮w上看,總體上看,RISC 往往需要更長時間來執行相往往需要更長時間來執行相同程序,性能較弱,但由于單位指令的精簡性,在能耗上具有較大優勢。同程序,性能較弱,但由于單位指令的精簡性,在能耗上具有較大優勢。x86 架構在早期設計時主要針對 PC 端追求高性能,希望通過最少的指令來完成計算任務,但
231、卻因此導致所需芯片面積較大、晶體管數量較多,能耗較高,不過鑒于 PC 的體積較大,可安裝散熱裝置;而 ARM 架構最初主要針對體積較小的移動端設備,在便攜性和散熱性要求較高的場景下RISC 架構的能耗優勢得到了較好發揮。圖表圖表73:芯片計算性能的分拆公式芯片計算性能的分拆公式 資料來源:Microcontroller Tips 官網,華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。46 英特爾英特爾(INTEL)(INTC US)x86 和和 ARM 架構在服務器端各有優勢,或將分而治之架構在服務器端各有優勢,或將分而治之 我們認為我們認為 ARM 和和 x86 CPU
232、 架構在架構在 AI 應用里各有優勢。應用里各有優勢。低能耗的低能耗的 ARM 架構和高性能的架構和高性能的x86 架構可分別負責較輕和較重的工作負載架構可分別負責較輕和較重的工作負載。由于 ARM 架構從性能層面上或不能直接與x86 相比,因此我們不認為其能有朝一日完全取代 x86 架構。但我們也強調,在云計算任務和數據模態多元化的趨勢下,加上隨著 AI 和云計算領域對節能的要求提高,ARM 架構本身的能耗優勢越趨凸顯。因此,我們認為 ARM架構的 CPU將逐漸在 AI推理端占一席之地。由于由于 GPU 可分擔部分工作負載,可分擔部分工作負載,因此因此對服務器對服務器 CPU 來說能耗相對性
233、能或更為重要。來說能耗相對性能或更為重要。上文上文所說所說,ARM 架構架構原來原來主要應用于移動端,因此相比主要應用于移動端,因此相比 x86 能耗較低,這點不管在能耗較低,這點不管在 AI 或是數或是數據中心的應用中都據中心的應用中都較較受青睞。反過來,受青睞。反過來,x86 架構則追求高性能和擁有較豐富的指令集,在架構則追求高性能和擁有較豐富的指令集,在AI 里也可分擔推理負載,與里也可分擔推理負載,與 GPU 在功能上在功能上可可互補?;パa。在 AI 應用里,GPU 憑著其高算力,針對并行計算,在視頻處理、圖像渲染等方面的優勢雖毋庸置疑,但我們認為并非所有工作負載都能單純由 GPU 完
234、成,也須由 CPU 進行控制調用及發布指令。因此,在 CPU+GPU架構里的 CPU 可負責控制及發出指令,指示 GPU 處理數據和完成運算(如矩陣運算)。值得一提的是,AMD 在 MI300A 里的 CPU 選用了 x86 架構,而 英偉達的 GH200 里 CPU則采用了 ARM 架構。我們認為,兩者的選擇各有優勢。我們認為英偉達也是看準這點(公司也曾對 ARM 提出收購),加上在這類 CPU+GPU 架構中,CPU 或僅需發揮其部分性能,如向 GPU 發出指令等,其他性能如 AI 訓練和推理則可交由 GPU 或其他 AI 加速器負責,因此 ARM 架構的 CPU 已能勝任。圖表圖表74:
235、X86 相比相比 ARM 在服務器端操作系統和軟件兼容對比在服務器端操作系統和軟件兼容對比 圖表圖表75:ARM 和和 x86 架構或將分別負責較輕和較重的工作負載架構或將分別負責較輕和較重的工作負載 資料來源:Verpex 官網,Ubuntu 官網,VMware 官網,華泰研究 資料來源:華泰研究 圖表圖表76:2023 年英特爾和年英特爾和 ARM 架構服務器架構服務器 CPU 產品參數對比產品參數對比 Intel Emerald Rapids Intel Sapphire Rapids Amazon AWS Graviton 3 NVIDIA Grace AmpereOne Ampere
236、 Altra/Altra Max 發布時間發布時間 2023.12 2023.1 2022 2023 2023 2020/2021 核心架構核心架構 Raptor Cove Golden Cove Neoverse V1 Neoverse V2 基于 Arm v8.6+的 自研內核 Neoverse N1 工藝節點工藝節點 Intel 7 Intel 7 TSMC 5nm TSMC 4nm TSMC 5nm TSMC 7nm 主頻主頻 3.9GHz 3.8GHz 2.6GHz 2.6GHz 3GHz 3GHz 最大核心數最大核心數 64 P 60 P 64 144 192 128 最大線程數最
237、大線程數 128 120 64 144 192 128 最大三級緩存最大三級緩存 320 MB L3 105 MB L3 32 MB L3 234 MB L3 64 MB L3 32 MB L3 內存支持內存支持 8 通道 DDR5 8 通道 DDR5 8 通道 DDR5 LPDDR5X 8 通道 DDR5 8 通道 DDR4 最大熱設計功耗最大熱設計功耗 350W 350W 100W*500W 350W 180W PCIe Gen 支持支持 80 Gen 5 80 Gen 5 Gen5 128 Gen5 128 Gen5 128 Gen4 *注:具體參數官方尚未確認 資料來源:英偉達官網,A
238、mpere 官網,Chips and Cheese 官網,華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。47 英特爾英特爾(INTEL)(INTC US)我們認為我們認為目前服務器目前服務器 ARM CPU 最大的弱點是軟件兼容性最大的弱點是軟件兼容性,因此,短期內對因此,短期內對 x86 架構服務架構服務器影響或較小,而長期來看英特爾的器影響或較小,而長期來看英特爾的 x86 服務器在能耗和性能雙線布局,應可令其保持較服務器在能耗和性能雙線布局,應可令其保持較強競爭力。強競爭力。由于目前服務器 CPU 仍以 x86 為主,一些軟件無法在 ARM 架構上兼容,且 ARM
239、在服務器的生態圈仍未成熟,因此目前其在服務器 CPU 市場的擴張或面臨較大阻力,但長期來看 ARM 針對云服務器的云原生技術,加上低能耗、多核心優勢,應能在該市場占一席位。有鑒于此,英特爾也不甘示弱,我們認為主打英特爾也不甘示弱,我們認為主打 E 核處理器的核處理器的 Sierra Forest、Clearwater Forest 等服務器等服務器 CPU 產品可與標榜低能耗的產品可與標榜低能耗的 ARM 匹敵。英特爾有望持續在服務器端大型匹敵。英特爾有望持續在服務器端大型工作負載及工作負載及 AI 推理任務中保持優勢推理任務中保持優勢。AI 加速器加速器 Gaudi,將彌補服務器,將彌補服務
240、器 GPU 的暫時缺席的暫時缺席 從發展歷程來看,傳統推理端主要依賴從發展歷程來看,傳統推理端主要依賴 CPU 處理大多數相對簡單的低算力推理任務。推理處理大多數相對簡單的低算力推理任務。推理所需要的算力本身比訓練所需要的算力要低所需要的算力本身比訓練所需要的算力要低,因此,因此推理端的門檻相對推理端的門檻相對訓練端訓練端較低較低。然而,目前 AI 模型的規模和復雜度跟過去相比提升,隨著更多工作負載將逐漸納入到推理領域,對于算力的要求也會提高。我們認為,AI 推理市場在可預計的未來或將顯著擴大,但我們需強調,與此同時,考慮到采用英偉達最高性能的GPU來進行推理工作或不符合成本優勢,因此目前各類
241、芯片都在此領域獲得一席之地目前各類芯片都在此領域獲得一席之地,包括 GPU、CPU、以及自研 ASIC 等依然在相互競爭。目前,英特爾的 AI 芯片布局包括:GPU 產品 Ponte Vecchio(23 年一季度推出)和下一代 Falcon Shores(公司準備在 2025 年推出),以及 ASIC 芯片 Habana Gaudi 系列(Gaudi 2 目前正在出貨,公司計劃在 2024 年推出 Gaudi 3)。從產品規劃上來看,英特爾目前在GPU 產品方面或暫時掉隊,但 ASIC 方面的 Gaudi 2 和 Gaudi 3 或能有效填補了 2025 年Falcon Shores 推出前
242、的空白時間。我們我們雖雖認為數據中心領域認為數據中心領域的的 GPU 份額持續高增或令英特爾份額持續高增或令英特爾 DCAI 業務承壓,業務承壓,但但英特爾英特爾也也有有望利用其望利用其 Gaudi 系列系列的的 ASIC 加速器加速器逆勢突圍。逆勢突圍。英特爾準備把英特爾準備把 CPU 跟跟 Gaudi 作為作為 AI 加速加速器來應對可能出現的器來應對可能出現的 CPU 在在 AI 推理端的份額下滑。同時,公司也認為推理端的份額下滑。同時,公司也認為 CPU+Gaudi 3 的的搭配也能在搭配也能在 AI 訓練端具備競爭力。訓練端具備競爭力。目前英特爾 Gaudi 系列 ASIC 進展順利
243、,在 AI 訓練和推理任務的多項基準測試,如 BLOOMZ、RestNet50 和 BERT 大模型測試中,Gaudi 2 運行速度領先英偉達 A100,甚至可與 H100 比肩,未來憑借 FP8 浮點精度或可將其性能推上新的高度。Gaudi 3 采用臺積電 5nm 制程,性能提升顯著,將于 2024 年正式推出。截至2024 年 1 月處于早期測試階段,客戶和軟件供應商也將于其后幾個月加入 Gaudi 3 的調試過程。CPU 與與 GPU 的優勢的優勢互見互見,在廣闊的推理端市場,在廣闊的推理端市場里里,兩者兩者都將有其用武之地。都將有其用武之地??紤]到適合CPU 的是串行運算,而適合 GP
244、U 的是并行運算、多模態數據處理、復雜的浮點運算(如矩陣運算)等,因此,在面對不同模態數據的推理時,例如,在處理語音、語言和文本數據的推理時,AI 模型需逐個識別目標文字,計算有序,或更適合使用擅長串行運算的 CPU進行運算支持;但在處理圖像、視頻等數據的推理時,需要大規模并行運算,或更適宜由GPU 負責,例如英偉達 L4 GPU 可將 AI 視頻性能提高 120 倍。據英偉達測試,L4 與基于CPU 的傳統基礎設施相比能源效率提高 99%。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。48 英特爾英特爾(INTEL)(INTC US)圖表圖表77:全球服務器端全球服務器端 AR
245、M 和和 x86 架構架構 CPU 市場份額變化市場份額變化 圖表圖表78:2023 年年全球數據中心芯片市場規模份額預測(按芯片種類)全球數據中心芯片市場規模份額預測(按芯片種類)資料來源:Data Center Knowledge 官網,Digitimes 官網,華泰研究 資料來源:IMARC 官網,華泰研究 得益于得益于 AI、大數據和云計算需求的持續高增,我們認為服務器、大數據和云計算需求的持續高增,我們認為服務器 CPU 市場規模將持續擴大。市場規模將持續擴大。根據 Future Market Insights 官網 2023 年 5 月數據,2023 年全球服務器 CPU 市場規模
246、預計將達 109.2 億美元,服務器 CPU 市場將持續高增,并預期于 2033 年達 195.5 億美元,20232033 年 CAGR 為 6%。根據 IMARC 官網于發布的 2023 年全球數據中心芯片市場規模的預測,GPU 占比最高,接近 30%,其次是 ASIC 和 FPGA,分別占約 20%,而 CPU占據約 15%。由于云計算、大數據和 AI 需求持續火熱,以及 GPU 在并行計算領域的突出性能,其在數據中心的領先地位將持續擴大,到 2032 年 GPU 市場規?;驅⒔咏?CPU 的三倍,但 ASIC 同樣將在同樣將在 20232032 年維持高增年維持高增。由于 ASIC 功
247、能固定,因此組裝成本更低且可靠性較高,同時相比 GPU 和 CPU 具有明顯的能耗優勢。圖表圖表79:英特爾英特爾 HPC 和和 AI 芯片將集成芯片將集成 GPU 和和 Gaudi 加速器加速器 資料來源:英特爾官網,華泰研究 英特爾的英特爾的 AI 相關相關 GPU 和和 ASIC 產品,產品,Gaudi 后續會將被集成到后續會將被集成到 GPU 里里 1)Ponte Vecchio:在 2021 年發布,但在 2023 年一季度才推出。Ponte Vecchio GPU結構復雜,一共有 47 個功能片,分 5 個制程。英特爾在 2021 年 8 月 19 日的 Architecture
248、Day 宣布 Ponte Vecchio GPU(Xe HPC)的計算層采用了臺積電 N5 工藝,基底采用了 Intel 7,Xe Link I/O 是臺積電 N7,另外 Rambo Cache 采用的是 Intel 7,對比 H100 和 MI300的臺積電 N5 制程。晶體管數量超 1000 億個,高于 H100 的 800 億,但低于 MI300X 的 1530億。內存屬 HBM2e,落后于 H100 和 MI300X 的 HBM3。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。49 英特爾英特爾(INTEL)(INTC US)2)Falcon Shores:原定為 XPU
249、(即 CPU+GPU 異構架構)產品,并準備于 2024 年推出,但在 2023年 3月,英特爾稱Falcon Shores 將為其下一個純 GPU產品,接棒 Ponte Vecchio GPU,且延后至 2025 年才推出。2023 年 5 月,英特爾在 ISC 2023 會議上再次確認 Falcon Shores 將推出僅 GPU 版。反觀,英偉達的 GH200 和 AMD 的 MI300A 也屬 CPU+GPU 架構的 AI 芯片。目前 Falcon Shores 的參數細節還未完全公布,已知道有 288GB 的 HBM3和 9.8TB/s 的內存帶寬,并能支持較低的數據精度,如 BF1
250、6 和 FP8。英特爾在 23Q3 業績會確認,到 2025 年 Gaudi 將集成于 Falcon Shores GPU 內,而根據 Toms Hardware 2023年 11 月 13 日報道,英特爾的產品路線圖中并沒有 Gaudi 3 處理器的后繼產品,這或意味著 Gaudi 與與 Falcon Shores GPU 合并后,將承擔英特爾首個合并后,將承擔英特爾首個 HPC 和和 AI 芯片的職責芯片的職責。3)Habana Gaudi:Gaudi 是由英特爾在 2019 年 12 月,以 20 億美元收購的 Habana Labs設計的 ASIC 芯片。Gaudi 主要用于主要用于
251、AI 工作負載工作負載,并適用于,并適用于作為加速器作為加速器配合公司的配合公司的 CPU一同使用,提升一同使用,提升 CPU 在在 AI 推理和訓練推理和訓練的處理效果。的處理效果。第一款 Gaudi(16nm)于 2019 年 6月推出,而 Gaudi2(7nm)在 2022 年末已推出。Gaudi 2 的架構特點是異構,包含 2 個MME(Matrix Multiplication Engine,矩陣乘法引擎)和 24 個 TPC(Tensor Processor Core,張量處理核),前者負責處理所有可轉換成矩陣運算的任務,例如卷積、GEMM(General Matrix Multi
252、plication)等,后者處理其他類型的運算。這兩種計算引擎可并行使用,因此兩種類型的運算可重疊進行,這也是 Gaudi 2 可有效提高運行 AI 模型速度的原因。公司預計下一代 Gaudi 3 將于 2024 年推出,而 CEO Pat Gelsinger 也于 2023 年 12 月 14日的發布會中展示了 Gaudi 3 的實物。該芯片采用臺積電 5nm 制程,性能提升顯著。其 BF16工作負載性能是 Gaudi 2 的 4 倍,網絡性能是 Gaudi 2 的 2 倍,HBM 容量是 Gaudi 2 的 1.5倍。Pat Gelsinger 在 2024 年 1 月的 CES 演講中表
253、示,Gaudi 3 正處于早期測試階段,客戶和軟件供應商將在幾個月后開始加入 Gaudi 3 的調試過程,Gaudi 3 目前進展順利,符合預期,將在 2024 年推出。公司在 23Q4 業績會中稱,以 Gaudi 為代表的加速器 AI 加速器的營收年增率達兩位數字,目前已知訂單遠高于 20 億美元,而且還在成長,至于 2024 年將增加對于 Gaudi 2 和 Gaudi 3 的供應。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。50 英特爾英特爾(INTEL)(INTC US)圖表圖表80:主要人工智能主要人工智能芯片的芯片的參數對比參數對比 英偉達英偉達 產品名稱產品名稱
254、A100 PCIeSXM H100 PCIeSXMNVL L40S GH200(HBM3)GH200(HBM3e)H200 發布時間發布時間 2020.6 2022.3 2023.8 2023.5 2023.8 2023.11 峰值算力峰值算力(TFLOPS)FP16:312624 FP8:302639587916 FP8:1466-INT8:1979 FP32:19.5 FP16:151319793958 FP16:733 FP16:989 FP64:19.5 FP32:5167134 FP32:91.6 FP32:494 FP64:5164134 FP64:34 工藝制程工藝制程 TSMC
255、 7nm TSMC 4nm TSMC TSMC 4nm TSMC 4nm TSMC 4nm 芯片面積芯片面積 826mm2 814 mm2-晶體管數晶體管數(B)54 80 76.3 200-80 內存容量內存容量(GB)80 GB(HBM2e)8080188(HBM3)48GB(GDDR6)96(HBM3)141(HBM3e)141(HBM3e)內存帶寬內存帶寬 19352039 GB/s 23.35 TB/s7.8TB/s 864GB/s=500GB/s 800GB/s 896GB/s 100GB/s -功耗(功耗(W)500 600-450600 600-資料來源:英偉達官網、AMD 官
256、網、谷歌官網、英特爾官網、ANANDTECH、semianalysis、toms Hardware、TechPowerUp、THENEXTPLATFORM、華泰研究 圖表圖表81:英特爾英特爾 Ponte Vecchio GPU 圖表圖表82:英特爾英特爾 Habana Gaudi 2 資料來源:英特爾官網,華泰研究 資料來源:英特爾官網,華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。51 英特爾英特爾(INTEL)(INTC US)圖表圖表83:Habana Gaudi 2 產品架構圖產品架構圖 圖表圖表84:MME 與與 TPC 異構可以使運算重疊,顯著加速工作異構
257、可以使運算重疊,顯著加速工作 資料來源:Habana Labs,華泰研究 資料來源:Habana Labs,華泰研究 圖表圖表85:Gaudi 3 相對相對 Gaudi 2 性能提升顯著性能提升顯著 圖表圖表86:英特爾英特爾 CEO Gelsinger 于于 2023 年年 12 月首次展示月首次展示 Gaudi 3 資料來源:Toms Hardware 官網、華泰研究 資料來源:Toms Hardware 官網,華泰研究 ASIC 在特定在特定場景與場景與領域領域中,中,性能具備優勢已有先例。性能具備優勢已有先例。我們看到我們看到 Gaudi 2 在一些基準測試在一些基準測試里表現較里表現
258、較 A100 優秀:優秀:1)推理端)推理端:HuggingFace 在 2023 年 3 月對 Habana Gaudi 2 與 A100進行了大模型(BLOOMZ)推理的基準測試。BLOOMZ 是一個 1760 億參數的文本生成模型。推理延遲測試的結果顯示,Gaudi 2 比 A100 快 1.2 倍。而針對小參數版本的 70 億參數 BLOOMZ-7 模型進行的推理測試中,Gaudi 2 比 A100 快 3 倍;2)訓練端)訓練端:Habana Labs對 Habana Gaudi 2 與 A100 進行了基準測試,運行了兩款芯片在 RestNet50 和 BERT 模型訓練的測試,稱
259、其訓練吞吐量可達到英偉達 A100 的兩倍。Databricks 2024 年 1 月 4 日在其官網表示,Gaudi 2 在訓練和推理均表現出色,并展示出最佳的每美元性能。然而,然而,想要與英偉達在訓練端匹敵,想要與英偉達在訓練端匹敵,Gaudi 還要面對還要面對 H100。英偉達在 2022 年 11 月對 H100 和Gaudi 2 進行了對比,在 ResNet 模型上,H100 比 Gaudi 2 快 1.1 倍左右,而在 BERT 模型上,H100 則比 Gaudi 2 快 2.4 倍。圖表圖表87:FP8 精確量化可使精確量化可使 Gaudi 2 性能提升性能提升 1 倍以上倍以上
260、 圖表圖表88:Habana Gaudi2 與與 A100 的推理延遲基準測試(單位:秒)的推理延遲基準測試(單位:秒)資料來源:Serve the Home 官網、華泰研究 資料來源:Hugging Face,華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。52 英特爾英特爾(INTEL)(INTC US)圖表圖表89:Gaudi 2 與英偉達與英偉達 A100、H100 在在 AI 推理端性能對比推理端性能對比 圖表圖表90:Gaudi 2 與英偉達與英偉達 A100、H100 在在 AI 訓練端性能對比訓練端性能對比 資料來源:Serve the Home 官網、華
261、泰研究 資料來源:Forbes 官網、華泰研究 圖表圖表91:英偉達英偉達 H100 比比 Gaudi2 在在 BERT 模型上快模型上快 2.4 倍倍 資料來源:英偉達官網,華泰研究 圖表圖表92:主流主流 AI 芯片對比芯片對比 訓練端訓練端 通用性強,但難以適應于人工智能時代大數據并行計算工作。通用性強,多維計算及大規模并行計算架構,適合深度學習需要;在訓練端 GPU 是第一選擇-針對特定框架進行深度優化定制,能耗較低,但開發周期較長,固定成本也較高 推理端推理端 需要大量空間去放置存儲單元(Cache)和控制單元(Control),用于邏輯控制。英偉達從 18 年開始通過 T4 芯片等
262、布局推理端到邊緣計算;對算力的要求較訓練端要低 依靠可編程性,適用于開發周期較短的產品,以及開發試錯階段等,但較成熟的量產設備多采用ASIC 若特定領域產生大規模需求,在大批量生產下成本優勢顯著;能耗也較低 代表廠商代表廠商 Intel/AMD NVIDIA/AMD Altera(Intel)/Xilinx(AMD)Google 的 TPU、亞馬遜 Trainium and Inferentia 等 資料來源:nextplatform 官網,HUAWEI 官網,華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。53 英特爾英特爾(INTEL)(INTC US)在具體應用中,
263、英特爾將 CPU 與 ASIC 同時部署在 AI 解決方案中。2023 年 5 月英特爾和BCG(Boston Consulting Group,波士頓咨詢)的一項合作,就使用了 CPU+ASIC 的組合AI 解決方案,BCG(未上市)將結合使用 Xeon 以及 Gaudi 系列產品,為 BCG 以自己在咨詢行業多年來的海量文件數據訓練出的人工智能模型提供算力支持。類似的行業應用場景廣闊,尤其是在行業內積累了大量數據,重視網絡安全和隱私,并希望使用 AI 來賦能這些數據的企業。以上的合作也衍生出來一家新的以上的合作也衍生出來一家新的 AI 軟件公司。英特爾宣布成立軟件公司。英特爾宣布成立 Ar
264、ticul8 AI,專為企業客戶,專為企業客戶提供生成式提供生成式AI軟件。軟件。2024年1月4日,英特爾宣布在數字資產管理公司DigitalBridge Group和其他投資者的支持下,將圍繞人工智能軟件業務組建一家新的獨立公司。該公司將被命名為 Articul8 AI,且不會公開上市。它起源于英特爾與波士頓咨詢集團(BCG)合作的企業人工智能技術項目。英特爾利用自己的超級計算機開發了一種生成式人工智能系統,該系統可結合開源和內部開發的技術來讀取文本和圖像。英特爾進一步優化了該系統,使其能在 BCG 的數據中心內運行,以此來增強隱私和安全性。英特爾表示,Articul8 AI 將提供全棧生
265、成式人工智能平臺,支持企業客戶將其數據、訓練過程和推理活動安全地存儲在企業云、本地部署或混合部署環境中。這一平臺的靈活性允許客戶根據自己的需要,選擇數據和應用程序的部署方式和時間。圖表圖表93:英特爾宣布組建公司英特爾宣布組建公司 Articul8 AI 圖表圖表94:Articul8 AI 為企業提供全棧生成式定制化人工智能平臺為企業提供全棧生成式定制化人工智能平臺 資料來源:英特爾官網,華泰研究 資料來源:Articul8 AI 官網,華泰研究 量子計算會是英特爾未來在超級計算機的秘密武器?量子計算會是英特爾未來在超級計算機的秘密武器?放眼未來,英特爾在量子計算的軟硬件探索方面也有望取得領
266、先。與其他采用超冷效應或激光等方法的量子計算不同,公司選擇在硅上進行實驗。英特爾將推出可持續的量子計算,并在實際算法上實現量子優勢(quantum supremacy)。公司將在 2030 年左右實現以量子計算為基礎的下一代超級計算機,該技術將是人工智能與高性能計算的交匯。英特爾自 2015年起在量子計算機領域投入研究資源,目標是在 2025 年前實現 1000 個量子比特(qubit)的可通用量子計算系統,以及在 2030 年實現擁有一百萬個量子比特的計算機?,F在的量子系統只包括數十或數百個糾纏的量子比特,這限制了它們解決現實世界的問題。為了實現量子實用性,商業量子系統需擴展到超過一百萬個量
267、子比特,并克服量子比特脆弱性和軟件可編程性等艱巨挑戰。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。54 英特爾英特爾(INTEL)(INTC US)圖表圖表95:英特爾的量子研究涵蓋整個計算堆棧,從量子比特設備到整體硬件、軟件和應用英特爾的量子研究涵蓋整個計算堆棧,從量子比特設備到整體硬件、軟件和應用 資料來源:英特爾官網,華泰研究 英特爾于 2015 年投資 5000 萬美元給荷蘭量子研究機構 QuTech,2018 年發布 49 個量子比特的量子芯片 Tangle Lake,在 2020 年發布量子芯片 Horse Ridge II,實現操控和讀取量子比特狀態。2023年英
268、特爾發布硅渦旋量子芯片Tunnel Falls,其在12寸硅晶圓上生產,利用了 EUV 光刻,晶圓良率達到 95%。相較超導量子比特芯片需要極低溫的要求,硅自旋量子比特有望顯著降低量子計算系統復雜性。在硅自旋量子比特中,信息的編碼(0/1)是通過單個電子的自旋狀態(上/下)實現。硅自旋量子比特本質上可視為單電子晶體管,因此英特爾能采用與標準 CMOS 生產線相似的工藝流程進行制造。英特爾致力于提升 Tunnel Falls 的性能,將其與英特爾量子軟件開發工具包(SDK)緊密集成,融入英特爾的量子計算堆棧中。根據中國國家自然科學基金委披露的信息,多位技術專家認為,從長期發展角度考慮,基于硅的量
269、子比特相較于谷歌和 IBM 所使用的超導量子比特技術更具潛力。這主要歸因于其更低的制造成本以及能夠更長時間保持量子態的能力。但硅自旋量子比特由單電子組成,仍面臨著多個量子比特之間布線的挑戰。量子計算在量子計算在 AI 領域的應用或需要全新算法與之適配。領域的應用或需要全新算法與之適配。目前主流 AI/ML 模型根據輸入數據調整參數(權重和偏差)進行訓練,采用梯度下降的方式進行參數學習,但量子計算的優勢體現在質因數分解任務,測量或估計量子計算機中的梯度異常困難。適用于量子計算的算法開發目前仍處于早期階段,2021 年由 Daniel J.Gauthier 等在 Nature Communicat
270、ion 發表的論文Next generation reservoir computing證明了適用于量子計算的新一代算法“儲層計算”(Reservoir Computing)在時間序列預測和分類任務上表現出色,該算法與非線性向量自回歸具有等效性,且無需隨機矩陣,只需較少訓練數據和元參數便可提供可解釋的結果。圖表圖表96:英特爾的量子比特設備英特爾的量子比特設備 圖表圖表97:英特爾完全加工的英特爾完全加工的 12 寸硅自旋量子比特晶圓寸硅自旋量子比特晶圓 資料來源:英特爾官網,華泰研究 資料來源:英特爾官網,華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。55 英特爾英特
271、爾(INTEL)(INTC US)圖表圖表98:英特爾推出量子物理的軟件開發包英特爾推出量子物理的軟件開發包 SDK 圖表圖表99:英特爾量子英特爾量子 SDK 應用于流體力學、天體物理、材料設計應用于流體力學、天體物理、材料設計 資料來源:英特爾官網,華泰研究 資料來源:英特爾官網,華泰研究 分拆分拆 FPGA 業務,向晶圓制造優先戰略轉型業務,向晶圓制造優先戰略轉型 英特爾于英特爾于 2023 年年 10 月宣布分拆可編程解決方案部門(月宣布分拆可編程解決方案部門(PSG,Programmable Solutions Group)業務(當中包括)業務(當中包括 FPGA),此舉應可釋放出資
272、金,以集中火力投資于晶圓代工業務,),此舉應可釋放出資金,以集中火力投資于晶圓代工業務,同時或有望釋放同時或有望釋放 FPGA 業務自主性。業務自主性。英特爾曾看中 FPGA 芯片靈活性的優勢,計劃將 Xeon處理器與 FPGA 結合,作為高度定制的集成產品,為 AI 計算提供支持。2013 年,FPGA 龍頭之一 Altera 與英特爾合作,就采用英特爾 14 nm tri-gate 晶體管技術制造 Altera FPGA達成協議。隨后在 2015 年英特爾收購 Altera,希望賦能其數據中心和 AI 產品。英特爾以167 億美元收購 Altera,而該公司 2015 年的營收約為 17
273、億美元,因此該收購價格對應 PS約為 10 x,高于當時行業平均 8.3x,體現了英特爾對 FPGA 推動數據中心產品進一步升級的樂觀預期。然而,由于 Altera 在被英特爾收購后制程從臺積電轉為 10nm 工藝,而 10nm工藝延遲又導致 Altera 產品延期,且英特爾將 FPGA(即 PSG)業務作為 DCAI 的一部分,將 FPGA 與數據中心產品的結合作為 PSG 業務的重點,從而拖慢了其在傳統 FPGA 市場前進的腳步,導致 PSG 業務增長放緩。2023 年 10 月初,英特爾宣布拆分 PSG 業務,分拆后的 FPGA 公司將于 2024 年 1 月 1 日起作為獨立實體運營。
274、此舉或可讓原 PSG 團隊重獲 FPGA 業務上的自主性和靈活性,并重新參與包括數據中心、通信、工業、汽車和航空航天等多個領域的競爭,同時通過讓 FPGA 業務自負盈虧和對外融資,為英特爾釋放出資金,以加大晶圓代工業務的投資。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。56 英特爾英特爾(INTEL)(INTC US)CCG 業務:業務:PC 復蘇態勢向好,復蘇態勢向好,AI PC 或或引領新引領新變革變革 我們預計英特爾我們預計英特爾 CCG 業務業務 2024/2025/2026 的的營業收入為營業收入為 322/341/358 億美元,對應營業億美元,對應營業收入同比為收
275、入同比為 10%/6%/5%。疫情以來的高基數影響以及 PC 市場庫存已基本出清,我們認為復蘇已至。截至 23Q3,全球 PC 出貨量已連續 2 個季度實現環比增長,同比下滑趨勢放緩。而英特爾于 23Q3 業績會也表示,公司庫存在 23 年上半年已出清,下半年營收有望實現連續環比增長。展望 24 年,我們認為隨著 PC 庫存回到正常水平、微軟停止支持 Win10、以及 Win12 和 co-pilot 的推出帶動新一輪的換機需求潮,將為 PC 市場帶來較大的增量。此外,AI PC 的崛起也將為 CCG 業務增長錦上添花。根據研究機構 Canalys 在 2023 年 9 月的預測,全球 AI
276、PC 出貨量到 24 年第四季度將占全球 PC 總出貨份額的 25%以上,預計在2025 年成為市場主流產品,并在 2026 年占整體銷售額的一半。英特爾正積極推進 AI PC計劃,目前已與 100 多家軟件廠商緊密合作,為 PC 市場帶來數百款 AI 增強型應用。我們認為,英特爾的 AI PC 產品線完善,公司預計酷睿 Ultra 處理器 24 年將為全球筆記本電腦和PC制造商的230多款機型帶來AI特性,并計劃在未來2年內交付1億個客戶端處理器。我們認為英特爾憑借其完善的 AI PC 產品布局及其內置的低功耗 CPU 和高算力 AI 加速器(NPU),有望在 AI PC 領域取得領先優勢。
277、全全球球 PC 出貨量出貨量或已或已觸底,觸底,多因素共振促成長,多因素共振促成長,AI PC 錦上添花錦上添花 PC 市場觸底反彈,市場觸底反彈,Windows 系統換代及系統換代及 AI PC 崛起拉動換機潮崛起拉動換機潮 我們認為截至我們認為截至 23Q3,PC 市場下滑或已觸底。市場下滑或已觸底。我們認為隨著疫情后 PC 出貨量已由高基數回落,23H2 PC 庫存開始恢復正常水平,且 Windows 系統換代及 AI PC 崛起,均帶動換機潮出現。全球 PC 出貨量在疫情早期大增,導致基數較高,但隨著疫情放緩 PC 出貨量大減。IDC 在 2023 年 12 月 21 日發布的預計中,
278、2024 年全球 PC 出貨量有望實現 3.4%的同比增幅;23Q3 全球個人電腦出貨量為 6820 萬臺,同比雖下降 7.6%,但下滑趨勢放緩,環比則增長 7.8%,連續兩個季度出現環比上漲趨勢(23Q2 的環比增長為 8.3%),我們認為已表明 PC 市場走出低谷。此外,考慮到四季度為傳統消費旺季,“黑五”大促疊加假日速銷,PC 出貨量應重返正軌。英特爾 CEO Pat Gelsinger 在 23Q3 業績電話會中表示,公司庫存在 23 上半年已出清,下半年客戶端業務營收有望實現連續環比增長,預計 2023 全年全球 PC 市場出貨量可達 2.7 億臺;而 AMD 同樣在 23Q3 電話
279、會上稱 PC 市場已恢復正常周期,并將于下半年持續改善。圖表圖表100:全球全球 PC 出貨量、同比及環比變化(單位:百萬臺)出貨量、同比及環比變化(單位:百萬臺)資料來源:IDC 官網、華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。57 英特爾英特爾(INTEL)(INTC US)Windows 10 即將終止服務及即將終止服務及 Windows 12 和和 co-pilot 的推出將對的推出將對 PC 需求需求有有拉動作用拉動作用。微軟已確定將于 2025 年 10 月 14 日終止對 Windows 10 的支持,其后用戶雖仍可使用Windows 10,但微軟不會
280、再為其推出安全更新,這意味著該版本將會暴露于各種安全風險之中,我們認為這將觸發換機需求,拉動 PC 出貨量。另外,根據 PC Gamer 官網 2023年 10 月 6 日報道,Windows 12 或將在 2024 年推出,我們認為新一代操作系統疊加 co-pilot的推出,將有望進一步拉動 PC 需求。安全隱私疊加模型輕量化趨勢,安全隱私疊加模型輕量化趨勢,AI 民主化需求從云轉向低功耗端側民主化需求從云轉向低功耗端側 我們認為,端側我們認為,端側 AI 將成為將成為 AI 計算的下一個發展重心。端側計算的下一個發展重心。端側 AI 部署是一種將部署是一種將 AI 模型(模型(數數據和計算
281、據和計算)和應用均置于個人或移動設備上運行的方式,并在處理器中新增了)和應用均置于個人或移動設備上運行的方式,并在處理器中新增了 NPU 模塊(模塊(AI加速器),提供更強大的加速器),提供更強大的 AI 算力算力。隨著生成式 AI 模型的使用量不斷增長,云端難以滿足隱私需求。端側 AI 的發展也需軟硬件的配合,包括輕量化、小型化的 AI 模型,以及高效、低耗的 AI 芯片。為了助力 AI 的端側運行,業界已開始壓縮訓練模型,并采用 FP8 的浮點精度格式,以降低對算力的要求,從而為 AI 訓練和推理帶來更大自由度,進一步推動 AI 民主化。英特爾正積極推廣 SYCL 代碼替代 CUDA,以完
282、善其生態系統和增加在高性能訓練領域的競爭力,真正使人工智能適用于所有人。相對于傳統的 PC 和手機,AI PC 和 AI 手機的差異在于搭載了 AI 芯片并集成了 NPU 模塊以支持 AI 計算,如蘋果 A17 Pro 已達 35 TOPS。目前,全球多家廠商在 AI PC 和 AI 手機上已開始全面布局,例如高通的 Snapdragon 8 Gen3 芯片,能在手機上運行百億參數的生成式 AI 模型。高通于 2023 年 7 月表示,將在終端側不斷提升大模型支持的參數閾值,讓更多的云端生成式 AI 應用能向端側遷移。2023 年 8 月隨著 Snapdragon 8 Gen 3 移動平臺的推
283、出,高通已支持超過 100 億參數的大模型在端側運行。谷歌 Pixel 8 AI 手機可利用 AIGC生成具有每個人最佳表情的混合圖像,還能調整視頻的顏色、光線、穩定性和顆粒感;英特爾 Lunar Lake 運用其內置 NPU 在幾秒內就可生成一首 Taylor Swift 風格的歌曲和一張戴著牛仔帽的長頸鹿圖片;AMD Ryzen AI 可實現自動取景對焦、眼神矯正、高級背景特效等多種 AI 功能。相較于純云端部署,端側部署具有多方面優勢:相較于純云端部署,端側部署具有多方面優勢:1)成本降低:成本降低:根據 vivo AI 全球研究院院長周圍于 2023 年 11 月表示,云端大模型一次對
284、話需要 0.012-0.015 人民幣,若有 2 億用戶,一天使用 10 次,一年開銷將達百億元。而端側 AI 部署能充分利用 NPU 的計算能力,減少不必要的網絡傳輸和云端計算,從而降低資源和能源消耗。2)延時降低:延時降低:能有效減少網絡傳輸的數據量和延時,提高實時性要求高的應用(如視頻會議、在線游戲、自動駕駛)的用戶體驗和服務質量。3)隱私提高:隱私提高:通過在本地進行處理和存儲,避免將數據上傳到云端,從而提高用戶數據隱私和安全,減少泄露、竊取、篡改等風險。4)定制化部署能力強:定制化部署能力強:端側 AI 能根據不同移動設備的規格和配置進行適配和優化,提高AI 應用的定制化部署能力。移
285、動端通過輕量化、小型化的模型、以及高效、低耗的 AI芯片等技術,實現定制化部署。AI PC 相比手機兼具散熱及應用場景優勢,或先成為相比手機兼具散熱及應用場景優勢,或先成為 AI 端側主力,并引領端側主力,并引領 PC 新增長新增長 我們認為,在這波我們認為,在這波 AI 端側的崛起過程中,端側的崛起過程中,AI PC 或率先成為主力,鑒于其在散熱和應用場或率先成為主力,鑒于其在散熱和應用場景均具備優勢。景均具備優勢。熱傳導和熱對流為目前手機及電腦的主流散熱方案,而手機相對電腦散熱面積小,熱傳導及熱對流效率較低,因此在散熱性上具有天然劣勢,且手機便攜性需求高,設計以輕薄為首也限制了散熱系統的部
286、署。2023 年 9 月推出的 iPhone 15 Pro 系列的散熱問題或帶出了 AI 手機在散熱方面的隱憂。iPhone 15 Pro 搭載的 A17 Pro 為全球首顆臺積電 3nm 制程的 ARM 架構 SoC 芯片,助力手機性能迎來突破性提升。在運算速度層面,CPU提高了 10%,GPU 提高了 20%,NPU 處理速度增至 A16 仿生芯片的 2 倍,算力提升至 35 TOPS。我們認為,過熱問題或是為了輕薄設計而在散熱系統上作出妥協,亦或是與 3nm制程工藝仍使用 FinFET(而不是 GAA)架構有關。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。58 英特爾英特
287、爾(INTEL)(INTC US)圖表圖表101:A17 Pro 處理器性能提升處理器性能提升 資料來源:蘋果官網,華泰研究 圖表圖表102:A17 Pro vs A16 Bionic 芯片參數對比芯片參數對比 A17 Pro A16 Bionic CPU 核心數量 6 6 GPU 核心數量 6 5 NPU 核心數量 16 16 算力 35 TOPS 17 TOPS 每秒計算速度 35 萬億次 17 萬億次 RAM 規格 8GB 6GB 晶體管數量 190 億 160 億 制程工藝 3nm 4nm 單核跑分 2914 2519 多核跑分 7199 6367 資料來源:Texch,華泰研究 大模
288、型輕量化及芯片設計改良,助力端側大模型輕量化及芯片設計改良,助力端側 AI 蓬勃發展蓬勃發展 端側可支持的垂直領域模型參數量相對較小。GPT-4 的參數量約為 1.8 萬億,相較于 GPT-3的1750億參數有大幅提升,而Meta的LLaMA-7B和谷歌的Bert-large等的垂直領域模型,其參數量僅為 70 億或 3.4 億。進一步壓縮后參數量可減至千萬級別,這些壓縮后的垂直領域模型在特定場景下性能不遜于基礎模型,為端側 AI 部署提供了可能。端側 AI 應用場景豐富,包括文字生成圖像或對話、NLP、編程、私人助理等。這些應用場景所需的大模型參數量一般在 10 億-150 億之間,已符合在
289、端側運行的范圍內。圖表圖表103:模型輕量化及參數變化模型輕量化及參數變化 模型名稱模型名稱 模型參數量模型參數量 壓縮模型名稱壓縮模型名稱 壓縮模型參數量壓縮模型參數量 PaLM2 3400 億 Gecko 480 億 LLaMA-7B 70 億 Alpaca-LORA 暫未公布 Bert-Large 3.4 億 TinyBert 1450 萬 文言一心 2600 億 文心 Ernie Tiny V2 2860 萬 資料來源:各公司官網,華泰研究 大模型壓縮技術為模型輕量化和端側運行提供基礎。大模型壓縮技術為模型輕量化和端側運行提供基礎。模型壓縮是將深度學習模型的參數量和計算量減少的技術,目
290、的是在節省計算資源的基礎上提高模型的運行效率,同時盡量保持模型的性能和準確性。模型壓縮的方法主要包括:模型壓縮的方法主要包括:1)知識蒸餾()知識蒸餾(Knowledge Distillation),讓小模型去擬合大模型的輸出,從而使得大小模型獲得相似的函數映射;2)剪枝()剪枝(Pruning),在訓練或微調模型中通過刪去非重要參數或通道來減少模型的大小和復雜度;3)量化)量化(Quantization),將模型參數的激活值從高精度(如 FP32)轉換為低精度(如 FP8、INT4),從而減少模型的存儲空間,提高模型的推理速度;4)低秩分解()低秩分解(Low-Rank Factorizat
291、ion),通過矩陣和張量分解來識別深度神經網絡的冗余參數。當需要減小模型大小時,低秩分解技術的原理為通過將大矩陣分解為較小的矩陣。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。59 英特爾英特爾(INTEL)(INTC US)圖表圖表104:知識蒸餾(知識蒸餾(Knowledge Distillation)原理圖示)原理圖示 圖表圖表105:剪枝(剪枝(Pruning)原理圖示)原理圖示 資料來源:Medium,華泰研究 資料來源:Embedded Computing Design,華泰研究 圖表圖表106:量化(量化(Quantization)原理圖示)原理圖示 圖表圖表107
292、:輕量化結構(輕量化結構(Low-Rank Factorization)原理圖示)原理圖示 資料來源:Xailient,華泰研究 資料來源:Xailient,華泰研究 AI 模型效果并非簡單取決于參數的多少,較小模型進行微調后甚至能超越大參數模型的性模型效果并非簡單取決于參數的多少,較小模型進行微調后甚至能超越大參數模型的性能,夯實了終端能,夯實了終端 AI 部署的基礎,最新的研究支持了這一觀點。部署的基礎,最新的研究支持了這一觀點。上海人工智能實驗室、香港中文大學與 UCLA 于 2023 年 6 月合作發表的LLaMA-Adapter:Efficient Fine-tuning of La
293、nguage Models with Zero-init Attention論文表示,利用 70 億參數的 LLaMA-Adapter輕量級適配模型,微調了 120 萬個參數,在 8 個 A100 GPU 上微調不到 1 小時,在多項任務中都取得較優異成績。在 ScienceQA 上達到了 85.19%的正確率,遠高于 ChatGPT 的78.31%和GPT-4的83.99%;在COCO Caption上達到了28.9的CIDEr分數,超過了GPT-4的 27.8 和 ChatGPT 的 25.6;在 Code Generation 上達到了 0.63 的 BLEU 分數,超過了GPT-4 的
294、 0.59 和 ChatGPT 的 0.54。在加速器內部邏輯設計方面,未來或會更激進推進低精度量化計算和稀疏計算。在加速器內部邏輯設計方面,未來或會更激進推進低精度量化計算和稀疏計算。多項學術研究顯示,若能將量化精度降低到 INT4,將顯著減小相關計算單元所需的芯片面積,同時降低模型對內存的需求,例如 Dong-Jin Chang、Byeong-Gyu Nam 等,于 2021 年在 IEEE Access發表的論文MixedNet:Network Design Strategies for Cost-Effective Quantized CNNs證明了采用 INT4 相對傳統的 FP32
295、 量化精度可令 MixedNet 大模型所需內存減少60%,內存訪問次數減少 53%。這一進展將有望提高計算性能和效率,同時減小硬件的占用,為移動端 AI 的更優設計創造可能性。高通產品管理高級副總裁 Ziad Asghar 在 Meet 2023 智能未來大會上表示,若將 FP32 浮點模型轉換為 INT4 模型,能效將提升 64 倍。若將 INT8 浮點模型轉換為 INT4 模型,能實現 60%的能效提升和 90%的 AI 推理速度提。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。60 英特爾英特爾(INTEL)(INTC US)圖表圖表108:模型問答任務準確率對比,輕量化
296、模型在微調后取得優異效果模型問答任務準確率對比,輕量化模型在微調后取得優異效果 資料來源:LLaMA-Adapter:Efficient Fine-tuning of Language Models with Zero-init Attention,華泰研究 各大芯片和各大芯片和 PC 廠商均進軍廠商均進軍 AI PC,商業化落地節奏明快,商業化落地節奏明快 PC 廠商正在積極探索從廠商正在積極探索從 Smart PC 向向 AI PC 轉變,轉變,擴展豐富的擴展豐富的 AI PC 應用場景應用場景。此前對PC 進行 AI 智能化僅限于 Smart PC 階段,即從應用場景出發(Always
297、on Always),例如:人機交互,包括語音智能喚醒、免接觸式場景和開蓋開機等功能。目前,隨著生成式 AI(AIGC)的迅速發展,將助力 Smart PC 向著 AI PC 的方向持續轉化。圖表圖表109:AI PC 發展演進趨勢發展演進趨勢 資料來源:群智咨詢官網,華泰研究 AI PC 或成未來或成未來 PC 銷量增長的主要推動力銷量增長的主要推動力 英特爾于 23 年 9 月 19 日的 Innovation 大會上首次提出“AI PC”概念,公司判斷端側 AI 加速將成為未來 PC CPU 市場的關鍵轉折點。公司推出 AI PC 加速計劃,目標在 2025 年前讓 1 億臺個人電腦搭載
298、擁有 AI 加速功能的 CPU。根據 Counterpoint 官網 2023 年 10 月 17日預測,AI PC 的出貨量在 20202030 年的 CAGR 將達到 50%以上,并將從 2026 年起占據市場主導地位。根據 Canalys 官網 2023 年 9 月 18 日的預測,受蘋果 M 系列芯片積極整合 NPU 推動,截至 23Q2 支持 AI 應用的 PC 已超過 500 萬臺;而隨著 x86 架構也開始增強其 AI 功能,AI PC 出貨量 2024 年迎來大幅提升,并于 24Q4 增至約 2000 萬臺。0%10%20%30%40%50%60%70%80%90%100%LL
299、aMA-AdapterGPT-4ChatGPTGPT-3VisualBETYMCAN 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。61 英特爾英特爾(INTEL)(INTC US)圖表圖表110:AI PC 出貨量在出貨量在 20202030 年的年的 CAGR 將達到將達到 50%以上以上 圖表圖表111:20232030 年年 AI PC 創新擴散曲線創新擴散曲線 資料來源:Counterpoint 官網,華泰研究 資料來源:Canalys 官網,華泰研究 各大芯片和各大芯片和 PC 廠商紛紛進軍廠商紛紛進軍 AI PC,促進商業化落地節奏。,促進商業化落地節奏。微軟預計
300、Copilot 等 AI 應用將在 Windows 使用中日益重要,并鼓勵相關芯片制造商將 AI 功能構建到 CPU 中。聯想目前 AI 已擴展到各類中高端產品系列,如消費 Yoga、游戲本 Legion、中小企業用戶 ThinkBook和商用 ThinkPad 等產品系列。ThinkPadX1 系列和 YOGA 系列中的第一代產品都符合聯想提出的智能 PC 理念。蘋果則考慮每年花費 10 億美元開發其生成式 AI 產品,同時也積極推進 5G 芯片在 MacBook Pro 產品線上的落地,以促進 AI PC 時刻在線的需求,公司預計發布時間在 2025 年?;萜?、宏碁等品牌也進一步加大與關鍵
301、軟件服務商和芯片供應商合作,將重新設計 PC 架構,相關 AI 筆記本方案會將在 2024、2025 年陸續推出。圖表圖表112:各各 PC 廠商廠商 AI PC 上市節奏上市節奏 廠商廠商 AI PC 上市節奏上市節奏 聯想聯想 2023 年 10 月 14 日,聯想展示 AI PC,能創建個性化的本地知識庫,通過模型壓縮技術運行個人大模型,實現 AI 自然交互;聯想 AI PC 預計在 2024 年 9 月后正式上市 蘋果蘋果 蘋果有望每年花費 10 億美元來開發其生成式人工智能產品,并加速 5G 芯片在 Macbook Pro 產品線上的落地,以促進 AI PC 在線需求,發布時間預計在
302、 2025 年 惠普惠普 惠普正與關鍵軟件供應商、關鍵芯片提供商展開緊密的合作,探索和重新設計 PC 的架構,最早會在 2024年推出全新 PC 宏基宏基 宏基目前已經與 CPU 廠商展開合作,預計將把 AIGC 或其他 AI 應用導入到終端設備上,相關 AI 筆記本方案會在 2024、2025 年陸續推出 戴爾戴爾 戴爾宣布與英偉達合作推出新的生成式 AI 解決方案,幫助客戶在本地快速安全地構建生成式 AI(GenAI)模型;此外將發布內置 AI 功能的小型節能新電腦 資料來源:各公司官網、華泰研究 各大高管亮相,推進各大高管亮相,推進 AI 應用規?;涞貞靡幠;涞?聯想聯想提提出出“
303、All For AI”戰略戰略,全棧智能布局全面升級全棧智能布局全面升級。2023 年 10 月 24 日,聯想在 Lenovo Tech World 2023 上首次展示了 AI PC、大模型壓縮技術、人工智能雙胞胎(AI Twin)等創新產品。聯想 AI PC 計劃于 2024 年 9 月后正式上市。AI Twin 是可在多平臺、多環境上運是可在多平臺、多環境上運行的對話個人行的對話個人 AI 助手。助手。聯想全棧智能全新升級之后,AI Twin 可通過壓縮模型在大模型終端設備上離線運行,譬如參考用戶的旅行日記和筆記,為用戶提供更個性化的旅行計劃?;旌匣旌?AI 大模型框架包括私域大模型的
304、微調、個人大模型的壓縮以及數據管理大模型框架包括私域大模型的微調、個人大模型的壓縮以及數據管理+隱私保護技隱私保護技術等術等 3 項技術。項技術?;旌?AI 框架采用了模型微調與企業知識向量數據庫相結合的方式,讓企業可通過特定數據進行額外的訓練和微調,在端側再加入企業知識矢量數據庫中的特定知識,從而有效處理特定任務,并得到精確的結果。同時,可根據模型參數的重要程度,對大模型進行適當壓縮,這既顯著縮小了大模型,同時還保持了性能,可讓大模型直接在個人終端設備上運行。AI Twin 和大模型壓縮技術可跨終端、跨平臺、跨架構,讓個人在終端體驗到 AI,而升級的基礎設施和應用服務,則能讓企業使用 AI。
305、免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。62 英特爾英特爾(INTEL)(INTC US)圖表圖表113:聯想發布人工智能雙胞胎聯想發布人工智能雙胞胎 AI Twin 圖表圖表114:聯想混合聯想混合 AI 生態生態 資料來源:聯想集團 Tech World 2023,華泰研究 資料來源:聯想集團 Tech World 2023,華泰研究 在 Lenovo Tech World 2023 上,微軟、英特爾、AMD、英偉達、高通等企業高管紛紛亮相,并宣布與聯想持續深化多層次戰略合作,共同推進 AI 應用規?;涞?。英偉達 CEO 黃仁勛表示,聯想與公司合作推出新的混合人工智能
306、計劃,加速將下一代云人工智能技術落地。聯想將提供基于 NVIDIA MGX 架構的新企業級 AI 解決方案。高通總裁兼 CEO Cristiano Amon 表示,下一代驍龍計算平臺采用了 Oryon CPU、先進的 GPU 和 NPU,意圖推動Windows 筆記本電腦的性能。英特爾 CEO Pat Gelsinger 表示,將與聯想攜手推動 AI 在客戶端、邊緣、網絡和云端的所有工作負載上的規?;瘧?,并推出基于酷睿 Ultra 處理器的AI PC“Meteor Lake”,為 PC 帶來 AI 加速和本地推理體驗。AMD CEO 蘇姿豐表示,公司與聯想的合作包括從數據中心的 ThinkS
307、ystem 到 ThinkStation 工作站和 ThinkPad 筆記本電腦。圖表圖表115:各各 AI 巨頭亮相大會,與聯想攜手巨頭亮相大會,與聯想攜手推進推進 AI 發展發展 注:從左到右依次為微軟董事長兼 CEO Satya Nadella,英偉達創始人、總裁兼 CEO 黃仁勛,高通總裁兼 CEO Cristiano Amon,AMD 董事長兼 CEO 蘇姿豐,英特爾 CEO Pat Gelsinger 資料來源:聯想官網,華泰研究 英特爾重點下注英特爾重點下注 AI PC,從現在到,從現在到 2025 年年產品規劃完善產品規劃完善 我們認為我們認為 AI PC 或將成為英特爾或將成
308、為英特爾 CCG 業務的強勁推動力。業務的強勁推動力。英特爾不僅積極構建 AI PC 生態,還制定了完善的 AI PC 處理器產品規劃。英特爾于 23 年 10 月的 Innovation Day 推出“AI PC 加速計劃”,并預計與超過 100 家企業合作,改善未來 PC 在游戲、視頻、安全性能等環節的 AI 性能,目標在 2025 年實現 1 億臺個人電腦的 AI 賦能。我們將在下文中以 2023年 12 月英特爾發布的首款 laptop(筆記本)端 AI PC 處理器 Meteor Lake 為起點,梳理英特爾未來 AI PC 產品規劃。免責聲明和披露以及分析師聲明是報告的一部分,請務
309、必一起閱讀。63 英特爾英特爾(INTEL)(INTC US)圖表圖表116:英特爾英特爾 AI PC 產品規劃參數對比產品規劃參數對比 系列名稱系列名稱 Meteor Lake Arrow Lake Lunar Lake Panther Lake(預計)發布時間(預計)發布時間 2023.12.14 2H 2024 2H 2024 2025 CPU 單元制程節點單元制程節點 Intel 4 Intel 20A Intel 18A 或 TSMC N3B*Intel 18A GPU 單元制程節點單元制程節點 TSMC 5nm TSMC 3nm TSMC 3nm TBC CPU 微架構微架構 Re
310、dwood Cove(P-Core)Lion Cove(P-Core)Lion Cove(P-Core)Cougar Cove(P-Core)Crestmont(E-Core)Skymont(E-Core)Skymont(E-Core)Darkmont(E-Core)最大核心數最大核心數 14 24 40 TBC GPU 微架構微架構 Xe-LPG Xe-LPG+Xe2-LPG Xe3-LPG 內存支持內存支持 DDR5 技術要點技術要點 英特爾首次使用 EUV 制造芯片;首次在 CPU 使用 chiplet架構,提升了制造的靈活性和成本效益;首次加入了 AI 加速引擎 NPU;引入新低功耗E
311、-Core。首款具有人工智能功能的 PC 游戲處理器;Intel 20A 使用 PowerVia和 RibbonFET 兩項突破性技術:RibbonFET 是英特爾基于 GAA 的技術,能有效的控制電流流通,解決 漏 電 問 題 并 提 高 能 源 效 率;PowerVia 是一種背面供電方案,通過將電力傳輸與信號發送分離,使得信號互聯層空間增加,帶來效率和性能的提升。18A 是四年五節點計劃的終極目標,實現后或在制程反超臺積電;具有新的低功耗架構和 IPC 改進,GPU 和 NPU 上 的 AI 性 能 比 Meteor Lake 高出三倍。CPU 架 構 更 新:采 用 全 新Cougar
312、 Cove P 核和 Darkmont E 核;iGPU 架構更新:由 Lunar Lake的 Xe2 升級至 Xe3 Celestial微架構。AI PC 方案架構方案架構 CPU+GPU+NPU NPU 算力算力 TBC 整體算力整體算力(TOPS)34 TBC TBC TBC 資料來源:英特爾官網,Anandtech 官網,Videocardz 官網,華泰研究;*注:Intel 18A 為公司官方規劃,TSMC N3B 為 Toms Hardware 報道 基于基于 Intel 4 的的 Meteor Lake 標志著英特爾標志著英特爾 AI PC 戰略打響了第一槍戰略打響了第一槍 英特
313、爾的首款英特爾的首款 AI PC 處理器處理器 Meteor Lake 已于已于 23 年年 10 月上市月上市,并在并在 12 月月 14 日日“AI Everywhere”發布會上正式發布發布會上正式發布,該該處理器處理器標志著英特爾標志著英特爾40年來最大的年來最大的PC芯片架構轉變芯片架構轉變,集成集成 GPU、NPU(神經處理單元)(神經處理單元)和和 CPU,兼具低功耗和,兼具低功耗和 AI 加速功能等優勢。加速功能等優勢。Meteor Lake首次采用分離式模塊化(Tile-based/Chiplet)設計,再采用 3D Foveros 封裝。處理器劃分為:計算模塊(Intel
314、4)、I/O 模塊(N6)、SoC 模塊(N6)、圖形模塊(N5),三種不同的制程技術。我們認為英特爾與臺積電的合作(及未來的更多合作)是重要看點之一。公司借助臺積電的成熟工藝,可更專注于發展 CPU 制程和更快實現成本效益的突破。Meteor Lake 的的 AI 算力強勁,總算力高達算力強勁,總算力高達 34 TOPS。但 Al 加速支持只有在 Windows 12發布后才會開始顯現其價值,公司預計 Windows 12 將于 24 年推出。其 NPU 可使 PC 在本地高效運行 AI 推理任務,同時其 tGPU 具備高性能,可與 NPU 協同運行 AI 工作負載。第三方 mooresla
315、wisdead 官網認為 Meteor Lake 中的 tGPU 單元(核顯)使用的 Iris Xe或將足以替代筆記本顯卡。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。64 英特爾英特爾(INTEL)(INTC US)圖表圖表117:Meteor Lake 單元結構單元結構 圖表圖表118:Meteor Lake 內部結構圖(內部結構圖(ADM 即即Adamantine,四級緩存),四級緩存)資料來源:英特爾,華泰研究 資料來源:英特爾專利文件,華泰研究 Meteor Lake 的特點:的特點:1)制程)制程:CPU 采用 Intel 4 制程,Intel 4 是英特爾首個采用
316、 EUV 光刻技術的節點,能效相比 Intel 7 提升了 20%,GPU 單元首次采用了臺積電 5nm 制程,SoC 和 I/O 采用了臺積電6nm 制程;2)CPU 模塊模塊:集成新一代 Redwood Cove P 核和 Crestmont E 核,Redwood Cove P 核相比上一代將進一步提升性能功耗比,而 Crestmont E 核能將時鐘速度提高 4%6%;3)GPU 模塊模塊:引入 Xe-LPG 架構,即目前 Arc A 系列獨立顯卡架構中 Xe-HPG 的低功耗版本,支持 DX12 Ultimate、AV1 編解碼等先進特性,每瓦性能高達 Alder Lake 的 2
317、倍;4)SoC 模塊模塊:首次集成了帶有 AI 推理引擎的 NPU,該 NPU 可與 tGPU 協同運行 AI 負載,使得生成式 AI 大模型得以在端側高效運行。此外,SoC 模塊也集成了 2 顆低能耗 E 核,將優先負責大部分負載,這意味著執行輕度工作負載時(輕度工作負載往往占據大部分時間)Meteor Lake 可讓 CPU 模塊休眠,從而大幅降低能耗;5)L4 四級緩存四級緩存:Meteor Lake 的另一個特點在于其支持 L4 四級緩存(Adamantine 架構圖中簡稱為 ADM),可提供比 L3 緩存更快的訪問速度,縮短加載時間。Meteor Lake 能耗優化能耗優化顯著顯著。
318、Meteor Lake 采用四個性能核(P-Core)、八個能效核(E-Core)和兩個位于 SoC 模塊的低功耗能效核(LP E-Core)構建的 3D 混合架構,通過僅用低功耗能效核執行低負載任務降低了整體功耗,同時保證了 CPU 較高的峰值性能。圖形模塊升級為全新 Xe LPG 微架構集成顯卡,即目前 Arc A 系列獨立顯卡架構中 Xe-HPG 的低功耗版本;圖形模塊的空間也得到擴大,Meteor Lake 擁有 8 個 Xe核心,即 128EU(Executive Unit,執行單元),較 Alder Lake 的 96EU 有了較大提升,能耗比有了兩倍的提升。此外,Meteor L
319、ake 還為游戲負載提供專門能耗管理系統 Arc Control。在啟動 Arc Control 模式時,火箭聯盟 能以每秒 30 幀的速度運行,而耗電量卻不到 1W。根據英特爾提供的基準測試,Meteor Lake 在提供與 Raptor Lake 相同性能的條件下只需消耗一半能耗。圖表圖表119:Meteor Lake 技術特點技術特點 圖表圖表120:GPU+CPU+NPU 架構的架構的 AI 運算能效運算能效 資料來源:英特爾官網,華泰研究 資料來源:英特爾官網,華泰研究 免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。65 英特爾英特爾(INTEL)(INTC US)圖表
320、圖表121:Xe LPG 架構較上一代能效比提升幅度架構較上一代能效比提升幅度 圖表圖表122:Meteor Lake NPU 架構架構 資料來源:英特爾官網,華泰研究 資料來源:英特爾官網,華泰研究 圖表圖表123:Meteor Lake 的的 AI 加速引擎加速引擎 圖表圖表124:Meteor Lake 的的 AI 能力優秀能力優秀 資料來源:英特爾官網,華泰研究 資料來源:英特爾官網,華泰研究 圖表圖表125:Meteor lake 提供專門針對游戲負載的能耗管理系統,能顯著降低能耗提供專門針對游戲負載的能耗管理系統,能顯著降低能耗 資料來源:英特爾,華泰研究 內置內置 NPU 除了可
321、通過本地運行除了可通過本地運行 AI 應用節省金錢和保護隱私外,還可以使用戶在視頻通話應用節省金錢和保護隱私外,還可以使用戶在視頻通話期間獲得更多的系統資源,鑒于期間獲得更多的系統資源,鑒于 NPU 能處理相機效果,從而釋放能處理相機效果,從而釋放 GPU 以處理其他任務。以處理其他任務。在 2023 年 12 月 14 日“AI Everywhere”大會上,英特爾還展示了在 Meteor Lake 筆記本電腦本地運行 Llama 2 LLM(大型語言模型),以及運行 Zoom 的背景模糊功能,圖中可看一個視頻窗口顯示的是由 GPU 生成的背景模糊,而另一個視頻窗口顯示的是由 NPU 生成的
322、模糊。英特爾所承諾的這項進步表現在:新的 Meteor Lake 芯片將能夠比當前筆記本電腦中的英特爾芯片更高效地執行 AI 任務。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。66 英特爾英特爾(INTEL)(INTC US)市場廣泛認為市場廣泛認為 Intel 的的 Meteor Lake 對標蘋果對標蘋果 M 系列芯片,由于兩者均采用分離式模塊設系列芯片,由于兩者均采用分離式模塊設計、計、E 核和核和 P 核分離的設計和臺積電制程,核分離的設計和臺積電制程,同時重點關注了能耗優化。同時重點關注了能耗優化。英特爾從 Meteor Lake到Panther Lake的產品線迭代
323、重點著眼于CPU、GPU和NPU三方面“每瓦性能”上的提升。鑒于 Meteor Lake 的設計技術革新使其在能耗方面展現出較大提升,而能耗正是蘋果 M 系列芯片的優勢所在,我們認為英特爾若能憑借 Meteor Lake 補足 x86 CPU 的能耗短板,提升每瓦性能,或有望與蘋果一較高下。圖表圖表126:Meteor Lake PC 本地運行本地運行 Llama 2 LLM 圖表圖表127:用用 NPU(左側)和(左側)和 GPU(右側)實現背景模糊的效果對比(右側)實現背景模糊的效果對比 資料來源:英特爾官網,華泰研究 資料來源:英特爾官網,華泰研究 圖表圖表128:Meteor Lake
324、 的工作負載會首先由低能耗的工作負載會首先由低能耗 E 核承擔,其次是核承擔,其次是全功耗的全功耗的 E 核,最后才到核,最后才到 P 核核 圖表圖表129:Meteor Lake 大部分工作負載會由大部分工作負載會由 SoC Tile 上的低能耗上的低能耗 E核承擔核承擔 資料來源:英特爾,華泰研究 資料來源:英特爾,華泰研究 基于基于 Intel 20A 的的 AI PC Arrow Lake 于于 24 下半年推出,將采用下半年推出,將采用 RibbonFET 和背部供電和背部供電 下一代基于下一代基于Intel 20A節點的節點的AI PC Arrow Lake將于將于2024下半年推
325、出,下半年推出,GPU單元將升級。單元將升級。與 Meteor Lake 主要適用筆記本端不同,Arrow Lake 將同時覆蓋筆記本端和桌面端。Arrow Lake 的一大重要升級在于 GPU 架構將升級至 Xe-LPG+,即 Meteor Lake 中 GPU 的增強版本,主要改進在于引入 XMX 計算單元,即 eXtended Matrix eXtensions(擴展矩陣),該技術專為 FP64、FP32、FP16 和 bfloat16 格式的矩陣乘法運算設計,可執行 DPAS(點積累加收縮)這一特殊運算指令,從而更高效地支持 XeSS 超分技術。另外,Intel 20A 也新增兩大創新
326、技術,RibbonFET 和 PowerVia,RibbonFET 讓帶狀的晶體管溝道整個被柵極環繞,能更有效的控制電流流通,而 PowerVia 則降低了電源干擾的影響,通過更緊湊的設計可有效提升晶體密度。根據 wccftech 官網 2023 年 11 月 29 日報道,Arrow Lake-H 已有樣片流出,“H”表示該芯片適用于筆記本端高性能游戲本。Arrow Lake-H 的 CPU 單元由6 個 P 核和 8 個 E 核組成,CPU 核微架構有較大優化,引入了新一代 Lion Cove(P 核)和 Skymont(E 核);GPU 單元將繼續采用臺積電代工,基于 3nm 制程。免責
327、聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。67 英特爾英特爾(INTEL)(INTC US)圖表圖表130:英特爾英特爾 PC CPU 路線圖路線圖 圖表圖表131:2024 年后英特爾年后英特爾 AI PC 處理器產品規劃處理器產品規劃 資料來源:英特爾官網,華泰研究 資料來源:英特爾官網,華泰研究 圖表圖表132:Arrow Lake 支持的支持的 XeSS 超分技術示意圖超分技術示意圖 圖表圖表133:Arrow Lake 支持的支持的 XeSS 超分技術效果圖超分技術效果圖 資料來源:Corsair 官網,華泰研究 資料來源:GPU Mag 官網,華泰研究 Lunar L
328、ake 將接棒將接棒 Arrow Lake,Panther Lake 基于基于 Intel 18A 將在將在 2025 年出臺年出臺 Lunar Lake 將于將于 2024 年在年在 Arrow Lake 之后推出,重點布局低功耗,旨在實現每瓦性能之后推出,重點布局低功耗,旨在實現每瓦性能的突破性提的突破性提升升。英特爾在 2023 年 Innovation 大會上展示了在 Lunar Lake 上運行 Riffusion和 Stable Diffusion 生成式 AI 大模型的突出效果。Toms Hardware 官網 2023 年 11 月 21日的報道則披露了關于 Lunar Lak
329、e MX 的更多細節,Lunar Lake MX 也將采用 Lion Cove(P 核)和 Skymont(E 核)微架構,NPU 單元包含多達 6 層 NPU 4.0 AI 加速器,封裝技術將沿用 3D Foveros 技術,能實現每瓦性能的突破性提升。在制程方面,該芯片在英特爾PC CPU 路線圖上顯示將基于 Intel 18A 節點。英特爾于 23 年 10 月 19 日的 Innovation Day 上展現了豐富的端側 AI 應用場景的落地,其中 Lunar Lake 運用其內置 AI 加速器在幾秒內就可生成一首 Taylor Swift 風格的歌曲和一張戴著牛仔帽的長頸鹿圖片。這些
330、生成式 AI 負載完全在端側進行,無需與云端互聯,從而縮短了運行時間并能保障隱私。為了加強 AI 算力,英特爾將和 CyberLink 在 NPU 應用方面進行緊密合作,以達到更理想的推理加速效果,并和 Blackmagic Design DaVinci 合作,優化 Core Ultra 的媒體引擎。Panther Lake 基于基于 Intel 18A 節點制造,將于節點制造,將于 2025 年推出,并最快于年推出,并最快于 24Q1 投產。投產。Panther Lake 將采用全新 Cougar Cove(P 核)和 Darkmont(E 核),iGPU 由 Lunar Lake 的 Xe
331、2升級至 Xe3 Celestial微架構。公司在 23Q4 電話會稱 Panther Lake 將很快進入晶圓廠。免責聲明和披露以及分析師聲明是報告的一部分,請務必一起閱讀。68 英特爾英特爾(INTEL)(INTC US)圖表圖表134:英特爾英特爾 AI PC 加速計劃加速計劃 圖表圖表135:英特爾的英特爾的 AI PC 軟件服務商合作網絡軟件服務商合作網絡 資料來源:英特爾官網,華泰研究 資料來源:英特爾官網,華泰研究 AMD 同樣積極布局同樣積極布局 AI PC 芯片及應用生態,跟英特爾又再狹路相逢?芯片及應用生態,跟英特爾又再狹路相逢?AMD 已已于于 2023 年初年初率先推出
332、率先推出首個首個 x86 架構架構 AI PC 處處理器理器 Ryzen 7040,又于,又于 2023 年年 12月推出新一代月推出新一代 x86 架構架構 Ryzen 8040“Hawk Point”處理器處理器,AI 性能較強且產品代際更迭迅性能較強且產品代際更迭迅速。速。AMD 已于 2023 年初推出集成 NPU 的 Ryzen 7040 系列 PC 處理器,基于 TSMC 4nm制程,內置 AMD Ryzen AI 引擎,NPU 最高算力可達 10 TOPS,可在本地以較低功耗執行AI 工作負載。Ryzen 7040 系列合作商目前已覆蓋宏碁、華碩、戴爾、聯想、惠普、小米等各大品牌
333、廠商。2023 年 12 月 7 日 AMD Advancing AI 大會上公司又推出了 Ryzen 8040“Hawk Point”處理器,該處理器相比 Ryzen 7040“Phoenix”主要改進了 NPU 運行速度,整體算力達 39 TOPS,NPU 算力提升至 16 TOPS,AI 大模型運行性能最高可提升 40%,游戲性能最高提升 80%。截至發布日,AMD 已向合作商發出 Ryzen 8040“Hawk Point”,而公司預計其正式上市時間為 24Q1。緊隨 Hawk Point 之后,集成下一代 XDNA2 NPU 的Ryzen 8050“Strix Point”也將于 2024 下半年發布,生成式 AI 性能將高達 Hawk Point 的 3倍;高配版 Strix Point Halo 集成 40 個 RDNA 3+GPU 計算單元,AI 算力達 40 TOPS。圖