《半導體設備行業專題報告:日荷制裁生效華為回歸看好板塊投資機會-231004(43頁).pdf》由會員分享,可在線閱讀,更多相關《半導體設備行業專題報告:日荷制裁生效華為回歸看好板塊投資機會-231004(43頁).pdf(43頁珍藏版)》請在三個皮匠報告上搜索。
1、【華西機械團隊華西機械團隊】劉澤晶:劉澤晶:S1120520020002S1120520020002日荷制裁生效,華為回歸,看好板塊投資機會請仔細閱讀在本報告尾部的重要法律聲明請仔細閱讀在本報告尾部的重要法律聲明僅供機構投資者使用僅供機構投資者使用證券研究報告證券研究報告/行業深度行業深度研究報告研究報告2023年10月4日半導體設備專題報告1核心觀點板塊深度調整具備配置價值,中報業績持續高速增長。2023年5月以來,受AI調整以及市場對美國后續制裁升級擔憂,板塊出現深度調整,隨著華為Mate60 Pro手機回歸利好催化,板塊短暫出現一定反彈,但相較于4月高點仍有27.1%回撤幅度。從基本面角
2、度看,2023H1十四家半導體設備企業合計實現營業收入205.54億元,同比+38.27%,實現歸母凈利潤44.57億元,同比+79.55%,業績兌現高增長;2023H1十四家半導體設備企業合同負債合計達到42.68億元,同比+42.68%,繼續創歷史新高,2023H1半導體設備新接訂單仍實現一定增長,充足在手訂單對板塊后續業績快速增長提供支撐。不論從股價位置,還是基本面角度,半導體設備當前具備配置性價比。美、荷、日制裁塵埃落地,國產替代邏輯持續強化。日本和荷蘭出口管制分別于7月和9月相繼生效,中國海關總署數據顯示,6-7月中國大陸進口日本、和荷蘭半導體設備金額同比提速明顯,尤其進口荷蘭光刻機
3、設備價值量大幅提升,可見核心設備不是短期擴產的瓶頸。中長期看,美、荷、日制裁塵埃落地,國內半導體設備有望加速實現進口替代。整體來看,半導體設備國產化率仍處于低位,對于量/檢測、涂膠顯影、離子注入設備等,我們判斷2022年國產化率仍低于10%,國產替代空間較大。在技術層面上,國產半導體設備企業在薄膜沉積、刻蝕、量/檢測、CMP、清洗等領域均已具備一定先進制程設備技術積淀。海外制裁升級背景下,半導體設備進口替代邏輯持續強化,我們看好晶圓廠加速國產設備導入,2023年半導體設備國產化率提升有望超出市場預期。大陸逆周期擴產加速趨勢明顯,半導體復蘇拐點信號出現。作為內資邏輯晶圓代工龍頭,中芯國際2022
4、年資本開支達到63.5億美元,同比+41%,中芯國際并預計2023年基本持平;此外,我們判斷存儲擴產好于先前預期,晉華、粵芯等二三線晶圓廠合計資本開支有望持續提升。海外設備龍頭ASML、Lam、KLA 2023Q2中國大陸地區收入占比環比實現了不同程度提升,根據各公司業績說明會,對中國大陸晶圓廠擴產保持樂觀態度,進一步驗證國內晶圓廠逆周期擴產趨勢。2023年3月以來,全球和中國大陸半導體銷售額出現連續數月環比改善,微觀層面,全球主要半導體公司2023Q2收入整體呈現環比提升態勢,全球晶圓代工龍頭臺積電、封測龍頭日月光單月營收數據好轉,進一步驗證了半導體行業復蘇拐點出現。我們認為中國大陸晶圓廠逆
5、周期擴產疊加半導體行業景氣度復蘇拐點出現共振下,看好中國大陸半導體設備需求端放量。華為Mate 60系列手機回歸,利好半導體產業鏈國產化。2023年8月29日,華為高端智能手機Mate 60系列強勢回歸,引發國內消費者購機熱潮,根據第三方拆解報告顯示,Mate 60 Pro搭載了新型麒麟9000s芯片,并采用了先進的7納米,取得重大突破。在半導體領域,華為研發和投資并舉,海思已經建立起了比較完善的芯片產品體系,產品涵蓋AI 芯片昇騰系列、云計算處理器鯤鵬芯片、手機 SoC 芯片麒麟系列、5G 基站芯片天罡和 5G 基帶芯片巴龍、聯接芯片凌霄系列,為華為自研芯片提供支撐;產業投資則依托哈勃投資,
6、根據企查查數據,截止2023年9月,哈勃已投出超過90家相關企業,不完全統計已經上市的企業14家。我們認為此次Mate 60系列回歸具有重要意義,搭載了國產麒麟9000s芯片,是華為國產突破階段性勝利,看好后續其他高端芯片的持續突破,全面利好半導體產業鏈國產替代,上游半導體設備環節有望受益。投資建議:半導體設備受益標的精測電子、拓荊科技、華海清科、芯源微、中科飛測、北方華創、中微公司、盛美上海、長川科技、至純科技、萬業企業、華峰測控。零部件受益標的正帆科技、新萊應材、福晶科技、富創精密、茂來光學、江豐電子、華亞智能等。風險提示:海外制裁、半導體行業景氣度下滑、晶圓廠資本開支不及預期等0UgVl
7、VgVcZmUsOrNtO8O8QaQmOqQtRsRjMpOpPfQpPyR9PrQrRvPqRtRvPtQmP2目錄板塊深度調整具備配置價值,中報業績持續高速增長一美、荷、日制裁塵埃落地,國產替代邏輯持續強化二大陸逆周期擴產加速趨勢明顯,半導體復蘇拐點信號出現三四華為Mate 60系列手機回歸,利好半導體產業鏈國產化五投資建議、受益標的及風險提示31.1 2023年板塊超額收益明顯,前道環節表現優于后道封裝圖表:2023年前道設備公司漲幅優于后道設備公司圖表:2023年半導體設備板塊行情經歷三個階段 截止2023年9月28日,半導體設備(長江)年初以來累計漲幅19.14%,具有明顯超額收益
8、,復盤2023年半導體設備板塊行情走勢,大致經歷三個階段。1)2023.2-2023.4,日本和荷蘭半導體制裁官宣,本土晶圓廠擴產預期上修,以及先進制程擴產預期落地,板塊迎來明顯上漲。2)2023.5-2023.7,以AI為代表的科技股調整,市場對美國后續制裁升級擔憂,板塊出現深度調整。3)2023.8-至今,華為Mate 60 Pro手機回歸,疊加ASML2000i以及后續光刻機獲得出口許可等利好,帶動板塊迎來較為明顯反彈。就不同環節2023年初至今股價漲幅表現看,前道設備優于后道測試設備:主要系后道封裝行業景氣度較差,持續承壓,前道受益于晶圓廠逆周期擴張且國產替代邏輯,前道設備環節國產化率
9、較低的量/檢測、薄膜沉積環節漲幅靠前,測試機龍頭年初至今甚至出現下跌。-10%0%10%20%30%40%50%60%70%半導體設備(長江)年初以來漲幅(%)半導體設備(長江)年初以來漲幅(%)市場擔心美國制裁升級華為Mate 60 系列手機回歸先進制程擴產預期,行業擴產預期上修-40%-20%0%20%40%60%80%100%2023年初以來漲幅(%)41.2 營收端持續兌現快速增長,利潤端實現翻倍增長圖表:2023H1半導體設備行業歸母凈利潤同比+79.55%圖表:2023H1半導體設備行業營收同比+38.27%我們選取十四家半導體設備行業重點標的【北方華創】【中微公司】【拓荊科技-U
10、】【華海清科】【長川科技】【芯源微】【至純科技】華峰測控】【盛美上?!俊救f業企業】【精測電子】【微導納米】【晶升股份】【金海通】作為統計樣本,2023H1合計實現營業收入205.54億元,同比+38.27%,其中封測行業景氣度承壓,相關公司營收出現較大幅度下滑。利潤端:2023H1 十四家半導體設備企業合計實現歸母凈利潤44.57億元,同比+79.55%,歸母凈利潤增速顯著高于營收入端增速,除了部分公司投資收益等影響,規模效應對板塊盈利水平提升起到積極作用。-50%-20%10%40%70%05010015020025030035040045020192020202120222023H1營業收
11、入(億元)同比增速-50%-20%10%40%70%100%0102030405060708020192020202120222023H1歸母凈利潤(億元)同比增速51.2 規模效應下費用率出現下滑,凈利率提升明顯圖表:2023H1半導體設備行業期間費用率同比-1.88%圖表:2023H1半導體設備行業凈利率同比+4.33pct 2023H1十四家半導體設備企業銷售凈利率21.64%,同比+4.33pct,盈利水平提升明顯:1)毛利端,2023H1十四家半導體設備企業毛利率45.06%,同比-2.24pct,同比出現一定下滑,其中最主要的原因系半導體設備龍頭北方華創高毛利電子元器件收入占比下降
12、所致,此外封測行業景氣度承壓,相關公司毛利率出現下滑。2)費用端,2023H1十四家半導體設備企業期間費用率為27.86%,同比-1.88pct,主要系規模效應,費用率下降對盈利水平提升起到積極作用,此外報告期內部分半導體設備公司投資收益大幅增長,對凈利率也起到正向作用。11.87%15.83%17.57%19.23%21.64%43.16%41.37%43.67%46.27%45.06%0%5%10%15%20%25%30%35%40%45%50%20192020202120222023H1凈利率毛利率31.48%31.54%30.98%28.15%27.86%-10%0%10%20%30%
13、40%50%20192020202120222023H1銷售費用率管理費用率研發費用率財務費用率期間費用率61.2 存貨&合同負債同高增長,在手訂單充足確保未來業績圖表:2023H1半導體設備行業合同負債同比+42.68%圖表:2023H1半導體設備行業存貨同比+56.30%2023H1十四家半導體設備企業存貨合計達到413.34元,同比+56.30%,創歷史新高,主要系在手訂單充足,發出商品和原材料大幅增長。2023H1十四家半導體設備企業合同負債合計達到42.68億元,同比+42.68%,繼續創歷史新高,我們判斷上半年半導體設備板塊新接訂單仍實現一定增長,在手訂單持續創新高,充足訂單對板塊
14、后續業績快速增長提供支撐。413.3456.30%-50%-20%10%40%70%100%05010015020025030035040045020192020202120222023H1存貨(億元)同比增速180.1242.68%-50%-20%10%40%70%100%02040608010012014016018020020192020202120222023H1合同負債(億元)同比增速7目錄板塊深度調整具備配置價值,中報業績持續高速增長一美、荷、日制裁塵埃落地,國產替代邏輯持續強化二大陸逆周期擴產加速趨勢明顯,半導體復蘇拐點信號出現三四華為Mate 60系列手機回歸,利好半導體產業鏈
15、國產化五投資建議、受益標的及風險提示82.1 美國:制裁升級至先進制程,后續制裁或好于市場擔憂圖表:2018年以來美國對華半導體制裁步步為營 2022年10月7日,美國對向中國半導體制裁升級,主要針對先進制程芯片制造設備,對128層及以上3D NAND芯片、18nm半間距及以下DRAM內存芯片、16nm或14nm或以下非平面晶體管結構(即FinFET或GAAFET)邏輯芯片相關設備進一步管控。此外在沒有獲得美國政府許可的情況下,美國國籍公民禁止在中國從事芯片開發或制造工作。擔心持續的制裁升級,是板塊出現調整的重要因素,此前市場擔心美國或將在今年夏天通過進一步限制芯片制造設備的銷售,但最終并未落
16、地。近期華為Mate 60 系列手機上市,引起市場強烈反響,加劇了市場對美國加大制裁管控的擔憂。參考2018年以來美國對華半導體制裁,步步為營,半導體是中美重要的博弈,我們預計后續制裁倘若升級,大概率不會一步到位,有望好于市場擔憂。時間時間事件事件2018年10月美國商務部發布公告,將福建晉華集成電路有限公司列入商務部實體名單,禁止美國企業向福建晉華出售技術和產品2019年5月美國商務部正式將華為列入“實體清單”,禁止美企向華為出售相關技術和產品2020年5月美商務部公告將延長華為的供貨臨時許可證90天至8月14日,但同時升級了對華為的芯片管制,以限制華為使用美國技術軟件在國外設計和制造半導體
17、的能力2020年12月美國商務部以“違反美國國家安全或外交政策利益”為由,宣布將中芯國際列入“實體清單”,這就意味著中芯國際生產10nm以下芯片所需要的原料和設備無法獲得美國批準出口。2022年10月美國BIS公布對中國出口管制新規,主要針對先進芯片和芯片制造設備領域。2022年11月美國向日本和荷蘭施壓,要求兩國的芯片制造領域相關企業立即禁止向中國出售產品,阻止先進芯片技術流入中國。2022年12月美國商務部決定將包括長江存儲、寒武紀、上海集成電路研發中心、上海微電子、深圳鵬芯微等在內的36家中國實體(包括一家長江存儲日本子公司)加入實體清單。92.2 日本:出口管制7月已生效,聚焦14nm
18、以下先進制程圖表:日本半導體設備出口管制清單內容以先進制程制造設備為主 2023年3月31日,日本政府宣布將修訂外匯與外貿法相關法令,5月正式頒布,7月施行:1)清單擬對六大類23種先進半導體制造設備追加出口管制,主要包括極紫外線(EUV)相關產品的制造設備和用于存儲元件立體堆疊的刻蝕設備。按線寬來看,均為1014nm以下的先進制程制造設備。2)此次新增的23種半導體制造設備及技術在從日本對外出口時均會觸發出口許可證要求,而這些新增物項在出口至中國大陸、中國香港和中國澳門時將僅能申請流程較為復雜、審批更加嚴格的許可證類型。序號序號新增設備類型新增設備類型1薄膜設備(限于為使用極紫外線制造集成電
19、路而特別設計的設備)2成膜設備,且滿足十項特定參數性能之一3設計用于在真空或惰性環境中形成薄膜,且滿足特定參數性能的設備4設計用于在特定真空或惰性環境中形成薄膜,且滿足特定參數性能(與上一項參數性能不同)的設備5使用有機金屬化合物形成釕膜層的設備,且滿足特定參數性能6空間原子層沉積設備,且滿足特定參數性能7在特定溫度或通過特定方式成膜的設備,且滿足特定參數性能8設計用于硅(包括添加了碳的硅)或硅鍺(包括添加了碳的硅鍺)的外延生長設備,且滿足特定參數性能9設計通過特定方式形成特定鎢膜層的設備10設計用于通過特定方式形成特定低介電層,使之不留空隙的設備11為使用極紫外線制造集成電路的設備而特別設計
20、的薄膜12采用步進重復式或步進掃描式處理晶圓的光刻機,滿足特定參數性能13為極紫外線制造集成電路設計的對抗進行蝕劑涂布、成膜、加熱或顯影的設備14設計用于掩膜的,通過特定方式形成多層反射膜的設備(僅限為極紫外線制造集成電路設計的掩膜)15設計用于通過等離子體形成特定碳硬掩膜的設備16設計用于干法刻蝕的設備,且滿足特定參數性能17設計用于濕法刻蝕的設備,且滿足特定參數性能18設計用于各向異性干法刻蝕的設備,且滿足特定參數性能19設計用于在特定真空狀態下去除高分子殘留及銅氧化膜,以及進行銅的成膜的設備20具有多個腔體,設計用于通過干式工藝去除表面氧化物進行前處理的設備,或者設計用于通過干式工藝去除
21、表面污染物的設備21具有晶圓表面改性后進行干燥的工藝的單片式清洗設備221種退火種退火設備設備在真空狀態下工作的退火設備,且滿足特定參數性能231種量種量/檢檢測備測備為對使用極紫外線制造集成電路設計的掩膜,或該設計帶圖形的掩膜進行檢查而設計的設備11種薄膜種薄膜沉積設備沉積設備3種清洗種清洗設備設備4種光刻種光刻/曝光設備曝光設備3種刻蝕種刻蝕設備設備102.2 日本:全球半導體設備重要構成,眾多細分領域全球領先圖表:日本半導體設備廠商優勢領域集中于薄膜/光刻/涂膠顯影/刻蝕/清洗等領域 日本在涂膠顯影、清洗領域具備全球主導地位,并在薄膜沉積、刻蝕等領域全球領先。日本半導體設備產業已涌現出T
22、EL、DNS、Hitachi等全球龍頭,其中TEL為世界第三大半導體設備廠商,業務涵蓋涂膠顯影、CVD、ALD、刻蝕、清洗等,尤其在涂膠顯影設備領域占據主導地位,我們預計全球市場份額近90%。此外,清洗設備也是日本半導體設備優勢領域,2019年DNS和TEL兩家合計全球占比達到77%。01002003002021年收入(億美元)圖表:2021年TEL營收位居全球半導體設備第三 112.2 日本:中國大陸是重要出口市場,晶圓廠中標占比較高圖表:2022年國內晶圓廠日本設備中標占比情況圖表:2021年日本設備占中國半導體設備進口總額近30%中國大陸對于日本半導體設備依賴度較高,進口金額占比約30%
23、。1)中觀層面來看,2021年日本向中國出口半導體設備118億美元,約占日本出口額的38.7%,約占中國進口額的28.8%。2)微觀層面來看,2022財年TEL實現收入20038億日元,其中對中國大陸市場實現收入5662億日元,收入占比達到28%,進一步驗證中國大陸市場對于日本半導體設備企業的重要性。細分設備類別來看,若以華虹無錫、上海積塔的中標結果來看,兩家晶圓廠對于日本的涂膠顯影設備、清洗設備和熱處理設備的中標占比較高,2022年分別達到82%、33%和54%;而薄膜沉積設備、光刻機、刻蝕設備和量/檢測設備的占比均低于15%。41030526220011868250501001502002
24、50300350400450中國日本美國荷蘭進口總額(億美元)出口總額(億美元)對華出口(億美元)14.2%7.1%81.8%14.4%32.6%10.5%53.7%0%10%20%30%40%50%60%70%80%90%122.2 日本:中國大陸進口金額加速增長,制裁短期影響不大圖表:2023年6月和7月中國大陸進口日本半導體設備金額提升明顯 隨著日本出口芯片設備的禁令于7月23日正式生效臨近,中國大陸進口日本半導體設備金額呈明顯增長態勢:2023年6月和7月進口金額分別為5.50億美元、8.23億美元,分別同比+16.96%、+64.80%,提升明顯,7月更是創造了中國進口日本半導體設備
25、金額單月新高。2023年1-7月中國大陸累計進口日本半導體設備金額達到37.98億美元,同比+10.80%,在全球行業下行的背景下仍實現了穩步增長。進一步分析,CVD、干法刻蝕設備、熱處理、光刻機等日本有一定優勢的半導體設備細分環節,其中 CVD、干法刻蝕設備、光刻機中國大陸7月進口金額提升明顯,熱處理設備同比持續下降,我們判斷主要與北方華創本土企業實現進口替代有一定關系。我們認為在日本出口管制生效前,中國大陸加速拉貨,短期看日本制裁生效對國內晶圓廠擴產影響不大,中長期看本土設備突破以及國產替代的進度。-50%-20%10%40%70%100%0100200300400500600700800
26、900從日本半導體設備進口金額(百萬美元)同比增長(%)132.2 日本:中國大陸進口金額加速增長,制裁短期影響不大圖表:2023年1-7月中國大陸進口日本熱處理設備金額圖表:2023年1-7月中國大陸進口日本刻蝕設備金額 圖表:2023年1-7月中國大陸進口日本光刻機金額圖表:2023年1-7月中國大陸進口日本CVD金額-50%-20%10%40%70%100%130%160%020406080100120140160180200202301202302202303202304202305202306202307從日本等離子干法刻蝕設備進口金額(百萬美元)同比增長(%)-75%-15%45%
27、105%165%010203040506070202301202302202303202304202305202306202307從日本進口CVD金額(百萬美元)同比增長(%)-50%10%70%130%190%250%310%050100150200250300202301202302202303202304202305202306202307從日本進口光刻機金額(百萬美元)同比增長(%)-60%-30%0%30%60%90%020406080100120140202301202302202303202304202305202306202307從日本進口氧化擴散等熱處理設備金額(百萬美元)同
28、比增長(%)142.3 荷蘭:出口管制生效,2000i以及后續型號短期獲得許可2023年3月8日,荷蘭政府以“國家安全”為由,宣布將對包括“最先進的”深紫外光刻機(DUV)在內的特定半導體制造設備實施新的出口管制,并加入美國對華芯片出口管制的陣營。2023年6月30日,荷蘭政府公布了有關半導體設備出口管制的新規定,新的出口管制側重于先進的芯片制造技術,包括最先進的沉積和浸沒光刻系統,將于2023年9月1日生效,體現在以下兩方面:1)對DUV設備(光源波長大于等于193nm)的限制門檻是同時滿足兩個條件:光源波長K/數值孔徑=45nm;套刻精度=1.5nm。根據ASML官網聲明,對外出口其最先進
29、的浸沒式DUV光刻系統(TWINSCAN NXT:2000i和后續的浸沒式系統),需要向荷蘭政府申請出口許可證,而1980Di浸潤式光刻系統(套刻精度1.6nm),以及更低端的光刻設備的出口未受荷蘭政府管控。2)新規還對用于金屬原子層沉積(ALD)的設備、進行無空隙等離子體放大沉積的設備等進行限制。近期,根據中國日報報道,ASML已向荷蘭政府提出TWINSCAN NXT:2000i及后續推出的浸潤式光刻系統的出口許可證申請,荷蘭政府也已經頒發了截至9月1日所需的許可證,允許ASML2023年繼續發運TWINSCAN NXT:2000i及后續推出的浸潤式光刻系統。自2024年1月1日起,ASML
30、將基本不會獲得向中國客戶發運這些設備的出口許可證。152.3 荷蘭:出口管制生效,2000i以及后續型號短期獲得許可圖表:ASML主要型號光刻機參數 ASML光刻機包含DUV(干式和浸沒式)和EUV兩大系列,浸沒式DUV是先進制程分界點,包含1965Ci、1970Ci、1980Di、2000i、2050i和2100i等系列,后四種型號是ASML浸沒式DUV主力機型,其中2000i、2050i、2100i是先進制程擴產的主要型號。光源光源波長(波長(nm)最高分辨率(最高分辨率(nm)NADCO(nm)wphTWINSCAN XT:400Li-line3652200.48-0.6512250TW
31、INSCAN XT:860MKrF2481100.55-0.8012240TWINSCAN XT:1060KKrF248800.50-0.933.5205TWINSCAN XT:1460KArF193650.65-0.933.5205TWINSCAN XT:1470ArF193570.70-0.934.5300TWINSCAN XT:1965CiArFi193(134)380.85-1.352.5250TWINSCAN XT:1970CiArFi193(134)380.85-1.352250TWINSCAN XT:1980DiArFi193(134)381.351.6275TWINSCAN X
32、T:2000iArFi193(134)381.351.4275TWINSCAN XT:2050iArFi193(134)381.351295TWINSCAN XT:2100iArFi193(134)381.350.9295TWINSCAN NXE:3400CEUV13.5130.331.4135TWINSCAN NXE:3400DEUV13.5130.331.4160型號型號干式干式DUV浸沒式浸沒式DUVEUV162.3 荷蘭:中國大陸進口光刻機加速增長,設備價值量提升明顯圖表:2023年5月份以來從荷蘭進口光刻機均價提升明顯圖表:2023年5月份以來從荷蘭進口光刻機金額加速提升 隨著荷蘭出
33、口管制正式生效臨近,中國大陸從從荷蘭進口光刻機金額同樣呈現加速增長態勢。1)從進口金額看,5、6、7月分別達到3.84億美元、8.74億美元、6.23億美元,同比+67%、+501%、+799%,提速明顯,2023年1-7月累計進口金額達到25.86億美元,接近2022年ASML在中國大陸的銷售額(31.08億美元),同比+65%,拉貨勢頭明顯。2)從進口臺數和均價看,5、6、7月進口荷蘭光刻機臺數為13、22、15臺,設備均價達到2952、3974、4171萬美元,同比+106%、+228%、+320%,光刻機設備價值量提升明顯,我們推測主要系部分高端浸沒式DUV光刻機占比提升明顯。我們認為
34、短期看隨著更高端光刻機加速進口,光刻機目前不是國內晶圓廠較為先進制程擴產瓶頸。-100%0%100%200%300%400%500%600%700%800%900%01002003004005006007008009001000光刻機進口金額(百萬美元)同比增長(%)1291813161271113121311916151113221505001,0001,5002,0002,5003,0003,5004,0004,5000510152025數量臺數光刻機單價(萬美元)172.3 荷蘭:多重曝光打破技術瓶頸,1980Di可用作先進制程圖表:2018年臺積電7nm工藝實現量產 NXT:1980D
35、i 雖然分辨率在38nm左右,可適用于10-16nm制程,理論上通過多重曝光,可以支持到7nm。2016年6月,臺積電領先業界成功產出良率達到2位數的256Mb的靜態隨機存取記憶體,并于2018年實現量產??紤]到ASML的1980Di機臺量產時間是2016年,而2000i DUV機臺在2018下半年才實現發貨,我們推測臺積電的第一代7nm工藝基于 NXT:1980Di 實現的。182.3 荷蘭:多重曝光打破技術瓶頸,1980Di可用作先進制程圖表:曝光-蝕刻-曝光-蝕刻的雙重曝光工藝圖表:多重曝光方法原理圖資料來源:MENTOR GRAPHICS,華西證券研究所當前主流的1.35NA的193n
36、m浸沒式光刻機能夠提供36-40nm的half-pitch分辨率,可以滿足28nm邏輯技術節點的要求,如果小于該尺寸,就需要雙重曝光甚至多重曝光技術。雙重曝光實現方式:曝光刻蝕曝光刻蝕(Lithography-Etch-Lithography-Etch),就是把原來一層光刻圖形拆分到兩個或多個掩膜上,利用多次曝光和刻蝕來實現原來一層設計的圖形。多重曝光雖可以解決光刻機分辨率極限,1980Di可以用作更先進工藝節點,套刻精度是需要考慮的關鍵問題,此外多重曝光會使得成本提升,良率降低。資料來源:MENTOR GRAPHICS,華西證券研究所192.4 美、日、荷制裁落地,設備進口替代邏輯持續強化圖
37、表:2018年以來中國大陸半導體設備企業快速進步,最直接的體現為相關企業市場份額快速提升 測算2018201820192019202020202021202120222022中國大陸薄膜沉積設備市場規模(億元)168176245388369拓荊科技營業收入(億元)0.72.54.47.617.06拓荊科技市場份額(%)拓荊科技市場份額(%)0.4%0.4%1.4%1.4%1.8%1.8%2.0%2.0%8.9%8.9%中國大陸刻蝕設備市場規模(億元)160168234370352中微公司刻蝕設備收入(億元)5.7-12.920.031.5中微公司市場份額(%)中微公司市場份額(%)3.6%3.
38、6%-5.5%5.5%5.4%5.4%8.9%8.9%中國大陸清洗設備市場規模(億元)3840568884盛美上海清洗設備收入(億元)5.06.38.210.620.8盛美上海市場份額(%)盛美上海市場份額(%)13.1%13.1%15.7%15.7%14.7%14.7%12.0%12.0%24.7%24.7%至純科技清洗設備收入(億元)-0.82.27.07.9至純科技市場份額(%)至純科技市場份額(%)-2.0%2.0%4.0%4.0%7.9%7.9%9.5%9.5%芯源微清洗設備收入(億元)0.71.00.82.95.5芯源微清洗設備市場份額(%)芯源微清洗設備市場份額(%)1.9%1.
39、9%2.4%2.4%1.4%1.4%3.3%3.3%6.5%6.5%清洗設備國產化率(%)(僅考慮上述三家)清洗設備國產化率(%)(僅考慮上述三家)15%15%20%20%20%20%23%23%41%41%中國大陸涂膠顯影設備市場規模(億元)3032457067芯源微涂膠顯影設備收入(億元)1.31.12.45.17.57芯源微市場份額(%)芯源微市場份額(%)4.3%4.3%3.4%3.4%5.4%5.4%7.2%7.2%11.3%11.3%中國大陸CMP設備市場規模(億元)2324335368華海清科CMP設備收入(億元)0.31.93.56.914.31華海清科市場份額(%)華海清科市
40、場份額(%)1.3%1.3%7.9%7.9%10.5%10.5%13.1%13.1%21.1%21.1%中國大陸量/檢測設備市場規模(億元)8488123194185中科飛測營業收入(億元)0.30.62.43.65.09中科飛測市場份額(%)中科飛測市場份額(%)0.4%0.4%0.7%0.7%2.0%2.0%1.9%1.9%2.8%2.8%上海精測營業收入(億元)0.00.00.61.11.7上海精測市場份額(%)上海精測市場份額(%)0.0%0.0%0.0%0.0%0.5%0.5%0.6%0.6%0.9%0.9%上海睿勵營業收入(億元)0.30.10.20.40.7上海睿勵市場份額(%)
41、上海睿勵市場份額(%)0.3%0.3%0.1%0.1%0.2%0.2%0.2%0.2%0.4%0.4%量/檢測設備國產化率(%)(僅考慮上述三家)量/檢測設備國產化率(%)(僅考慮上述三家)0.7%0.7%0.9%0.9%2.6%2.6%2.6%2.6%4.0%4.0%涂膠顯影設備涂膠顯影設備CMP設備CMP設備刻蝕設備刻蝕設備量/檢測設備量/檢測設備清洗設備清洗設備薄膜沉積設備薄膜沉積設備202.4 美、日、荷制裁落地,設備進口替代邏輯持續強化圖表:2022年國內大部分半導體設備環節國產化率仍不足20%測算整體來看,半導體設備國產化率仍處于低位。收入口徑下,2022年11家半導體設備企業合計
42、實現營收378億元,同比+54%,對應半導體設備市場整體國產化率仍不足20%。細分領域來看,國產半導體設備企業在清洗、熱處理、CMP、刻蝕設備等領域已取得一定市場份額。然而,對于光刻、量/檢測、涂膠顯影、離子注入設備等領域,我們判斷2022年國產化率仍低于10%,國產替代空間較大。圖表:2022年半導體設備整體國產化率仍不足20%設備種類設備種類國產化率國產化率 市場規模(億元)市場規模(億元)國內企業國內企業薄膜沉積設備25%497北方華創、拓荊科技、中微公司、微導納米等光刻機25%474中微公司、北方華創等量/檢測設備30%113盛美上海、北方華創、至純科技、芯源微等涂膠顯影設備30%68
43、華海清科等熱處理設備30%56北方華創、屹唐半導體、盛美上海等離子注入設備10%45萬業企業、中科信0%10%20%30%40%50%60%050100150200250300350400201720182019202020212022E營業收入(億元)同比(%)國產化率212.4 美、日、荷制裁落地,設備進口替代邏輯持續強化圖表:本土半導體設備企業在多個環節先進制程領域產業化進展加速 國產半導體設備先進制程產業化進展加速,具備持續擴張的條件。持續高度研發投入下,國產半導體設備企業在薄膜沉積、刻蝕、量/檢測、CMP、清洗等領域均已具備一定先進制程設備技術積淀,并且產業化進展正在快速推進,具備持
44、續擴張的底層技術基礎。公司公司設備類型設備類型先進制程進展先進制程進展PECVD1)SiN、SiON、TEOS、ACHM等工藝:邏輯14nm產業化驗證中;存儲128L 3D NAND、19/17nm DRAM產業化驗證中;2)SiO2工藝:邏輯14nm及10nm以下產業化驗證中;3)Thick TEOS工藝:存儲DRAM19/17nm產業化驗證中;4)NO stack工藝:存儲3D NAND128L產業化驗證中SACVDBPSG工藝刻蝕調節層:DRAM19/17nm產業化驗證中ALD1)SiO2、SiN等介質材料薄膜:邏輯28-14nm SADP、STI Liner工藝已實現產業化應用;存儲1
45、28L以上3D NAND、19/17nm DRAM產業化驗證中;2)AI2O3、ALN等金屬化合物薄膜:邏輯28nm以下制程研發中CCP刻蝕設備1)邏輯:在5nm及更先進產線實現多次批量銷售;28nm及以下一體化大馬士革刻蝕設備已通過初步驗證,即將進入市場2)3D NAND:可在64L、128L及更高層數實現量產,60:1極高深寬比刻蝕設備已完成開發進入產線驗證ICP刻蝕設備已經在多個邏輯芯片和存儲芯片廠商的生產線上量產。正在進行下一代產品的技術研發,以滿足5nm以下的邏輯芯片、1Xnm的DRAM芯片和200L以上的3D NAND芯片等產品的刻蝕需求薄膜沉積設備滿足先進邏輯器件接觸孔填充應用,
46、以及64L、128L 3D NAND中的多個關鍵應用。首臺CVD鎢設備已付運至關鍵存儲客戶端驗證評估,新型號高深寬比CVD鎢和ALD鎢設備已開始實驗室測試并對接關鍵客戶驗證EPI(外延設備)面向28nm及以下的邏輯器件、存儲器件和功率器件等的廣泛應用。公司正在開放擁有自主知識產權的外延設備,以滿足客戶先進制程中鍺硅外延生長工藝的電性和可靠性需求。目前該設備研發進展順利,已進入樣機的制造和調試階段華海清科華海清科CMP設備1)邏輯:在28-14nm產線已實現量產,14nm制造設備正處于開發階段2)存儲:在128L及以上3D NAND產線已實現量產,在1X/1Y DRAM芯片制造產線已實現量產芯源
47、微芯源微涂膠顯影設備新型前道設備可通過選裝全面覆蓋I-Line、KrF、ArFdry、ArF浸沒式等多種光刻技術,實現了在28nm及以上工藝節點的全覆蓋,已通過客戶端驗證達到量產要求精測電子精測電子量/檢測設備1)膜厚量測設備:可應用于28nm FEOL以及14nm BEOL,已取得一線客戶批量訂單2)OCD:滿足28nm制程需求,已通過多家客戶驗證并成功交付,可測量FinFET、NAND等多種樣品;3)電子束缺陷復查設備(Review SEM):可應用于1Xnm產線,已取得一線客戶批量訂單至純科技至純科技清洗設備濕法設備已滿足28nm全部工藝要求且均獲得訂單,14nm及以下濕法設備也有4臺設
48、備交付拓荊科技拓荊科技中微公司中微公司22目錄板塊深度調整具備配置價值,中報業績持續高速增長一美、荷、日制裁塵埃落地,國產替代邏輯持續強化二大陸逆周期擴產加速趨勢明顯,半導體復蘇拐點信號出現三四華為Mate 60系列手機回歸,利好半導體產業鏈國產化五投資建議、受益標的及風險提示233.1 逆周期擴產加速推進,中芯國際資本開支維持高位圖表:2021年中國大陸半導體銷售額全球占比為35%圖表:2021年底中國大陸晶圓產能全球占比僅16%資料來源:Knometa Research,華西證券研究所相較IC設計、封測環節,晶圓制造是中國大陸當前半導體行業短板,自主可控驅動本土晶圓廠逆周期大規模擴產。中國
49、大陸市場晶圓產能缺口較大,2021年底晶圓全球產能占比僅為16%(包含臺積電、海力士等外資企業在本土的產能),遠低于半導體銷售額全球占比。外部制裁事件頻發的背景下,晶圓環節自主可控需求越發強烈,本土晶圓廠逆周期擴產訴求持續放大。韓國23%中國臺灣21%中國大陸16%日本15%美洲11%歐洲5%其他地區9%美洲21%歐洲9%日本8%中國大陸35%亞太及其他地區27%243.1 逆周期擴產加速推進,中芯國際資本開支維持高位圖表:中芯國際持續大規模擴產,已成為中國大陸晶圓代工擴產主力 中芯國際未來產能增量將主要來自深圳、京城、上海臨港、天津西青四條12英寸產線,規劃總產能為34萬片/月。根據中芯國際
50、2023Q2業績說明會,2023年12英寸產能增長來自中芯京城和深圳產線,目前上海臨港正在建設先導線,技術和產品正在驗證;京城產線正在搬入設備,已經進入量產,天津產線正在建設本開支方面,2022年中芯國際資本開支達到63.5億美元,同比+41%,公司并預計2023年資本開支基本持平。2023年我們預計存儲企業擴產好于先前預期,二三線晶圓廠粵芯、晉華、燕東等合計擴產量預計仍有一定增長。圖表:2023年中芯國際資本開支預計同比基本持平 廠商廠商實施主體實施主體工廠代碼工廠代碼工藝工藝尺寸類型尺寸類型項目地點項目地點2021年底產能2021年底產能(萬片/月)(萬片/月)規劃產能規劃產能(萬片/月)
51、(萬片/月)狀態狀態中芯南方SN1邏輯代工FinFET14-7nm12寸上海1.53.5建成中芯南方SN2邏輯代工FinFET14-7nm12寸上海03.5在建中芯北方B1(Fab4、6)邏輯代工0.18m55nm12寸北京5.26建成中芯北方B2邏輯代工65-24nm12寸北京6.210建成中芯京城B3P1邏輯代工45/40-32/38nm12寸北京05在建中芯京城B3P2邏輯代工45/40-32/38nm12寸北京05計劃中芯深圳Fab16A/B邏輯代工28nm12寸深圳04建成中芯西青28180nm邏輯12寸天津010在建中芯東方28nm邏輯12寸上海臨港010計劃中芯國際-50%0%5
52、0%100%150%200%010203040506070201820192020202120222023E資本性支出(億美元)同比(%)253.2 中國大陸營收占比提升,海外龍頭對大陸擴產指引樂觀圖表:2023Q1ASML中國大陸地區收入占比8%SEMI數據顯示,2023Q2中國大陸半導體設備出貨金額75.5億美金,占比29.25%,是唯一同環比均實現增長地區,進一步驗證國內晶圓廠逆周期擴產。下面從全球半導體設備龍頭可以得出同樣結論:ASML2023Q2實現營業收入69億歐元,同比+27.8%,中國大陸地區收入占比24%,環比大幅提升16pct;2023Q2浸沒式DUV銷售39臺,環比增加1
53、4臺。根據ASML業績說明會,中國對Fab建設的戰略投資,使得未來幾年內這些需求是非??沙掷m的,對中國大陸晶圓廠擴產保持樂觀態度。圖表:2023Q2中國大陸半導體設備出貨占比提升明顯 圖表:2023Q2ASML中國大陸地區收入占比24%0%10%20%30%40%01020304050607080902022Q12022Q22022Q32022Q42023Q12023Q2中國大陸半導體設備出貨金額(億美元)中國大陸占比(%)Taiwan,China49%South Korea26%USA15%China8%Japan1%EMEA1%1725743805101520253035404550EUV
54、ArFiArFdryKrFI-LineTaiwan,China34%South Korea27%USA10%China24%Japan2%EMEA2%Rest of Asia1%12396431305101520253035404550EUVArFiArFdryKrFI-Line263.2 中國大陸營收占比提升,海外龍頭對大陸擴產指引樂觀圖表:2023Q2 KLA 中國大陸地區收入占比30%圖表:2023Q2 Lam中國大陸地區收入占比26%如果說ASML中國地區收入大幅提升與9月1日生效的制裁新規有關,而美國的設備龍頭顯示中國大陸出貨同樣快速提升:Lam 2023Q2實現營收32.1億美元,
55、同比-30.82%,環比-17%,中國大陸地區占比26%,環比+4pct。根據Lam業績說明會:至少在公司需要的可見性范圍內,中國繼續進行投資,前景依然樂觀。KLA 2023Q2實現營收23.55億美元,同比-5.31%,環比-3.21%,中國大陸地區收入占比30%,環比+4pct,仍是第一大收入地區??紤]到2022年10月7日美國制裁新規出來以來,美國半導體設備公司在中國大陸的業務都是成熟制程,進一步驗證了中國大陸對于成熟制程的擴產力度。273.3 半導體行業逐步回暖,景氣度拐點信號已經出現圖表:2023年3月以來中國半導體銷售額持續改善圖表:2023年3月以來全球半導體銷售額持續改善 根據
56、SIA數據,2023年2月全球半導體單月銷售額觸底,為3970億美元,同比-20.70%,此后連續5個月出現環比改善,3-7月環比增長幅度分別為0.33%、0.53%、1.75%、3.66%、2.34%,拐點信號明顯,可見全球半導體整體復蘇呈現加速態勢,后續伴隨下游需求市場回暖以及去庫存結束,全球半導體行業有望進入新一輪上升周期。中國半導體行業同樣出現復蘇跡象,2023年3月以來,連續4個月環比為正,環比增幅分別為1.19%、3.15%、3.93%、4.37%、2.58%,環比增速均高于全球市場,考慮到中國市場下游新能源等領域發展較快,更看好中國半導體市場復蘇彈性。資料來源:wind,SIA,
57、華西證券研究所-50%-35%-20%-5%10%25%40%01020304050602021-01 2021-05 2021-09 2022-01 2022-05 2022-09 2023-01 2023-05全球半導體銷售額(十億美元)同比增長(%)-50%-35%-20%-5%10%25%40%024681012141618202021-01 2021-05 2021-09 2022-01 2022-05 2022-09 2023-01 2023-05中國半導體銷售額(十億美元)同比增長(%)283.3 半導體行業逐步回暖,景氣度拐點信號已經出現圖表:全球15大半導體公司2023Q2整
58、體營收呈現環比提升態勢資料來源:Semiconductor Intelligence,wind,華西證券研究所從微觀數據,行業復蘇拐點出現可以得到進一步驗證:根據Semiconductor Intelligence的數據,全球主要半導體公司2023Q2收入整體呈現環比提升態勢,在 15 家最大的公司中,有 13 家顯示出收入增長。唯一收入下降的公司是高通(下降10%)和英飛凌科技(下降 0.7%)。對于2023Q3展望:有 9 家預測收入增長,幅度從 0.4%到 6.4%,我們預計 2023 年下半年的需求將有所改善。公司公司2023Q2營收(十億美元)2023Q2營收(十億美元)2023Q2
59、環比(%)2023Q2環比(%)2023Q3環比(%)2023Q3環比(%)英特爾12.911.0%3.5%三星SC11.27.3%-英偉達13.587.8%18.5%博通8.81.6%-高通7.17-10.0%0.4%SK 海力士5.5539.0%-AMD5.360.1%6.4%TI4.533.5%0.4%英飛凌4.46-0.7%-2.2%意法半導體4.331.9%1.2%美光3.751.6%3.9%恩智浦3.35.7%3.1%ADI3.260.4%-5.0%聯發科3.21.7%4.8%瑞薩2.682.5%0.4%293.3 半導體行業逐步回暖,景氣度拐點信號已經出現圖表:DRAM芯片臺股營
60、收降幅出現明顯收窄圖表:2023年7月和8月臺積電營收出現環比提升 圖表:日月光單月營收已經連續三個月環比改善圖表:2023Q2中芯國際產能利用率恢復至78.30%0%20%40%60%80%100%120%2021Q12021Q32022Q12022Q32023Q1中芯國際產能利用率(%)中芯國際產能利用率(%)-50%-20%10%40%70%100%050010001500200025002022M1 2022M4 2022M7 2022M10 2023M1 2023M4 2023M7臺積電單月營收(億新臺幣)臺積電同比(%)-50%-35%-20%-5%10%25%40%0100200
61、3004005006007002022-012022-042022-072022-102023-012023-042023-07日月光營收(億新臺幣):當月值同比增長(%)-50%-20%10%40%70%100%0501001502002502021-01 2021-05 2021-09 2022-01 2022-05 2022-09 2023-01 2023-05DRAM芯片臺股營收:當月值(億新臺幣)同比增長(%)303.4 自主可控&下游景氣復蘇,看好2024年半導體設備需求放量圖表:全球半導體和半導體設備銷售額同比增速高度聯動 歷史數據表明,全球半導體設備銷售額與半導體銷售額同比增速
62、呈現高度聯動效應,同時在行業上行周期時,半導體設備可以表現出更高的同比增速,具備更強增長彈性。展望2024年,全球范圍內來看,在終端消費持續復蘇的背景下,SEMI預計2024年全球晶圓廠設備支出約1000億美元,同比增長14%,2024年半導體設備需求有望明顯反彈,進入下一輪上行周期。對于中國大陸市場,疊加自主可控需求,我們看好2024年半導體設備需求加速放量。-20%-10%0%10%20%30%40%2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022全球半導體銷售額同比(%)全球半導體設備銷售額同比(%)31目錄板塊深度調
63、整具備配置價值,中報業績持續高速增長一美、荷、日制裁塵埃落地,國產替代邏輯持續強化二大陸逆周期擴產加速趨勢明顯,半導體復蘇拐點信號出現三四華為Mate 60系列手機回歸,利好半導體產業鏈國產化五投資建議、受益標的及風險提示324.1 受美國制裁影響,華為智能手機出貨量下滑明顯圖表:2021和2022年華為智能手機出貨跌出中國市場前五圖表:2018-2019年華為智能手機出貨量全球前三(百萬臺)IDC數據顯示,2018-2020年,華為智能手機出貨量穩居世界前三,2019年智能手機出貨量達到2.41億部,全球市占率17.6%。根據Canalys數據,2020Q2華為更是在全球智能手機同比大幅下滑
64、的背景下,實現出貨近 5580 萬臺,超過三星,登頂全球智能手機出貨榜首。但隨著美國對華為制裁升級以及芯片出口限制,華為智能手機銷量大幅下降,遇到了前所未有的困境,2020 年 11 月華為宣布出售旗下榮耀手機,2020年智能手機出貨量降至1.89億部,同比-21.45%,全球市占率同比-3.0pct。Couterpoint 數據顯示,2021和2022年華為智能手機出貨量繼續大幅下滑,在中國智能手機市場市占率僅為10.0%和7.9%,跌出國內市場前五,美國制裁下,華為智能手機業務短期受到重創。品牌銷量市占率品牌銷量市占率品牌銷量市占率三星292.320.80%三星295.721.60%三星2
65、66.7 20.60%蘋果208.814.90%華為240.617.60%蘋果206.1 15.90%華為20614.70%蘋果19113.90%華為18914.60%小米122.68.70%小米125.69.20%小米147.8 11.40%OPPO113.18.10%OPPO114.38.30%vivo111.78.60%其他46232.90%其他403.629.40%其他37128.70%201820192020334.2 Mate 60 系列回歸,搭載國產麒麟芯片引爆市場圖表:2023年8月29日,華為Mate 60 Pro 上架開售圖表:2023Q2華為智能手機出貨量同比大幅增長76
66、.1%2023年華為恢復了高端旗艦機發布節奏,2023年3月發布了P60系列手機,智能手機銷量恢復快速增長。IDC數據顯示,2023Q2中國智能手機市場出貨量約6570萬臺,同比下降2.1%,在行業持續低迷的背景下,華為智能手機逆勢增長,2023Q2出貨量同比大幅增長76.1%,國內市占率達到13.0%,同比+5.7pct。2023年8月29日,華為商城Mate 60 Pro上架開售,僅一分鐘售罄;9月3日華為商城、京東、天貓開啟Mate 60 pro 全款搶購,開售數秒售罄;9月8日華為商城開啟Mate 60 pro 預定,同樣搶購一空,Mate 60系列產品發售;9月10號,華為商城開啟全
67、款銷售,數秒再次售罄。隨著Mate 60系列引發的搶購熱潮,宣告華為高端旗艦機全面回歸。7.3%13.0%76.1%-40.0%-20.0%0.0%20.0%40.0%60.0%80.0%100.0%0.00%5.00%10.00%15.00%20.00%25.00%OPPOvivo榮耀蘋果小米華為其他圖表標題2022Q22023Q22023Q2出貨量同比增長344.2 Mate 60 系列回歸,搭載國產麒麟芯片引爆市場圖表:Mate 60 Pro 是首款支持衛星通話大眾智能手機圖表:Mate 60 pro 拆機顯示搭載國產麒麟SoC芯片 我們認為Mate 60系列之所以引發搶購熱潮,主要因為
68、Mate 60系列順利上市象征著華為突破美國封鎖制裁,取得階段性勝利。全球著名的半導體行業觀察機構TechInsights,公開發布了對Mate60 Pro 的拆解報告:Mate60 Pro搭載了新型麒麟9000s芯片,并采用了先進的7納米。目前國內外各機構對華為新手機的拆解來看,除了麒麟9000s芯片,包括其它10000多種部件,已基本實現國產化。關于Mate 60 Pro是否是5G手機未有明顯標識,根據IT之家,通過用戶實測反饋,實測華為Mate 60 Pro的蜂窩移動網絡下載速度遠超4G網絡的上限。此外,華為Mate 60 Pro與中國電信合作,成為全球首款支持衛星通話的大眾智能手機,進
69、一步提升華為高端智能手機產品競爭力。354.3 研發與產業投資并舉,華為大力布局半導體領域圖表:2022年以來華為公告了多項芯片堆疊封裝專利圖表:2018-2022年華為研發費用率持續提升 在美國制裁管控下,華為始終走在國產替代的前列,并取得積極成果,最直觀的體現:華為持續加大研發投入,研發費用率逐年提升,2022年華為研發費用高達1615億元,研發費用率為25.1%,較2018年提升11pct,高強度研發投入驅動下,中國證券報報道,華為用3年時間內完成13000+顆器件的替代開發、4000+電路板的反復換板開發,逐步完成各類零部件國產化替代。華為受制于美國,核心在于芯片卡脖子,根據國家知識產
70、權局公開信息,2022-2023年華為陸續公布了15條芯片堆疊封裝相關發明專利,顯示了華為在芯片領域研發實力和創新能力,在不提升制程工藝前提下,芯片堆疊可以提升芯片產品性能,我們認為一定程度上可以緩解先進制程卡脖子問題。申請公布號申請公布號申請公布日申請公布日專利名稱專利名稱申請人申請人CN116745913A2023.09.12芯片堆疊結構及其制作方法、芯片封裝結構及電子設備華為技術有限公司CN116724389A2023.09.08芯片堆疊結構及其制備方法、芯片堆疊封裝、電子設備華為技術有限公司CN116670827A2023.08.29 包含有垂直柱狀晶體管的芯片堆疊結構華為技術有限公司
71、CN116635996A2023.08.22芯片堆疊結構及其制作方法、芯片封裝結構、電子設備華為技術有限公司CN116504752A2023.07.28芯片堆疊結構及其形成方法、芯片封裝結構、電子設備華為技術有限公司CN116110879A2023.05.12用于高速信號傳輸的芯片及芯片堆疊結構華為技術有限公司CN115461858A2022.12.09多芯片堆疊封裝、電子設備及制備方法華為技術有限公司CN115210854A2022.10.18芯片堆疊結構、制作方法及電子設備華為技術有限公司CN115004355A2022.09.02存儲芯片堆疊封裝及電子設備華為技術有限公司CN114762
72、103A2022.07.15一種芯片堆疊結構及其制作方法華為技術有限公司CN114651322A2022.06.21芯片堆疊封裝結構、電子設備華為技術有限公司CN114631179A2022.06.14芯片堆疊封裝結構及其封裝方法、電子設備華為技術有限公司CN114450785A2022.05.06一種多芯片堆疊封裝及制作方法華為技術有限公司CN114450786A2022.05.06芯片堆疊封裝結構及其封裝方法、電子設備華為技術有限公司CN114287057A2022.04.05一種芯片堆疊封裝及終端設備華為技術有限公司14.1%15.3%15.9%22.4%25.1%-50%-20%10%
73、40%02004006008001000120014001600180020182019202020212022華為研發費用(億元)研發費用率(%)364.3 研發與產業投資并舉,華為大力布局半導體領域圖表:海思建立完善芯片產品體系圖表:2020H1海思進入全球前十大半導體公司資料來源:IC Insights,華西證券研究所華為芯片研發依托海思,海思是全球領先的Fabless半導體與器件設計公司,前身為華為集成電路設計中心,2004年注冊成立實體公司,提供海思芯片對外銷售及服務,2020H1更是進入全球前十大半導體公司,后因美國制裁業務受到一定影響。目前海思已經建立起了比較完善的芯片產品體系,
74、海思產品覆蓋智慧視覺、智慧IoT、智慧媒體、智慧出行、顯示交互、手機終端、數據中心及光收發器等多個領域,產品主要分為五大類:AI 芯片昇騰系列、云計算處理器鯤鵬芯片、手機 SoC 芯片麒麟系列、5G 基站芯片天罡和 5G 基帶芯片巴龍、聯接芯片凌霄系列。我們認為此次麒麟9000s芯片回歸意義重大,看好其他大類芯片全面開啟進口替代。產品系列產品系列特點特點麒麟9000/9000E全球首款5nm 5G SoC麒麟990 5G華為首款旗艦5G SoC麒麟910/920/930/950/960/970/980/990麒麟820/9855G SoC麒麟620/650/710/810華為首款全棧全場景人工
75、智能芯片算力最強AI處理芯片鯤鵬鯤鵬920目前業界領先的ARM-based處理器BMCHi1710/Hi17111711業界首款集成RoT BMC SoCBMC全球首款5nm 5G多模終端芯片全球首款基于3GPP標準的商用5G芯片全球首款全套WiFi 6+芯片方案華為首款電力線通信芯片型號型號昇騰310凌霄650Hi5630鯤鵬鯤鵬巴龍5000巴龍5G01巴龍700/710/720/750/765巴龍巴龍昇騰910昇騰昇騰麒麟旗艦系列芯片麒麟麒麟麒麟中高端系列芯片凌霄凌霄374.3 研發與產業投資并舉,華為大力布局半導體領域圖表:不完全統計,哈勃投資投資相關公司已經上市14家 除了自研芯片,華
76、為積極推進芯片行業投資,扶持相關企業,2019年4月哈勃投資成立,作為專注于芯片、半導體通信等硬件的投資機構。從第一筆長光華芯(主體為蘇州長光華芯光電技術股份有限公司)投資標的至今,據企查查統計,哈勃投資已投出超過90家相關企,不完全統計已經上市的企業14家,我們認為在哈勃加持下,相關企業有望得到資金扶持,甚至業務支持,有望助力華為在半導體產業鏈實現國產替代。代碼代碼公司簡稱公司簡稱主要產品主要產品公司市值(億元)公司市值(億元)投資比例投資比例688458.SH美芯晟無線充電芯片764.41%688361.SH中科飛測半導體量/檢測設備2623.30%688515.SH裕太微高速有線通信芯片
77、1116.97%688141.SH杰華特電源管理芯片1483.03%688498.SH源杰科技光芯片1323.24%688213.SH思特威高性能CMOS圖像傳感器芯片184-688153.SH唯捷創芯射頻功率放大器2823.14%688261.SH東微半導功率半導體924.94%688234.SH天岳先進碳化硅襯底2436.34%688182.SH燦勤科技濾波器893.44%688536.SH思瑞浦基站芯片2214.83%688048.SH長光華芯半導體激光芯片1193.74%688167.SH炬光科技激光器、激光雷達872.20%688535.SH華海誠科半導體封裝材料693.00%384
78、.4 華為回歸具有重要意義,全面利好國產替代產業鏈 華為高端智能手機Mate 60系列強勢回歸,引發國內消費者購機熱潮,隨著出貨量預期持續上修,相關產業鏈零部件供應商將直接受益,此外,Mate 60 系列搭載了國產麒麟9000s芯片,象征著華為突破美國封鎖制裁,取得階段性勝利,中長期看,華為回歸給半導體產業國產替代提升了信心,有望加速國產替代進程:根據集微網報道,業內人士預計,Mate 60系列手機今年出貨600萬-800萬臺,明年出貨將達1400萬臺。供應鏈消息也指出,Mate 60系列已加單至1500萬-1700萬臺,根據TecWeb援引的中證報消息,2023年華為智能手機出貨量預計將達到
79、4000萬部,隨著華為高端手機強勢回歸,相關國內零部件供應商將直接受益;華為研發和投資并舉,大力布局半導體產業,此次Mate 60系列搭載了國產麒麟9000s芯片,是華為國產突破階段性勝利,中長期看,我們認為SoC只是開始,后續昇騰等高端芯片有望全面回歸,全面利好半導體產業鏈國產替代,上游設備和材料環節有望持續受益。39目錄板塊深度調整具備配置價值,中報業績持續高速增長一美、荷、日制裁塵埃落地,國產替代邏輯持續強化二大陸逆周期擴產加速趨勢明顯,半導體復蘇拐點信號出現三四華為Mate 60系列手機回歸,利好半導體產業鏈國產化五投資建議、受益標的及風險提示40投資建議與風險提示投資建議半導體設備受益標的精測電子、拓荊科技、華海清科、芯源微、中科飛測、北方華創、中微公司、盛美上海、長川科技、至純科技、萬業企業、華峰測控。零部件受益標的正帆科技、新萊應材、福晶科技、富創精密、茂來光學、江豐電子、華亞智能等。風險提示海外制裁、半導體行業景氣度下滑、晶圓廠資本開支不及預期等華西證券研究所:華西證券研究所:地址:北京市西城區太平橋大街豐匯園11號豐匯時代大廈南座5層網址:http:/