《半導體設備行業專題報告(一):前道設備~扼喉之手亟待突破-240614(85頁).pdf》由會員分享,可在線閱讀,更多相關《半導體設備行業專題報告(一):前道設備~扼喉之手亟待突破-240614(85頁).pdf(85頁珍藏版)》請在三個皮匠報告上搜索。
1、分析師分析師聯系人聯系人李魯靖李魯靖登記編號:S1220523090002王昊哲王昊哲半導體設備專題報告(一):前道設備扼喉之手,亟待突破機 械 團 隊機 械 團 隊 行 業 深 度 報 告行 業 深 度 報 告證券研究報告|機械設備|2024年06月14日報告摘要 兼具周期與成長的千億美金大賽道即將迎來上行周期,國內亟需進口替代:兼具周期與成長的千億美金大賽道即將迎來上行周期,國內亟需進口替代:半導體設備作為行業基石,2023年市場規模達到1062.5億美元,全球半導體產業發展呈現周期性,中期庫存周期來看,2024年全球半導體資本開支有望上修,設備將迎來上行周期。長期來看,半導體設備規模擴張
2、看技術節點的進步,國際上對我國實施先進制程的設備禁運,倒逼國產化率提升,國產半導體設備廠商成長空間充足。前道制程的工藝模塊可以歸類為前段工藝(FEOL)、中段工藝(MOL)和后段工藝(BEOL),前段工藝負責形成器件、后段工藝負責形成金屬互連,中段工藝將器件與金屬層連接起來。模塊工藝是由不同的單項工藝組合而來,單項工藝包括光刻、涂膠顯影、薄膜沉積、刻蝕、離子注入、CMP、清洗等,其中薄膜沉積、刻蝕和光刻設備是價值量最大的三類設備:1.1.薄膜沉積:薄膜沉積:半導體制造過程中需要反復進行薄膜生長,不同工藝環節沉積的薄膜作用不同,所用工藝也不同,總體來看,沉積工藝可以分為物理氣相沉積和化學氣相沉積
3、,原子層氣相沉積本質上屬于化學氣相沉積,是應新技術或材料而生的沉積工藝。制程進步+存儲芯片架構3D化為提升薄膜沉積設備需求,2022年全球薄膜設備總市場已經達到229億美元,主要由歐美和日系廠商壟斷,應用材料是PVD龍頭,Lam在ECD領域一家獨大,TEL和ASM在ALD領域市占率較高,國內各廠商產品可以互補:拓荊科技主要產品為PECVD,還布局了ALD、SACVD和HDPCVD,北方華創在PVD上優勢明顯;微導納米以ALD為核心產品;中微公司起家于刻蝕,依托底層技術進入薄膜沉積領域,產品布局包括MOCVD、WCVD等;盛美上海在ECD領域優勢明顯。2.2.刻蝕:刻蝕:使用物理或者化學的方法在
4、器件表面形成微觀結構,制程微縮+存儲芯片3D化引起刻蝕難度和需求量增大,2022年全球干法刻蝕設備市場規模大概為230億美元,ICP和CCP幾乎平分超95%市場份額。Lam,TEL和AMAT幾乎壟斷全球干法刻蝕設備市場,國內主要由中微公司和北方華創進行突破,前者優勢產品為CCP,后者優勢產品為ICP,二者在發展過程中向對方領域滲透。3.3.光刻:光刻:ASML系全球龍頭,掌握最先進的EUV光刻技術,ASML和Nikon均可以提供浸沒式DUV光刻機,國內近乎空白。4.4.涂膠顯影:涂膠顯影:光刻工藝中除了曝光之外的關鍵環節,分為offline和inline設備,2021年全球涂膠顯影設備超30億
5、美元,TEL壟斷近乎90%份額,國產廠商中芯源微率先取得突破,可以實現28nm以上工藝節點全覆蓋。5.5.摻雜:摻雜:改變半導體材料的物理性質,離子注入工藝是主流,全球半導體離子注入設備市場規模主要被美國的AMAT和Axcelis占據,國產廠商主要是凱世通(萬業企業子公司)、芯崳半導體(華海清科參股)和中科信。6.6.熱處理:熱處理:包括氧化、擴散和退火,相關設備又叫做爐管設備,其中快速退火設備市場份額較大,AMAT占據全球市場的主要份額,國產廠商中,屹唐股份處于領先位置;北方華創布局多種氧化/擴散爐。7.7.CMPCMP:化學機械拋光,全球CMP設備市場處于高度壟斷狀態,主要由美國應用材料和
6、日本荏原兩家設備制造商占據,兩家制造商合計擁有全球 CMP 設備超過 90%的市場份額,尤其在 14nm 以下最先進制程工藝的大生產線上所應用的 CMP 設備僅由兩家國際巨頭提供。國產 CMP 設備廠商主要是華海清科和爍科精微,華海清科CMP設備已廣泛應用于中芯國際、長江存儲、華虹集團、大連英特爾、廈門聯芯、長鑫存儲、廣州粵芯、上海積塔等行業內領先集成電路制造企業的大生產線,占據國產 CMP 設備銷售的絕大部分市場份額。8.8.清洗:清洗:針對不同的工藝需求對晶圓表面進行無損傷清洗,是貫穿半導體產業鏈的重要工藝環節,能避免雜質影響芯片良率和芯片產品性能。濕法清洗是主流技術路線,DNS、TEL、
7、LAM與SEMES四家的全球半導體清洗設備市場份額合計高達90%以上,其中DNS一家市占率就高達33%以上,我國清洗設備市場同樣主要由日系廠商壟斷,國產廠商主要有盛美上海、至純科技和北方華創,芯源微擁有物理清洗機并積極開發化學清洗機。9.9.量測量測/檢測:檢測:屬于過程控制工藝,分為檢測和量測兩個環節,量測和檢測設備種類眾多,采用光學檢測技術原理的占大多數。2020年全球量測檢測設備市場規模為76.5億美元,納米圖形晶圓缺陷檢測設備占比最高,達到24.7%。全球量測檢測設備市場中KLA占據半數以上份額。國產廠商主要有上海精測、中科飛測和上海睿勵,上海精測布局納米級圖形晶圓缺陷檢測(明場技術路
8、線)、無圖形晶圓缺陷檢測、膜厚量測、關鍵尺寸量測設備等;中科飛測主要產品包括無圖形晶圓缺陷檢測設備、圖形晶圓缺陷檢測設備等檢測設備和三維形貌量測設備、薄膜膜厚量測設備等量測設備;上海睿勵產品主要為光學膜厚測量設備和光學缺陷檢測設備,以及硅片厚度及翹曲測量設備等。風險提示風險提示:宏觀環境影響下半導體行業景氣度恢復不及預期風險、國產設備導入進度不及預期風險、國際上對我國半導體管制力度加大風險、上游零部件供應風險2目錄目錄3資料來源:方正證券研究所半導體設備行業:兼具周期與成長的千億美金大賽道,國產替代是必然半導體設備行業:兼具周期與成長的千億美金大賽道,國產替代是必然1234半導體產業鏈:半導體
9、設備為行業基石半導體產業鏈:半導體設備為行業基石5細分半導體設備:從單項工藝出發認識半導體制造設備細分半導體設備:從單項工藝出發認識半導體制造設備風險提示風險提示典型典型CMOSCMOS工藝器件的制造流程:從模塊工藝出發了解半導體制造過程工藝器件的制造流程:從模塊工藝出發了解半導體制造過程1 半導體產業鏈:設備&材料構筑產業基石,需求&技術驅動產業規模擴張4半導體產業鏈包括三大環節:半導體產業鏈包括三大環節:下游下游為半導體應用,包括3C、汽車、工業等,其中3C占據主要的市場份額,合計占比約70%;中游中游為半導體制造,包括設計、制造和封測三個環節,終端器件中,集成電路占比超80%,其中存儲芯
10、片和邏輯芯片占據主要份額,合計約65%。從商業模式上看,邏輯芯片制造多采取Fabless+Foundry模式,存儲芯片和模擬芯片制造多采取IDM模式;上游上游為半導體設備&材料,為芯片制造提供工具和原材料,構筑了整個半導體產業鏈的基石。2022年全球半導體設備市場規模超1000億美元。圖:半導體產業鏈資料來源:WSTS,拓荊科技招股書,SIA,wind,方正證券研究所上游上游半導體支撐產業半導體支撐產業中游中游半導體半導體制造產業制造產業下游下游半導體應用產業半導體應用產業半導體材料半導體設備半導體設備硅片光刻膠光掩膜電子特氣拋光材料濕電子化學品濺射靶材封裝材料擴散設備光刻設備刻蝕設備清洗設備
11、離子注入設備薄膜沉積設備CMP設備檢測設備IC設計產品芯片制造芯片制造芯片封測集成電路集成電路(81.32%)分立器件(6.74%)傳感器(3.74%)光電子器件(8.20%)模擬芯片微處理器邏輯芯片邏輯芯片存儲芯片存儲芯片18.96%18.96%21.54%21.54%41.68%41.68%17.82%17.82%注:占比為2023年數據注:內、外圈分別為2021/2022年數據目錄目錄5資料來源:方正證券研究所半導體設備行業:兼具周期與成長的千億美金大賽道,國產替代是必然半導體設備行業:兼具周期與成長的千億美金大賽道,國產替代是必然1234半導體產業鏈:半導體設備為行業基石半導體產業鏈:
12、半導體設備為行業基石5細分半導體設備:從單項工藝出發認識半導體制造設備細分半導體設備:從單項工藝出發認識半導體制造設備風險提示風險提示典型典型CMOSCMOS工藝器件的制造流程:從模塊工藝出發了解半導體制造過程工藝器件的制造流程:從模塊工藝出發了解半導體制造過程2.1 半導體設備行業整體特點:景氣驅動中短期的周期波動,技術驅動長期的規模成長6 需求:技術長周期驅動規模增長,短中期存在景氣度波動需求:技術長周期驅動規模增長,短中期存在景氣度波動。在長跨度時間周期上,全球半導體年度銷售額歷史增速呈現出大約每 10 年一個“M”形的波動特征,且每個階段的在增長由不同的應用終端需求驅動。中短期維度上,
13、由于終端應用存在換機周期等因素,需求呈現4-5年的周期性波動。從終端器件的需求波動程度來看,存儲芯片從終端器件的需求波動程度來看,存儲芯片 邏輯芯片邏輯芯片 模擬芯片模擬芯片。對比各類主要的半導體器件銷售額變動情況,我們發現,存儲芯片的波動程度遠遠高于其他芯片,主要原因是存儲芯片市場份額高度集中,相對屬于同質化較高的大宗商品。而模擬芯片具有產品品類多樣、集中度不高、產品生命周期長、下游應用廣泛的特點,具備較高的抗周期能力。資料來源:wind,WSTS,方正證券研究所圖:半導體終端銷售規模及增速圖:半導體終端銷售規模變動情況(%)-40-20020406080199920002001200220
14、0320042005200620072008200920102011201220132014201520162017201820192020202120222023半導體:銷售額:集成電路:同比半導體:銷售額:集成電路:模擬電路:同比半導體:銷售額:集成電路:邏輯電路:同比半導體:銷售額:集成電路:記憶體:同比2.1 半導體設備行業整體特點:景氣驅動中短期的周期波動,技術驅動長期的規模成長7需求驅動產業發展需求驅動產業發展:下游需求影響中游制造的資本開支,而中游制造環節的資本開支決定了設備投資規模,進而決定了上游設備廠商的收入。中短期,設備市場由需求景氣周期驅動中短期,設備市場由需求景氣周期驅
15、動:資本開支取決于下游的需求景氣度水平:根據歷史年度數據,半導體資本開支與終端器件需求市場景氣度的波動方向一致。另外,由于牛鞭效應,需求的周期性波動向上傳導使得資本開支呈現出更大幅度的周期性變化。而半導體設備投資額占據下游資本開支的主要份額:半導體設備位于行業上游,與資本開支密切相關,且占據資本開支的主要份額,2010-2023年歷史數據顯示,半導體設備市場規模占據行業資本開支的比重大概為53.88-90.75%,平均而言,設備資本開支比重達到67%。資料來源:SIA,wind,iFind,ICInsights,Semiconductor Intelligence,方正證券研究所-40%-20
16、%0%20%40%60%80%100%半導體資本支出同比增速半導體銷售額同比增速圖:半導體資本開支增速和半導體終端器件市場規模增速圖:全球半導體資本開支及半導體設備市場規模0%10%20%30%40%50%60%70%80%90%100%02040608010012014016018020020102011201220132014201520162017201820192020202120222023全球半導體資本支出(十億美元)半導體設備市場規模(十億美元)半導體設備市場規模占據資本開支的比重2.1 半導體設備行業整體特點:景氣驅動中短期的周期波動,技術驅動長期的規模成長8 長期維度上,設備
17、市場增長由技術驅動長期維度上,設備市場增長由技術驅動:半導體芯片的技術發展基本遵循摩爾定律,即晶體管數量每隔 18 至 24 個月翻倍,發展至今,模擬芯片、邏輯芯片、NAND和DRAM存儲芯片的技術走向了不同的技術發展方向。比如,模擬芯片對于可靠性的要求較高,對制程節點要求低,生產線仍大量使用0.18m/0.13m制程,部分會采用較為先進的28nm制程。邏輯芯片則在頭部大廠的主導下持續進行制程微縮,目前臺積電已經可以量產3nm工藝的邏輯芯片,DRAM芯片遵循和邏輯芯片類似的制程微縮的技術路徑,而NNAD存儲芯片則從結構上進行突破,由原來的2D轉向3D,并且在持續增加層數以獲得更大的容量。技術節
18、點的進步帶動單位產能的設備投資額技術節點的進步帶動單位產能的設備投資額。相同產能下,集成電路設備投資量隨制程節點先進程度提升而大幅增長,比如5nm節點下每萬片產能對應的設備投資大概是30億美元,超14nm節點下同產能設備投資量的兩倍,大概是28nm節點下同產能設備投資量的4倍。從半導體設備市場規模的增長來看,盡管存在波動,半導體設備的周期低點隨著技術節點的推進而有所抬升,這說明了在工藝節點的進步是半導體設備成長性的主要來源。資料來源:BIS,中芯國際招股書,wind,方正證券研究所圖:不同技術節點對應的設備投資額(億美元/每萬片月產能)4.27 5.01 6.16 7.90 9.49 12.5
19、4 16.90 22.84 31.11 42.99 90nm65nm45nm28nm20nm16/14nm10nm7nm5nm3nm圖:半導體設備市場規模年度銷售額(十億美元)及增速(右軸)周期低點不斷抬升-100%-50%0%50%100%150%200%0204060801001202005200620072008200920102011201220132014201520162017201820192020202120222023半導體設備:銷售額:合計同比增速2.2 當下的景氣度判斷:存儲廠商引領2024年資本開支上行9 需求端,半導體銷售額同比降幅持續收窄,環比改善明顯需求端,半導體
20、銷售額同比降幅持續收窄,環比改善明顯:從需求端的月度歷史數據來看,半導體銷售額變動周期大致為40-41個月,宏觀環境和技術變革會加速或者延緩周期,總體而言,上行周期區間長度大于下行周期區間長度。根據SIA,本輪周期上行階段始于2020年年初,全球性疫情導致線上辦公需求激增,終端設備需求旺盛,帶動了半導體市場的增長,隨著市場逐漸飽和,2022年下半年,全球半導體市場進入下行區間,從2022年8月份到2023年10月份,半導體月度銷售額連續同比下滑14個月。自從2023年11月份以來,全球半導體月度銷售額同比增速一直保持正向增速。封測廠營收、毛利率均出現恢復跡象,說明需求景氣度在恢復封測廠營收、毛
21、利率均出現恢復跡象,說明需求景氣度在恢復。我們統計了2022年-2024年第一季度主要封測廠的分季度營收及毛利率情況,營業端2023年第二季度以來持續邊際改善,但是同比仍然承壓,進入2024年第一季度,五大封測廠基本實現營收正增。行業景氣度不高時,由于競爭激烈,封測廠的毛利率會受到下行壓力,2023年下半年以來,封測廠的毛利率普遍環比提升,進入2024年Q1,毛利率相比去年同期實現增長,需求端景氣度上行趨勢基本可以得到確認。資料來源:wind,方正證券研究所圖:主要封測廠的季度營收和毛利率情況圖:全球半導體月度銷售規模(十億美元,左軸)及增速(%,右軸)-60-40-20020406080-5
22、515253545552001-082002-062003-042004-022004-122005-102006-082007-062008-042009-022009-122010-102011-082012-062013-042014-022014-122015-102016-082017-062018-042019-022019-122020-102021-082022-062023-042024-02時間時間日月光控股日月光控股安靠安靠華天科技華天科技 長電科技長電科技通富微電通富微電 日月光控股日月光控股安靠安靠華天科技華天科技長電科技長電科技通富微電通富微電2022/3/3133
23、2.53101.3730.0881.3845.0219.72%20.37%17.93%18.91%14.69%2022/6/30386.18101.0032.1374.5550.6521.43%16.56%20.64%18.08%17.13%2022/9/30454.02147.9429.0691.8457.5220.13%20.22%16.22%17.07%14.87%2022/12/31427.04132.7627.7989.8461.0919.22%17.54%11.93%14.43%9.74%2023/3/31315.05101.1222.3958.6046.4214.78%13.21
24、%3.99%11.84%9.45%2023/6/30328.01105.3528.5063.1352.6615.95%12.82%11.01%15.11%11.27%2023/9/30371.08130.8029.8082.5759.9916.16%15.52%9.54%14.36%12.71%2023/12/31386.52124.0832.3092.3163.6316.04%15.90%9.88%13.17%12.63%2024/3/31319.6696.8831.0668.4252.8215.71%14.77%8.52%12.20%12.14%營業收入(億元,人民幣)營業收入(億元,人民
25、幣)毛利率毛利率10 供給端供給端,庫存周轉天數仍然高企庫存周轉天數仍然高企,存儲存儲IDMIDM運營效率改善相對明顯運營效率改善相對明顯。我們統計了2020年Q1-2024年Q1主要半導體制造廠商的庫存周轉天數,由于需求下降,基本從2022年Q1以來,庫存水平持續上漲,庫存周轉天數持續環比提升,截至2024年第一季度來看,相比景氣度旺盛期,總體庫存周轉天數仍然處在較高位置,三星、SK海力士、鎂光三家存儲IDM庫存去化效果更為明顯,運營效率有所提升,而模擬廠商的去庫存相對更慢,代工廠方面,各家庫存周轉天數變動方向分化較為明顯。稼動率邊際持續改善稼動率邊際持續改善??紤]數據可得性,我們僅僅統計了
26、中芯國際、華虹和聯電從2019年Q4到2024年Q1的季度稼動率數據,中芯國際和華虹的產能利用率有所恢復,而聯電尚未看到明確低點。以中芯國際為例,其于22年下半年開始調整公司稼動率,到今年二季度首次出現環比上行,Q3-Q4再次下探,但是明顯好于Q1,2024年Q1稼動率修復明顯。資料來源:Bloomberg,wind,方正證券研究所表:主要半導體制造廠商的庫存周轉天數圖:幾家半導體制造廠商分季度稼動率2.2 當下的景氣度判斷:存儲廠商引領2024年資本開支上行類型類型公司TSMCUMCGFSSMIC華虹IntelTIInfineonSTADISamsung鎂光SK Hynix2020Q148.
27、458.383.583.4103.6145.2129.3112.5118.471.4119.82020Q251.359.785.887.893.6155.3123.4119.9116.7128.72020Q356.358.579.090.494.9146.2129.1109.9117.174.9130.42020Q463.158.141.186.191.390.2137.1116.798.9114.673.3129.797.62021Q176.158.289.0119.286.3116.0109.590.0107.668.0124.02021Q277.358.264.089.0126.090.
28、0114.5106.494.7108.3108.02021Q377.058.865.693.8119.995.8114.9110.293.7108.5106.02021Q477.558.265.995.2118.198.2116.6112.092.3116.6105.1112.72022Q181.260.770.5103.5116.4112.2122.1109.698.076.385.1101.72022Q284.660.873.3106.0121.4109.6121.3113.998.588.790.1107.9131.72022Q381.862.875.7114.5127.7115.312
29、4.6117.195.296.194.2106.4149.32022Q481.463.675.5123.9139.0119.4134.3117.196.5104.588.6119.0152.62023Q188.682.093.7156.5152.3153.1179.4136.4114.8116.9104.3211.8219.52023Q292.683.197.0159.5143.9141.6186.1138.2116.6122.3110.6164.5189.82023Q394.284.897.0163.7135.1138.0185.4140.8110.4125.0108.0160.8170.6
30、2023Q486.183.096.1163.9134.2134.8187.1142.7105.6123.7103.6159.8157.62024Q183.883.9122.8164.0131.0135.6232.3174.1119.9138.4103.0157.5161.1FoundriesFoundriesIDMIDM存儲存儲98.8%98.5%98.6%97.8%95.5%98.7%100.4%100.3%99.4%100.4%97.1%92.1%79.5%68.1%78.3%77.1%76.8%80.8%92.0%93.0%98.0%97.0%99.0%100.0%100.0%100.0
31、%100.0%100.0%100.0%100.0%100.0%70.0%71.0%67.0%66.0%65.0%88.0%82.4%93.4%95.8%99.0%104.2%109.5%110.9%105.4%106.0%109.7%110.8%103.2%103.5%102.7%86.8%84.1%91.7%60%70%80%90%100%110%120%中芯國際聯電華虹11價格端,存儲芯片價格回暖,存儲廠商有望率先擴產,引領本輪上行周期價格端,存儲芯片價格回暖,存儲廠商有望率先擴產,引領本輪上行周期資料來源:wind,Bloomberg,方正證券研究所圖:存儲廠商的資本開支變動基本和芯片價
32、格(美元)變動保持一致 存儲芯片產能供給主要取決于價格。從半導體終端器件市場規模來看,存儲芯片市場的周期波動更加劇烈,存儲芯片市場份額高度集中,相較邏輯芯片而言屬于同質化較高的大宗商品,其資本開支建設主要圍繞價格周期展開。存儲芯片價格回暖,背后是供需關系逐漸恢復,存儲廠商有望引領擴產。2022年下半年以來,受需求放緩、供應增加、價格競爭加劇等因素影響,存儲芯片價格暴跌,Trend Force數據顯示,DRAM的平均價格在22年Q3下降了31.4%,Q4跌幅擴大至34.4%,2023年Q1,均價跌幅收斂至13-18%,Q2、Q3跌幅持續收斂,分別為10-15%和0-5%,隨著2023年以來三星、
33、美光科技、SK海力士、西部數據、鎧俠等一眾廠商實施減產策略,供需關系逐步恢復,價格回暖趨勢明顯,根據Trend Force集邦咨詢,2024年Q1,DRAM合約價格上漲20%,NAND合約價格上漲23-28%,預計2024Q2,DRAM合約價季漲幅為13-18%;NAND Flash合約價季漲幅約15-20%。注:三星、SK Hynix和Micro Technology的資本開支的單位分別為十億美元、萬億韓元和十億美元2.2 當下的景氣度判斷:存儲廠商引領2024年資本開支上行2.3 國產半導體設備:科技制裁倒逼國產化加速,成長空間巨大12 市場規模大但是自給率低市場規模大但是自給率低:202
34、3年,全球半導體設備銷售額1062.5億美元,中國大陸連續第四年成為全球最大的半導體設備市場,為366億美元。自給率來說,以SW二級子行業半導體設備的營收代表國產半導體設備市場規模,計算得到當前我國半導體設備總體國產化率不足20%,自給率仍然處于較低水平,未來成長空間大??萍贾撇玫贡茋a化加速科技制裁倒逼國產化加速:自2018年以來,美國先后對我國半導體行業實施多次限制,目前來看,主要針對先進工藝,比如16/14nm及以下的FinFet/GAA邏輯器件,18nm以下的DRAM器件和128L以上的Flash器件。海外先進制程設備的禁運為國產半導體設備廠商讓出生態位,半導體設備國產化率勢必加速。資
35、料來源:BIS,日本產業經濟省、觀察者網,中微公司公告,金社律師事務所官網等,方正證券研究所表:國際上對于我國半導體產業的科技制裁政策梳理時間時間政策政策2018.10.29美國商務部正式采取行動限制美國企業對福建晉華的任何產品出口2019年荷蘭在美國的壓力之下向中國禁售EUV2020.5.15宣布實體名單上的華為技術有限公司及其非美國分機構的現有臨時通用許可證(TGL)授權期限延長90天。二是將嚴格限制華為使用美國的技術、軟件設計和制造半導體芯片2020.8.17美國商業部宣布,任何使用美國設備和軟件為華為生產產品都要獲得美國的許可證。2020.9.25美國商務部要求向中芯國際提供設備和技術
36、都要申請許可2020.12.18美國商業部宣布將中芯國際列入“實體清單”,美國設備和關鍵零部件都要申請許可,10納米及以下不給予許可2021.1.15美國國防部將中微半導體設備公司列入“涉軍企業”名單。經過據理力爭已從名單撤除2022.8.13美國出臺對中國芯片設計行業(EDA)的限制2022.10.5美國商業部工業安全局將31個中國公司列入UVL未確定名單,包含幾家集成電路制造和設備公司。美國商業部明示,要求這些公司主動和商業部溝通,如果能提出有說服力的終端客戶信息,排除涉及軍方項目,會將他們從清單上撤除。但是如果不能主動溝通,60天以后就會將他們列到實體清單2022.10.7美國商業部工業
37、安全局發布對中國集成電路先進制程的限制法案。美國人和美國公司(American persons)沒得到商業部許可,不得參與在中國芯片制造人工智能芯片,超算芯片,16/14納米及以下FinFET和GAA邏輯器件,18納米及以下的DRAM器件和128層及以上的Falsh器件,不得提供設備。2022.12美國商業部工業安全局將32個中國公司列入實體清單”(包括長存),美公司不得提供設備2023.5.23日本發布了對23種半導體設備的出口限制2023.6.30荷蘭發布有關半導體設備出口管制的新條例,包括最先進的沉積設備和浸潤光刻系統(涉及2000i及后續推出的浸潤光刻系統)2023.10.17美BIS
38、進一步加強了對先進技術節點的設備出口管制圖:半導體設備分地區市場規模(十億美元)0510152025302005-032006-022007-012007-122008-112009-102010-092011-082012-072013-062014-052015-042016-032017-022018-012018-122019-112020-102021-092022-082023-07日本北美歐洲韓國中國臺灣中國大陸其他地區13 先進節點的突破在孕育之中,遠期成長確定性強先進節點的突破在孕育之中,遠期成長確定性強。美國對我國先進技術節點的生產設備等禁運,導致國內先進技術節點發展受阻;
39、成熟制程的擴產符合市場規律:當前28nm及以上的技術節點仍然占據較大的市場規模,伴隨國內設計企業逐步轉單國內,原來使用60nm、45nm芯片的產品逐步轉向28nm,28nm需求未來仍將保持旺盛。TrendForce集邦咨詢預測2023-2027年全球成熟制程(28nm及以上)及先進制程(16nm及以下)的產能比重大約為7:3,其中中國大陸在成熟制程的占比將從23年29%成長至2027年的33%。同時,先進工藝節點的突破更具戰略意義,自主可控是必然:目前先進工藝芯片主要用在消費電子和服務器高端芯片上,國內設計客戶如海思等對于先進制程有迫切的需求,而且,只有真正實現先進工藝的量產突破才算是不被卡脖
40、子,可以說,先進工藝的突破同時具備充足的市場動力和戰略安全意義。而芯片制造工藝和設備的發展是相輔相成的,當下國產設備加速導入,可以積累量產經驗,有利于后續順利導入先進產線,屆時,國產半導體設備廠商可以享受技術驅動擴容的市場,遠期成長性的確定性較高。資料來源:全球半導體行業觀察,方正證券研究所2.3 國產半導體設備:科技制裁倒逼國產化加速,成長空間巨大晶圓尺寸晶圓尺寸工藝制程工藝制程應用領域應用領域12 英寸(先進制程)10nm高端智能手機處理器(如蘋果A12、驍龍855、麒麟970等)、高性能計算(如個人電腦、服務器CPU、高端AI芯片,包括英偉達的A100和AMD的MI300X)等16/14
41、nm智能手機處理器(驍龍660、驍龍821)、存儲芯片、個人電腦CPU、高端顯卡(NVIDIA Volta、AMD Vega20)、服務器處理器(FPGA芯片)等20-22nm存儲芯片、中低端智能手機處理器、數字電視、移動影像等12 英寸(成熟制程)28-32nmWIFI/藍牙通信芯片、音效處理芯片、存儲芯片、FPGA芯片、ASIC芯片等45-65nmDSP處理器(德州儀器)、傳感器、射頻、WIFI/藍牙/GPS/NFC通信芯片、非易失性存儲芯片等65-90nm模擬芯片、功率器件、物聯網MCU、射頻芯片等8英寸90nm-0.13um汽車MCU、基站通信設備DSP、物聯網MCU、射頻芯片、模擬
42、芯片、功率器件等0.13-0.15um指紋識別芯片、影像傳感器、通信MCU、電源管理芯片、功 率器件、LED驅動IC、傳感器芯片等0.18-0.5umMOSFET、IGBT等功率器件,嵌入式非易失性存儲器芯片等表:不同工藝制程的半導體應用領域概況2.4 半導體設備市場:千億美元的大市場,前道制造設備是核心14 2022年全球半導體設備銷售額為1076.5億美元,根據所處的工藝環節,半導體設備分為前道制造設備和后道封測設備,根據SEMI的數據,2021-2023,前道設備占據設備總體規模的比重為85.37%、87.30%和90.32%.集成電路制造工藝繁多復雜,前道制造工藝包括氧化擴散、薄膜沉積
43、、涂膠顯影、光刻、離子注入、刻蝕、清洗、檢測等。其中光刻、刻蝕和薄膜沉積是半導體制造三大核心工藝,對應三大核心設備為光刻機、刻蝕機、薄膜沉積設備,Gartner在2022年的數據顯示,三者市場規模占比分別為17%、22%和22%,其余的設備中檢測設備占比12%,清洗設備占比6%,涂膠顯影設備占比4%,CMP設備占比3%,離子注入機占比1%;前道設備占總設備規模比重按88%計算,細分設備占比按前述比例計算,得到各類細分設備市場規模(見右表)資料來源:中微公司招股說明書,Semi,Gartner,方正證券研究所圖:光刻、薄膜沉積、刻蝕是半導體制造的三大核心工藝全球設備市場份額(億美元)1076.5
44、前道設備比重88%細分設備占比規模(億美元)光刻機17%161.04 刻蝕機22%208.41 薄膜沉積22%208.41 檢測設備12%113.68 清洗機6%56.84 涂膠顯影機4%37.89 CMP3%28.42 離子注入設備1%9.47 其他13%123.15 圖:前道設備占據主要市場份額表:細分設備市場規模(2022年數據)85.37%87.30%90.32%7.64%7.18%5.87%7.00%5.52%3.81%0%10%20%30%40%50%60%70%80%90%100%202120222023制造設備測試設備封裝設備2.5 半導體設備國產化率:基于營收和工藝覆蓋度分析
45、,細分設備國產化率程度不同,15 從營收角度和工藝覆蓋度上分析當下主要從營收角度和工藝覆蓋度上分析當下主要半導體制造設備的國產化率情況半導體制造設備的國產化率情況:從2022年的營收角度來看,清洗設備和CMP設備的國產化率已經比較高,刻蝕設備和薄膜沉積設備的國產化率稍微高一點,預計20%左右,其余的設備國產化率低于個位數,核心的光刻機國產化幾乎為0(注:營收角度的國產化率僅僅使用了上市公司的數據進行測算和估計,僅供參考);從工藝覆蓋角度來看,除了光刻機,國產設備在成熟制程上基本已經突破,除了提升成熟制程設備的工藝覆蓋度以外,正在積極進行先進技術節點的突破。競爭格局競爭格局:基本由美日歐系廠商壟
46、斷。光刻機由歐洲廠商壟斷,刻蝕機和薄膜沉積設備則主要美系和日系廠商壟斷;量檢測設備由美系廠商KLA一家占據超一半份額;清洗機是由日系廠商壟斷,涂膠顯影機則是tel一家獨大,cmp設備由美日廠商壟斷,離子注入設備由美系廠商AMAT占據70%份額資料來源:各公司公告,方正證券研究所營收角度(營收角度(20232023)工藝覆蓋度角度工藝覆蓋度角度國產設備廠商國產設備廠商歷史競爭格局(全球)歷史競爭格局(全球)光刻機幾乎為090nm已經攻克上海微電子ASML(荷)基本壟斷,日系廠商包括Canon和Nikon刻蝕機預計23%中微公司的設備已經應用在65-14nm、7nm和5nm的產線中中微公司、北方華
47、創等薄膜沉積預計約19%CVD:持續提升工藝覆蓋度,某些產品進入先進節點產線;PVD:北方華創的設備完成量產突破;EPI:在多家客戶端穩定量產拓荊科技、微導納米、北方華創、陛通等量測檢測設備預計6%左右壁壘較高的納米有圖形檢測設備實現2Xnm的突破中科飛測、精測電子、睿勵等清洗機21%國產化率已經比較高,先進技術節點已有覆蓋盛美上海、至純科技、北方華創、芯源微等日系設備占據主導地位,DNS、TEL、LAM、SEMES合計市占率90%以上,DNS市占率在40%以上涂膠顯影機預計不超過5%突破浸沒式track,實現28nm及以上節點的全覆蓋芯源微CMP約35%28nm及以上節點基本完成國產替代,先
48、進技術節點有所突破華海清科應用材料和日本荏原合計擁有全球 CMP 設備超過 90%的市場份額,尤其在 14nm 以下最先進制程工藝的大生產線上所應用的 CMP 設備僅由兩家國際巨頭提供離子注入設備不超過4%進展相對較慢,21年完成了首臺離子注入設備的驗收凱世通(萬業企業子公司)等表:細分半導體設備的國產化率情況目錄目錄16資料來源:方正證券研究所半導體設備行業:兼具周期與成長的千億美金大賽道,國產替代是必然半導體設備行業:兼具周期與成長的千億美金大賽道,國產替代是必然1234半導體產業鏈:半導體設備為行業基石半導體產業鏈:半導體設備為行業基石5細分半導體設備:從單項工藝出發認識半導體制造設備細
49、分半導體設備:從單項工藝出發認識半導體制造設備風險提示風險提示典型典型CMOSCMOS工藝器件的制造流程:從模塊工藝出發了解半導體制造過程工藝器件的制造流程:從模塊工藝出發了解半導體制造過程3.1 集成電路制造工藝總述17 完整的硅基完整的硅基cmoscmos集成電路工藝流程包括數百至上千個工藝步集成電路工藝流程包括數百至上千個工藝步驟,這類由單臺設備或者單個反應腔室即可完成的工藝步驟驟,這類由單臺設備或者單個反應腔室即可完成的工藝步驟稱為單項工藝,如光刻、刻蝕、薄膜沉積等。在制造實踐中,稱為單項工藝,如光刻、刻蝕、薄膜沉積等。在制造實踐中,為了技術和管理上的便利性,將可以集合成由特定功能工藝
50、為了技術和管理上的便利性,將可以集合成由特定功能工藝模塊的一組單項工藝稱為模塊工藝。更進一步,可以將這些模塊的一組單項工藝稱為模塊工藝。更進一步,可以將這些工藝模塊集合歸類為前段工藝(工藝模塊集合歸類為前段工藝(FEOLFEOL)、中段工藝()、中段工藝(MOLMOL)和)和后段工藝(后段工藝(BEOLBEOL),這三段工藝屬于前道制造流程,完整的),這三段工藝屬于前道制造流程,完整的半導體制造流程還包括后道封測。半導體制造流程還包括后道封測。前段工藝前段工藝(Frontend of Line,FEOL):形成芯片底層晶體管等有源MOS器件的過程,主要包括淺槽隔離、源漏極、柵極等。中段工藝中段
51、工藝(Middle of Line,MOL):制程發展45nm/28nm以后,為了提高晶體管的性能,采用高介電常數柵介質及金屬柵極工藝,在晶體管源漏結構制備完成后增加替代柵工藝及局部互連工藝,這些工藝位于前段工藝和后段工藝之間,均為傳統工藝中沒有采用的工藝,因此成為中段工藝。后段工藝后段工藝(Back end of Line,BEOL):形成能將電信號傳輸到各個器件的互連線,主要包括金屬間介質層沉積、金屬線條形成、引出焊盤(Pad,又稱襯墊)等工藝。通孔(Via)是相鄰兩層金屬互連線之間的連接通路,位于兩層金屬中間的介質層中,一般用銅等金屬填充。資料來源:集成電路產業全書,方正證券研究所圖:集
52、成電路制造工藝段落示意圖3.2 典型納米級COMS工藝器件的前道工藝流程18接下來主要通過一個典型納米級CMOS器件的工藝流程介紹前道制程,包括如下工藝(順序:從上到下,從左到右)資料來源:集成電路制造工藝與工程應用,方正證券研究所襯底制備閾值電壓離子注入工藝LDD工藝Salicide工藝金屬層1工藝通孔2和金屬層3工藝有源區工藝柵氧化層工藝側墻2工藝ILD工藝IMD2工藝IMD4工藝STI隔離工藝多晶硅柵工藝源漏離子注入工藝接觸孔工藝通孔1和金屬層2工藝頂層金屬Al工藝雙阱工藝側墻1工藝HRP工藝IMD1工藝IMD3工藝鈍化層工藝1、襯底制備襯底制備:器件是在襯底上制造的,這是COMS工藝流
53、程的第一步。一般選擇P型裸片材料作為襯底。2、有源區(有源區(Active AreaActive Area)工藝)工藝:通過刻蝕去掉非有源區的區域的硅襯底而保留器件的有源區。具體步驟:(1)清洗;(2)生長前置氧化層:利用爐管熱氧化生長一層SiO2薄膜,目的是緩解后續沉積Si3N4層對襯底的應力;(3)利用LPCVD沉積Si3N4層,這層是有源區(AA)刻蝕的硬掩模版和后續STI(Shallow Trench Isolation,淺槽隔離)CMP的停止層、也是場區離子注入的阻擋層;(4)利用PECVD沉積SiON層,作為光刻的底部抗反射層;(5)AA光刻處理、測量AA光刻的關鍵尺寸、測量AA套
54、刻、檢查顯影曝光后的圖形;(6)AA硬膜版刻蝕;(7)去膠;(8)AA干法刻蝕形成AA圖形和STI;(9)測量AA刻蝕關鍵尺寸;(10)檢查刻蝕后的圖形。生長前置氧化層的剖面圖沉積Si3N4的剖面圖沉積SiON層的剖面圖AA光刻的剖面圖AA顯影的剖面圖AA硬掩膜版刻蝕的剖面圖去除光刻膠的剖面圖AA干法刻蝕的剖面圖3.2 典型納米級COMS工藝器件的前道工藝流程193、STISTI隔離工藝隔離工藝:利用氧化硅填充溝槽,在器件有源區之間嵌入很厚的氧化物,從而形成器件之間的隔離,利用STI隔離工藝可以改善寄生場效應晶體管和閂鎖效應。具體步驟:(1)清洗;(2)STI熱氧化:利用爐管熱氧化生成二氧化硅
55、薄膜,該層二氧化硅薄膜可以保護硅襯底;(3)利用HDPCVD沉積厚的SiO_2層(HDPCVD臺階覆蓋率非常好,可以有效填充STI的空隙);(4)RTA快速熱退火,修復HDPCVD對襯底硅的損傷;(5)AR(Active Area Reverse)光刻處理;(6)測量AR套刻、檢查顯影后曝光的圖形;(7)AR刻蝕:干法刻蝕去除大塊AA區域的氧化硅,刻蝕停留在34層;(8)去膠;STI CMP;(9)清洗;(10)濕法刻蝕去除34層,刻蝕停在氧化硅上;(11)濕法刻蝕去除前置氧化層。資料來源:集成電路制造工藝與工程應用,集成電路產業全書,方正證券研究所4 4、雙阱工藝、雙阱工藝:目的是形成PN結
56、隔離,使器件形成電性隔離,優化晶體管的電學特性。具體步驟:(1)清洗;(2)爐管熱氧化生長犧牲層氧化硅,可以隔離光刻膠和硅襯底,消除Si3N4對有源區表面的影響;(3)NW光刻處理、測量NW套刻、檢查顯影后曝光的圖形;(4)NW離子注入;(5)去膠;(6)PW光刻;測量NW套刻、檢查顯影后曝光的圖形;(7)PW離子注入;(8)去膠;(9)NW和PW阱離子注入退火,修復離子注入對硅襯底造成的損傷,同時降低雜質的擴散;(10)濕法刻蝕去除犧牲層氧化硅STI熱氧化生長SiO2的剖面圖沉積厚的SiO2的剖面圖AR光刻的剖面圖AR顯影的剖面圖AR刻蝕的剖面圖去除光刻膠的剖面圖STI平坦化的剖面圖去除Si
57、3N4的剖面圖去除前置氧化層的剖面圖生長犧牲層氧化硅的剖面圖生長犧牲層氧化硅的剖面圖NW光刻的剖面圖NW顯影的剖面圖NW離子注入的剖面圖去除光刻膠的剖面圖PW光刻的剖面圖PW顯影的剖面圖PW離子注入的剖面圖去除光刻膠的剖面圖去除犧牲層氧化硅的剖面圖3.2 典型納米級COMS工藝器件的前道工藝流程205 5、柵氧化工藝、柵氧化工藝:通過熱氧化形成高質量的柵氧化層具體步驟:(1)清洗;(2)爐管熱氧化生長厚的SiO_2 氧化層;(3)厚柵氧光刻處理;(4)測量厚柵氧光刻套刻、檢查顯影后曝光的圖形;(5)濕法刻蝕去除低壓器件區域氧化層;(6)去膠、清洗;(7)利用爐管熱氧化生長薄柵氧化層。在45nm
58、以下的技術節點中,為了有效降低器件漏電流,高介電材料被引入替代二氧化硅材料,高k介質薄膜一般由ALD沉積。6 6、多晶硅柵工藝多晶硅柵工藝:指形成MOS器件的多晶硅柵極,柵極的作用是控制器件的關閉或者導通。具體步驟:(1)LPCVD沉積多晶硅(沉積的多晶硅是未經摻雜的,它是通過后續的源漏離子注入進行摻雜,可以更容易控制器件的閾值電壓);(2)PECVD沉積SiON作為光刻的底部抗反射層;(3)柵光刻處理;測量柵極光刻關鍵尺寸、光刻套刻、檢查顯影后曝光的圖形;(4)柵刻蝕:去除沒有光刻膠覆蓋的多晶硅形成器件的柵極,分兩步刻蝕:1、利用CF4和CHF3去除SiON;2、利用Cl2和HBr刻蝕多晶硅
59、;(5)去膠;(6)去除SiON。45nm及以下的工藝節點中,為避免硅柵耗盡效應,使用金屬柵替代多晶硅,金屬柵的沉積要使用ALD資料來源:集成電路制造工藝與工程應用,方正證券研究所生長厚柵氧化層后的剖面圖厚柵氧光刻的剖面圖厚柵氧顯影的剖面圖去除低壓器件區域氧化層的剖面圖去除光刻膠后的剖面圖生長薄柵氧和厚柵氧的剖面圖沉積多晶硅的剖面圖柵顯影的剖面圖沉積SiON的剖面圖柵光刻的剖面圖柵刻蝕的剖面圖去除SiON的剖面圖3.2 典型納米級COMS工藝器件的前道工藝流程217 7、輕摻雜漏(、輕摻雜漏(LDDLDD)離子注入工藝)離子注入工藝:在柵極的邊界下方與源漏之間形成低摻雜的擴展區,目的是減弱短溝
60、道效應。在45nm/28nm或者更先進的節點中,為了隔開和補償由于LDD離子注入引起的橫向擴散,需要增加補償側墻工藝。以65nm工藝技術為例,流程中采用兩次側墻結構工藝步驟,第一次是在LDD離子注入前,目的是減小柵極和源漏的有源區的交疊,從而減少它們之間的寄生電容,第二次是在LDD離子注入之后,是為了形成側墻結構阻擋源漏重摻離子注入。具體步驟:(1)沉積i2和34作為第一重側墻。利用LPCVD進行沉積(2)側墻干法刻蝕:利用干法刻蝕去除34層形成側墻,因為在柵兩邊的氧化物在垂直方向比較厚,拐角處留下一些不能被刻蝕的氧化物,因此形成側墻;(3)NLDD光刻處理、(4)NLDD離子注入(5)去膠(
61、6)PLDD光刻處理、(7)PLDD離子注入(8)去膠、清洗(9)LDD退火激活:快速熱處理(RTP)修復離子注入對硅表面晶體的損傷,激活離子注入的雜質(10)沉積ONO結構i2/34/i2作為第二重隔離側墻:LPCVD沉積ONO層,第一層i2(34的刻蝕停止層和應力緩解層),第二層是34層,第三層i2層是側墻結構的主體(11)側墻干法刻蝕:刻蝕停留在底部的i2上,柵兩邊的氧化物在垂直方向較厚,在刻蝕同樣厚度的情況下,拐角處留下一些不能被刻蝕的氧化物,因此形成側墻。資料來源:集成電路制造工藝與工程應用,方正證券研究所側墻刻蝕的剖面圖沉積ONO的剖面圖沉積SiO2和Si3N4的剖面圖側墻刻蝕的剖
62、面圖NLDD離子注入的剖面圖去除光刻膠的剖面圖PLDD離子注入的剖面圖去除光刻膠的剖面圖NLDD光刻的剖面圖NLDD顯影的剖面圖PLDD光刻的剖面圖PLDD顯影的剖面圖3.2 典型納米級COMS工藝器件的前道工藝流程228 8、源漏離子注入工藝、源漏離子注入工藝:在形成器件的源漏區重摻雜,降低器件有源區的串聯電阻,提高器件的速度。9、HRP工藝:指形成高阻值多晶硅電阻離子注入的工藝,利用離子注入來注入氟離子改變多晶硅的物理特性,形成高阻抗的多晶硅電阻。資料來源:集成電路制造工藝與工程應用,方正證券研究所10、Salicide工藝:在沒有氧化物覆蓋的襯底硅和多晶硅上形成金屬硅化物,從而得到低阻的
63、有源區和多晶硅。具體步驟:(1)沉積SAB(Salicide Block,金屬硅化物阻擋層),利用PECVD沉積一層SiO2,目的是把不需要形成的金屬硅化物的襯底硅和多晶硅覆蓋;(2)光刻、量測、檢查;(3)SAB刻蝕:干法刻蝕和濕法刻蝕相結合,清除沒被光刻膠覆蓋的SiO2;(4)去膠、清洗氧化層(為了后續沉積Co);(5)沉積Co和TiN:PVD濺射工藝;(6)第一步Salicide RTA-1,通入N2使Co與襯底硅和多晶硅反應生成高阻的金屬硅化物Co2Si;(7)Co和TiN 的選擇性刻蝕:利用濕法刻蝕清除TiN和沒有與Si反應的Co,防止造成器件短路;(8)第二步Salicide RT
64、A-2,把高阻態的金屬硅化物Co2Si 轉換成低阻態的CoSi2;(9)沉積SiON,PECVD沉積SiON薄膜,防止下一步BPSG中的B和P析出擴散,影響器件性能。n+光刻的剖面圖n+顯影的剖面圖去除光刻膠剖面圖p+光刻的剖面圖p+顯影的剖面圖p+離子注入的剖面圖去除光刻膠的剖面圖HRP工藝完成后的剖面圖沉積SiO2的剖面圖電路的版圖SAB光刻的剖面圖SAB顯影的剖面圖SAB刻蝕的剖面圖沉積Co和TiN的剖面圖去除光刻膠剖面圖選擇性刻蝕的剖面圖沉積SiON的剖面圖n+離子注入的剖面圖3.2 典型納米級COMS工藝器件的前道工藝流程23資料來源:集成電路制造工藝與工程應用,方正證券研究所111
65、1、ILDILD工藝工藝:指在器件與第一層金屬之間形成的介質材料,形成電性隔離,可以有效隔離金屬互連線與器件,降低金屬和襯底材料之間的寄生電容,改善金屬橫跨不同區域而形成寄生的場效應晶體管。ILD的介質材料是氧化硅。具體步驟:(1)PECVD沉積SiON保護器件;(2)SACVD沉積USG(不摻雜的SiO2),防止BPSG滲出的硼和磷污染襯底;(3)APCVD沉積BPSG(摻雜硼和磷的硅玻璃);(4)BPSG回流(LPCVD);(5)清洗;(6)SACVD沉積USG;(7)ILD CMP;(8)量測、清洗;(9)SACVD沉積USG;(10)PECVD沉積SiON,作為光刻的底部抗反射層。具體
66、步驟具體步驟:(1)CT光刻處理;測量CT光刻的關鍵尺寸;測量CT光刻套刻、檢查顯影后曝光的圖形;(2)CT干法刻蝕,利用CHF3和CF4等氣體形成等離子體去除無光刻膠覆蓋區域的氧化物,獲得垂直的側墻形成接觸通孔,上一個工藝沉積的SiON作為該層刻蝕的緩沖層使得刻蝕最終停止在硅上;(3)去膠、清洗、量測;(4)Ar刻蝕:PVD前使用ArF離子濺射清潔表面;(5)PVD沉積Ti/TiN層,可以防止鎢與硅反應且有助于后續的鎢層附著在氧化層上;(6)退火;(7)WCVD沉積鎢層填充接觸孔;(8)鎢CMP;(9)去除表面的鎢和Ti/TiN,防止不同區域的接觸孔短路;(10)清洗。沉積Ti/TiN的剖面
67、圖沉積SiON的剖面圖BPSG回流的剖面圖ILD CMP后的剖面圖沉積SiON的剖面圖CT光刻的剖面圖CT顯影的剖面圖CT刻蝕的剖面圖電路的版圖去除光刻膠的剖面圖沉積鎢層的剖面圖鎢CMP的剖面圖1212、接觸孔接觸孔(ContactContact HoleHole)工藝工藝:指在ILD介質層上形成很多細小的垂直通孔,是器件與第一層金屬層的連接通道。通孔填充的材料是鎢(W),沉積鎢的工藝是MCVD(金屬CVD),其具有優良的臺階覆蓋率以及對高深寬比的接觸通孔的無間隙填充。3.2 典型納米級COMS工藝器件的前道工藝流程24資料來源:集成電路制造工藝與工程應用,方正證券研究所1313、IMD1IM
68、D1工藝工藝:指在第一層金屬之間的介質隔離材料,使用材料是超低介電(ULK,Ultra Low k)SiCOH材料。具體步驟:(1)PECVD沉積SiCN為刻蝕停止層(ESL);(2)PECVD沉積SiCOH作為金屬氧化物隔離層;(3)PECVD沉積USG,和TiN硬掩模一起防止后續的去膠工藝破壞ULK;(4)PVD沉積TiN,作為硬掩模版層和抗反射層。1414、金屬層、金屬層1 1工藝工藝:形成第一層金屬互連線,目的是把不同區域的接觸孔連接起來,以及把不同區域的通孔1連接起來。第一層金屬層是大馬士革的銅工藝,先在介質上挖槽,再利用電鍍(ECP)的方式填充銅具體步驟:(1)M1光刻;(2)測量
69、光刻關鍵尺寸、測量M1套刻數據、檢查顯影后曝光的圖形;(3)M1硬掩模干法刻蝕:去除沒有光刻膠覆蓋的TiN硬掩模;(4)去膠;(5)測量M1的關鍵尺寸;(6)M1干法刻蝕SiCOH層,(7)SiCN為刻蝕停止層(ESL);(8)濕法刻蝕去除ESL SiCN層;(9)PVD沉積Ta/TaN,有助于銅的附著+防止銅擴散;(10)PVD沉積Cu銅薄籽晶層,PVD;(11)電鍍(ECP)沉積銅;(12)銅CMP;(13)清洗。1515、IMD2IMD2工藝工藝:包括IMD2a工藝和IMD2b工藝,前者形成通孔1(VIA1,下個步驟涉及)的介質隔離,后者隔離第一層(M1)和第二層金屬(M2),IMD2工
70、藝材料與IMD1相同,為ULK SiCOH材料。具體步驟:(1)PECVD沉積SiCN刻蝕停止層和M1的覆蓋層,防止銅擴散;(2)PECVD沉積IMD2a的SiCOH層;(3)PECVD沉積SiCN刻蝕停止層;(4)PECVD沉積IMD2b的SiCOH層;(5)PECVD沉積USG;(6)PVD沉積TiN硬掩模,同時作為抗反射層。24沉積SiCN的剖面圖M1光刻的剖面圖電路的版圖M1顯影的剖面圖M1硬掩膜刻蝕的剖面圖去除光刻膠的剖面圖去除SiCN層的剖面圖Cu CMP的剖面圖沉積Cu 薄籽晶層的剖面圖電鍍沉積Cu 的剖面圖IMD2a沉積SiCN層的剖面圖IMD2b沉積SiCN層的剖面圖沉積Ti
71、N層的剖面圖沉積TiN的剖面圖3.2 典型納米級COMS工藝器件的前道工藝流程25資料來源:集成電路制造工藝與工程應用,方正證券研究所1616、通孔、通孔1 1和金屬層和金屬層2 2工藝工藝:通孔1(VIA1)工藝將第一層和第二層金屬的通孔連接互連線,金屬層2(M2)工藝指第二層金屬互連線,目的是把不同區域的通孔1和連接起來,把不同區域的通孔2連起來。VIA1和M1工藝都是大馬士革工藝。具體步驟:(1)M2光刻,(2)M2量測、清洗、顯影,(3)M2硬掩膜TiN刻蝕;(4)去膠;(5)VIA1光刻處理;(6)量測、檢查;(7)VIA1干法刻蝕SiCOH層,SiCN為刻蝕停止層,(8)濕法刻蝕去
72、除SiCN層(9)去膠(10)M2干法刻蝕SiCOH層,SiCN為刻蝕停止層,(11)濕法刻蝕去除SiCN層;(12)PVD沉積Ta/TaN;(13)PVD沉積銅薄籽晶層;(14)電鍍ECP沉積銅;(15)銅CMP;(16)清洗。1717、IMDIMD3 3工藝工藝,與IMD2工藝相似;1818、通孔通孔2 2和金屬層和金屬層3 3工藝工藝:與通孔1和金屬層2工藝類似1919、IMDIMD4 4工藝工藝:與IMD3工藝類似,目的是形成TMV(Top Metal VIA,頂層金屬孔)的介質材料,同時隔離第三層金屬層和頂層金屬層;2020、頂層金屬頂層金屬AlAl工藝工藝:形成頂層金屬Al(Cu被
73、氧化形成的氧化膜比較輸送無法阻止進一步被氧化,且Cu是軟金屬,不能作為綁定金屬,所以必須用Al作為頂層金屬),該工藝還包括TMV工藝(形成第三層金屬和頂層金屬Al的通孔連接互連線),通孔工藝與之前工藝環節類似,進行頂層金屬薄膜沉積時,PVD沉積Ti/TiN層、AlCu金屬層、Ti層,TM經過光刻、刻蝕后,使用PECVD沉積一層SiO2,保護金屬,防止后續的HDPCVD損傷金屬互連線。2121、鈍化層工藝鈍化層工藝:鈍化層可以有效阻擋水蒸氣和可移動離子的擴散,保護芯片免于受潮、劃傷和粘污。具體步驟:(1)HDPCVD沉積PSG,加磷的作用是吸附雜質(2)PECVD沉積Si3N4,該膜硬度高致密性
74、好,防止機械劃傷的同時阻止鈉金屬離子滲入;(3)Pad窗口光刻處理;(4)Pad窗口刻蝕:去除沒有被光刻膠覆蓋的鈍化層;(5)去膠;(6)利用高溫爐管退火和合金化,使鈍化層增密。M2光刻的剖面圖M2顯影的剖面圖M2硬掩膜刻蝕的剖面圖去除光刻膠的剖面圖VA1光刻的剖面圖VA1顯影的剖面圖去除光刻膠的剖面圖VA1刻蝕的剖面圖去除SiCN層的剖面圖沉積Cu 薄籽晶層的剖面圖Cu CMP的剖面圖電鍍沉積Cu 的剖面圖沉積Si3N4 的剖面圖PAD窗口顯影的剖面圖去除光刻膠的剖面圖鈍化層刻蝕的剖面圖目錄目錄26資料來源:方正證券研究所半導體設備行業:兼具周期與成長的千億美金大賽道,國產替代是必然半導體設
75、備行業:兼具周期與成長的千億美金大賽道,國產替代是必然1234半導體產業鏈:半導體設備為行業基石半導體產業鏈:半導體設備為行業基石5細分半導體設備細分半導體設備薄膜沉積設備薄膜沉積設備風險提示風險提示典型典型CMOSCMOS工藝器件的制造流程:從模塊工藝出發了解半導體制造過程工藝器件的制造流程:從模塊工藝出發了解半導體制造過程4.1.1 22年全球薄膜沉積設備市場達到229億美元,制程升級/多層趨勢+新興工藝驅動市場增長27 薄膜沉積作用薄膜沉積作用是在芯片納米級結構中逐層堆疊薄膜形成電路結構,薄膜包括半導體、介質、金屬/金屬化合物三大類,不同薄膜沉積時反應的原理不同,因此薄膜沉積設備的技術原
76、理也不同,沉積過程需要物理(PVD)、化學(CVD)、原子層沉積(ALD)等設備相互補充。CVDCVD覆蓋了前道制造過程中的大部分沉積工藝,因此市場規模最高覆蓋了前道制造過程中的大部分沉積工藝,因此市場規模最高。薄膜沉積工藝的不斷發展,形成了較為固定的工藝流程,同時也根據不同的需求演化出了PECVD、濺射PVD、ALD、LPCVD等不同的設備用于晶圓制造的不同工藝。其中,PECVD是薄膜設備中占比最高的設備類型。根據中微公司,2022年全球薄膜設備總市場已經達到229億美元,其中,PECVD、濺射PVD、爐管CVD、ALD、LPCVD、單晶外延EPI、鍍銅ECD和MOCVD市場規模分別為65、
77、48、31、30、22、16、10、5億美元。圖:2022年全球薄膜沉積設備市場規模(億美元)PECVDPECVD(65(65億億)ALDALD(30(30億億)濺射濺射PVDPVD(48(48億億)LPCVDLPCVD(22(22億億)EPIEPI(16(16億億)ECDECD(10(10億億)爐管爐管CVDCVD(31(31億億)MOCVDMOCVD(5(5億億)資料來源:拓荊科技招股書、中微公司業績交流會、Gartner,方正證券研究所4.1.1 22年全球薄膜沉積設備市場達到229億美元,制程升級/多層趨勢+新興工藝驅動市場增長28 制程升級制程升級/多層架構趨勢帶動設備需求量:多層架
78、構趨勢帶動設備需求量:在邏輯芯片中在邏輯芯片中,制程進步帶來工序步驟和薄膜層數增多制程進步帶來工序步驟和薄膜層數增多,比如,在 90nm CMOS 工藝大約需要 40 道薄膜沉積工序,在 3nm FinFET 工藝產線,超過 100 道薄膜沉積工序,制程從 180nm 進步到90nm 過程中,同樣產能需要的薄膜設備數量呈現成倍增長;存儲芯片存儲芯片3 3D D化化,高深寬比結構以及存儲層數堆疊帶來薄膜沉積設備需求增大高深寬比結構以及存儲層數堆疊帶來薄膜沉積設備需求增大。到20nm工藝節點之后,傳統的平面浮柵NAND閃存因受到鄰近浮柵-浮柵的耦合電容的干擾而達到了微縮極限,NAND 閃存已進入
79、3D 時代。3D NAND 制造工藝中,增加集成度的主要方法不再是縮小單層上線寬而是增加堆疊的層數。在 3D NAND FEOL 工藝中,在完成CMOS 的源漏極之后,開始重復沉淀多層氧化硅/氮化硅形成 ON 疊層(ON Stack),接下來進行光刻和溝道超深孔刻蝕(深寬比至少大于 30:1),沉淀高質量的多晶硅薄膜和溝道深孔填充并形成柵襯墊陣列(Gate Pad),然后進行一系列的光刻、刻蝕、離子注入、沉積柵介質層、沉積柵極等工藝,最后進行 BEOL 工藝。目前 128 層 3DNAND 閃存已進入大生產,192 層閃存已處于批量生產階段,256 層正在開發,高深寬比結構以及存儲層數堆疊帶來
80、薄膜沉積設備需求增大。新工藝拓寬應用場景新工藝拓寬應用場景:在柵極從多晶硅柵(Poly-SiON)向 HKMG 結構轉變、存儲結構深寬比越來越高、金屬互連阻擋層薄膜越來越薄等過程中,以及多重曝光等新工藝中,傳統的 LPCVD/PECVD 等沉積方法沉積效果有限,需要 ALD 工藝來沉積性能更好的薄膜并滿足高深寬比等需求,在 28nm 以下 FinFET/GAA 結構中,Fin的形成需要自對準雙重技術(SADP)完成,而ALD沉積的Spacer材料的寬度決定了Fin的寬度,是制約邏輯芯片制程先進的關鍵。資料來源:拓荊科技公司公告、集成電路產業全書王陽元 主編、集成電路制造工藝與工程應用溫德通,方
81、正證券研究所表:中芯國際不同產線的薄膜沉積設備需求晶圓產線晶圓產線設備種設備種類類所需設備數量(臺)所需設備數量(臺)/萬萬片月產能片月產能SMIC180nm8寸晶圓產線CVD9.9PVD4.8SMIC90nm12寸晶圓產線CVD42PVD24圖:3D NAND器件制造工藝圖圖:SADP工藝流程圖4.1.2 薄膜沉積可以分為物理氣相沉積和化學氣相沉積,設備選型需要關注薄膜性質29 CVDCVD(化學氣相沉積化學氣相沉積)是通過混合化學氣體并發生反應是通過混合化學氣體并發生反應,從而在襯底表面沉積薄膜的一種工藝從而在襯底表面沉積薄膜的一種工藝,經常用于沉積介質薄膜經常用于沉積介質薄膜,用于前段的
82、柵氧化層用于前段的柵氧化層、側墻側墻、阻擋層阻擋層、PMDPMD等領域和后段的等領域和后段的IMDIMD、BarcBarc、阻擋層阻擋層、鈍化層等領域鈍化層等領域,另外另外CVDCVD也可以制備金屬薄膜也可以制備金屬薄膜(如如W W等等)在微米技術時代微米技術時代,化學氣相沉積均采取多片式的常壓化學氣相沉積設備(Atmospheric Pressure CVD,APCVD),其結構比較簡單,腔室工作壓力約為1atm,圓片的傳輸和工藝是連續的。隨著圓片尺寸的增加,單片單腔室工藝占據了主導地位。在圓片尺寸增加的同時,IC技術代也在不斷地更新;到了亞微米技術代亞微米技術代,低壓化學氣相沉積設備(Lo
83、w Pressure CVD,LPCVD)成為主流設備,其工作壓力大大降低,從而改善了沉積薄膜的均勻性和溝槽覆蓋填充能力;在IC技術代發展到9090nmnm的過程中,等離子體增強化學氣相沉積設備(Plasma Enhanced CVD,PECVD)扮演了重要的角色。由于等離子體的作用,化學反應溫度明顯降低,薄膜純度得到提高,薄膜密度得以加強。從6565nmnm技術代開始技術代開始,在器件的源區、漏區采用選擇性SiGe外延工藝,提高了PMOS的空穴遷移率。從4545nmnm技術代技術代開始,為了減小器件的漏電流,新的高介電材料(High k)材料及金屬柵(Metal Gate)工藝被應用到集成電
84、路工藝中,由于膜層非常薄,通常在數納米量級內,所以不得不引人原子層沉積(Atomic Layer Deposition,ALD)的工藝設備,以滿足對薄膜沉積的控制和薄膜均勻性的需求。PVDPVD(物理氣相沉積物理氣相沉積)是指通過物理方法如真空蒸發是指通過物理方法如真空蒸發、濺射鍍膜等在圓片表面形成薄膜濺射鍍膜等在圓片表面形成薄膜,主要用來沉積金屬及金屬化合物薄膜主要用來沉積金屬及金屬化合物薄膜,最主要用于金最主要用于金屬互連籽晶層屬互連籽晶層、阻擋層阻擋層、硬掩膜硬掩膜、焊盤等焊盤等。150mm硅片時代,PVD以單片單腔室的形式為主。從IC技術發展的角度看,因為制備的薄膜均勻性和致密性更優,
85、對襯底的附著性強,純度更高,濺射設備逐漸取代了真空蒸鍍設備。隨IC技術的發展,要求PVD設備從能夠制備單一均勻的平面薄膜,到覆蓋具有一定深寬比的孔隙溝槽,這種發展需求使PVD腔室工作壓力從數個毫托發展到亞毫托(減?。?,或者到數十個毫托(增大),靶材到圓片的距離也顯著增加。這種發展需求也伴隨著磁控濺射設備、射頻PVD設備和離子化PVD設備的逐步發展。磁控濺射源除了采用直流電源,也引人射頻源來降低人射粒子能量,以減少對圓片上器件的損傷,這類離子化物理氣相沉積腔室在銅互連和金屬柵的沉積中應用廣泛。除此之外,還引人了輔助磁場、輔助射頻電源或垂直器。承載圓片的基座除了具有加熱或冷卻的功能,還引人了射頻電
86、源所產生的負偏壓及反濺射的功能。此類離子化PVO腔室和金屬化學氣相沉積(Metal CVD)及原子層沉積也有著結合在同一系統中的趨勢。資料來源:方正證券研究所30常見的薄膜分為半導體、介質、金屬/金屬化合物薄膜三大類,材料選擇與使用場景有關,我們總結了典型模塊工藝中所用到的薄膜、作用以及沉積工藝資料來源:集成電路制造工藝與工程應用溫德通,方正證券研究所4.1.2 薄膜沉積可以分為物理氣相沉積和化學氣相沉積,設備選型需要關注薄膜性質工藝工藝工藝結構和作用工藝結構和作用薄膜材料薄膜材料薄膜作用薄膜作用沉積工藝沉積工藝有源區工藝SiO2緩解后續沉積Si3N4的應力爐管熱氧化Si3N4刻蝕硬掩模版,S
87、TI CMP的停止層、離子注入的阻擋層LPCVDSiON光刻的底部抗反射層PECVDSTI隔離工藝淺溝槽隔離STISiO2保護硅襯底爐管熱氧化SiO2填充溝槽HDPCVD雙阱工藝SiO2犧牲層爐管熱氧化柵氧化工藝柵氧化層SiO2硅襯底與柵極之間,起到絕緣作用爐管熱氧化高k材料硅襯底與柵極之間,起到絕緣作用ALD柵極工藝柵極,集成與發射電流多晶硅/金屬作為柵極材料LPCVD/ALDSiON光刻的底部抗反射層PECVD側墻工藝側墻,保護柵極SiO2和Si3N4形成側墻LPCVDONO結構SiO2/Si3N4/SiO2刻蝕停止層和應力緩解層,側墻主體結構LPCVDSalicide工藝金屬硅化物,得到
88、低阻的有源區和多晶硅SiO2金屬硅化物的阻擋層PECVD和TiN前者是金屬硅化物的前體反應物,后者是為了促使硅化物薄膜沉積的均勻性PVD濺射SiON阻擋層,防止下一步BPSG中的B和P析出擴散,影響器件性能PECVDILD工藝器件與第一層金屬之間的電性隔離材料USG(不摻雜的SiO2)防止BPSG滲出的硼和磷污染襯底SACVDBPSG(摻雜硼和磷的硅玻璃)CMP停止層APCVD,LPCVDSiON光刻的底部抗反射層PECVD接觸孔工藝接觸孔,連接器件與金屬層鎢填充接觸孔MCVDTi/TiN可以防止鎢與硅反應且有助于后續的鎢層附著在氧化層上PVDSiON刻蝕緩沖層PECVDIMD工藝金屬之間的隔
89、離SiON刻蝕停止層PECVD低k介質材料SiCOH內部金屬氧化物隔離層PECVDUSG(不摻雜的SiO2)保護介質薄膜PECVDTiN硬掩模版層和抗反射層PVD通孔工藝Ta/TaN幫助Cu附著,作為阻擋層防止Cu擴散PVD薄籽晶層Cu在籽晶層上生長金屬層PVD金屬連接層Cu金屬連接電鍍頂層金屬Al工藝金屬Al互連線Ti/TiN阻擋層,防止Al和SiO2相互擴散PVDAlCu頂層互連材料PVDSiO2保護層PECVD鈍化層工藝保護芯片免于受潮、劃傷和玷污的影響PSG(含磷的SiO2)保護層,隔絕水汽,吸附雜質HDPCVDSi3N4保護層,防止機械劃傷、防止水汽和鈉金屬離子滲入PECVD4.1.
90、3 CVD設備:PECVD成為主流,ALD適應新工藝需求31 制程升級制程升級/多層架構趨勢帶動設備需求量:多層架構趨勢帶動設備需求量:資料來源:集成電路產業全書,拓荊科技公告,方正證券研究所工藝工藝分類分類描述描述熱化學氣相沉積APCVD常壓化學氣相沉積,可用于制備單晶硅、多晶硅、二氧化硅、摻雜的可用于制備單晶硅、多晶硅、二氧化硅、摻雜的(PSG/BPSGPSG/BPSG)等簡單特性薄膜)等簡單特性薄膜。APCVD是最早出現的CVD方法,優勢:反應結構簡單、沉積速率快,缺點:臺階覆蓋率差,一般用于厚的介質沉積LPCVD低壓化學氣相沉積,用于沉積氧化硅、氮化硅、多晶硅、碳化硅、氮化鎵和石墨烯等
91、薄膜用于沉積氧化硅、氮化硅、多晶硅、碳化硅、氮化鎵和石墨烯等薄膜,相較APCVD,LPCVD方法沉積的薄膜厚度均勻性好,臺階覆蓋性好,沉積速率快,生產效率高,沉積的薄膜性能更好,因此應用范圍更為廣泛。MOCVD金屬有機化學氣相沉積,主要用于制備半導體光電子、微電子器件領域的各種化合物半導體單晶材料主要用于制備半導體光電子、微電子器件領域的各種化合物半導體單晶材料,在化合物半導體LED、激光器、高頻電子器件和太陽電池等領域具備量產的能力金屬氣相沉積MCVD最早用于鎢填充接觸孔和存儲器的字線,3D NAND3D NAND中中,字線和插塞是由字線和插塞是由ALDALD和熱反應和熱反應CVDCVD完成
92、鎢的沉積,完成鎢的沉積,14nm14nm以后的制程,金屬原子層沉積逐漸取代以后的制程,金屬原子層沉積逐漸取代MCVDMCVD,但,但前者效率低,前者效率低,MCVDMCVD對于較厚的金屬薄膜沉積依然是很好的選擇對于較厚的金屬薄膜沉積依然是很好的選擇等離子體氣相沉積PECVD等離子體增強化學氣相沉積,用于沉積介質絕緣層和半導體材料用于沉積介質絕緣層和半導體材料。不同于APCVD/LPCVD使用熱能來激活和維持化學反應,PECVD特點是借助微波或射頻等使氣態前驅物電離,形成激發態的活性基團,這些活性基團通過擴散到達襯底表面,進而完成化學反應完成薄膜生長。突出優點是低溫沉積,薄膜純度和密度更高。PE
93、CVD可以在相對較低的反應溫度下形成高密度、高性能的薄膜,通常用于在含有金屬或者其他對溫度比較敏感的結構的襯底上生長薄膜,PECVD能夠沉積大多數主流的介質薄膜、包括一些先進的low-k材料、硬掩膜等。HDPCVDHDPCVD、SACVDSACVD和和FCVDFCVD是是PECVDPECVD工藝的特殊形式,專門用于溝槽、孔洞填充工藝的特殊形式,專門用于溝槽、孔洞填充:1 1)HDPCVDHDPCVD:130-45nm制程:使用HDP-CVD方法用PSG填充金屬前介質層、用SiO2填充STI等工藝;2 2)SACVDSACVD(次常壓(次常壓CVDCVD):40nm以下,實現對STI(淺溝槽隔離
94、)、PMD(金屬前介質層)等溝槽的填充或薄膜的沉積;3 3)FCVDFCVD(流體(流體CVDCVD):28nm及以下,完成對細小溝槽的無縫隙填充。原子層沉積ALDALD準單原子層形式周期性生長的薄膜沉積技術,其特點是控制生長周期的數目可以精確調節沉積薄膜的厚度。區別于傳統CVD在于,CVD將不同反應氣體同時導入腔室,ALD工藝中的多種前驅物交替通過襯底表面,并通過稀有氣體的吹掃實現有效隔離,前驅物在氣相中不會因為相遇而發生化學反應,僅在襯底表面通過化學吸附而發生反應。ALD具有生長溫度低、膜厚控制精準、薄膜均勻性好、致密度高及臺階覆蓋率好等特點。ThermalThermal-ALDALD使用
95、熱能使反應物分子吸附在基底表面,再進行化學反應,生成薄膜,具有相對較高的反應溫度、優越的臺階覆蓋率、高薄膜質量等優點,適用于金屬、金屬氧化物、金屬氮化物等薄膜沉積;PEALDPEALD利用等離子體增強反應活性,提高反應速率,具有相對較快的薄膜沉積速度,較低的沉積溫度等特點,適用于沉積硅基介質薄膜材料。4.1.3 PVD設備中磁控濺射PVD應用最廣泛,電鍍對于某些后段工藝必須32資料來源:集成電路產業全書王陽元 主編,拓荊科技公告,方正證券研究所工藝工藝設備設備描述描述蒸鍍真空蒸鍍設備通過在真空室內加熱固體材料,使其蒸發汽化或升華后凝結沉積到一定溫度的襯底材料表面,對真空環境要求很高,真空蒸鍍設
96、備在大尺寸襯底上鍍膜的均勻性比較差,雖然操作比較方便,但是難以滿足蒸發某些難熔金屬和氧化物材料的需要。電子束蒸鍍設備針對真空蒸鍍難以滿足蒸發某些難熔金屬和氧化物材料的需要改進,發展了以電子束作為加熱源的蒸發方法電子束蒸發,優點是可以獲得極高的能量密度,可以蒸發難熔金屬或者化合物,可以實現高純度薄膜的制備,但是高能離子 的轟擊會引起襯底損傷。目前電子束蒸鍍主要應用在LED的電極制作上。濺射DCPVD直流直流PVDPVD:利用電場加速帶電離子,使離子和靶材表面原子碰撞,將后者濺射出來射向襯底,從而實現薄膜的沉積。使用DCPVD濺射絕緣材料時會導致正電荷在靶材表面積累,靶材的負電性減弱直至消失,導致
97、濺射終止,因此不適用絕緣材料沉積,解決該問題的辦法是使用RFPVD或者CVD;另外,DCPVD啟輝電壓高,電子對襯底的轟擊強,解決該問題的辦法是使用磁控濺射PVDRFPVD射頻射頻PVDPVD:RFCVD采用射頻電源作為激勵源,轟擊出的靶材原子動能較DCPVD更小,因此既可以沉積金屬也可以沉積非金屬材料,但由于臺階覆蓋率能力不如CVD,一般多用CVD沉積絕緣材料;在實際應用中,RFPVD主要沉積金屬柵或者配合磁控濺射PVD使用來降低器件損傷。Magnetron-PVD磁控濺射磁控濺射PVDPVD:在集成電路制造中真正有價值的工藝。在集成電路制造中真正有價值的工藝。磁控濺射是一種在靶材背面添加磁
98、體的PVD方式,利用濺射源(由磁體和電源構成)在腔室內形成交互的電磁場,延長電子的運動路徑進而提高等離子體的濃度,最終實現更多的沉積。磁控PVD等離子體濃度更高,可以實現極佳的沉積效率、大尺寸范圍的沉積厚度控制、精確的成分控制等,在當前金屬薄膜在當前金屬薄膜PVDPVD中處于主導地位。磁控中處于主導地位。磁控DCPVDDCPVD是應用最廣泛的沉積方式之一,特別是平面薄膜的沉積,是應用最廣泛的沉積方式之一,特別是平面薄膜的沉積,包括包括互連的互連的金屬層制備、金屬層制備、金屬硬掩膜沉積等金屬硬掩膜沉積等Ionized-PVD離子化離子化PVDPVD:傳統PVD無法控制粒子的沉積方向,在孔隙深寬比
99、增加時,底部的覆蓋率較低,同時頂部拐角處形成最薄弱的覆蓋。離子化PVD為解決這一問題而出現,是對磁控濺射DCPVD的改進,可以控制金屬離子的方向和能量,以獲得穩定的定向金屬離子流,從而提高對高深寬比通孔和狹窄溝道的臺階底部的覆蓋能力。主要用于主要用于互連的隔離層、鎢栓塞的黏附層,以及互連的隔離層、鎢栓塞的黏附層,以及互連的阻擋層和互連的阻擋層和籽晶層籽晶層,在高深寬比的空隙溝槽的集成電路工藝中占據主導地位。電鍍ECP另外一種物理方法,作用是將一層金屬的薄層鍍到另一層金屬上,主要用于后段工藝中對主要用于后段工藝中對等金屬導線和通孔的填充等金屬導線和通孔的填充。優勢在于形成的薄膜具備更低的電阻率和
100、更好的填充特性,但最大的缺陷在于高深寬比的溝槽填充很不理想4.1.3 EPI設備:底層技術原理屬于化學沉積工藝33資料來源:集成電路產業全書王陽元 主編,方正證券研究所工藝工藝描述分子束外延系統(MBE)起源于半導體超薄單晶薄膜的制備,但其應用已經擴展導金屬、絕緣介質等多種材料體系,薄膜生長速度慢,真空要求高,設備本身和使用成本較高氣相外延系統氣相外延系統(VPE)(VPE)將氣態化合物運輸至襯底上,通過化學反應而獲得一層與襯底具有相同晶格排列的單晶材料層的外延生長設備。外延層可以是同質外延層也可以是異質外延層。目前廣泛用于納材料制備、功率器件、半導體光電器件、太陽能光伏與集成電路等領域。(1
101、)Si襯底全外延:為了提高集成電路和元器件性能,在硅襯底上外延一層純度更高、質量更好的本征硅;或者在高摻雜有上生長低摻雜高阻外延層來有效解決器件的閂鎖(Latch-up)效應;(2)SiGe外延:為滿足無線通信、光通信等領域對高頻、高速器需要在異質結雙極晶體管(Hetero junction Bipolar Transistor,HBT)基區摻入Ge組分,形成SiGe外延層。(3)選擇外延(SEG):進人65nm技術代后,隨著集成電路器件尺寸的大幅度減小,源漏極的結深越來越淺,需要采用CMOS源漏區的Si/SiGe選擇外延技術來降低串聯電阻。對于65/4528nm技術工藝,利用Ge與Si晶格失
102、配?。?)的特點,在刻蝕PMOS源漏極后外延SiGe層,對溝道引人壓應力,改變能帶結構,提高空穴遷移率;或者在無應力的SiGe層上外延一層單晶硅由晶格失配引起對SiGe層的張應力,提高電子遷移率,進而增大器件的飽和工作電流,提高響應速度。液相外延系統(LPE)可用于Si薄膜和單晶材料以及-族、碲鎘汞等半導體材料,可用于制作各種光電器件、微波器件、半導體器件和太陽能電池等?;瘜W外延系統(CBE)主要用于制備化合物半導體單晶薄膜,但是設備價格昂貴+污染嚴重,已經停產離子團束外延系統(IBE)可用于金屬、絕體、半導體、有機材料、高溫超導材料、氧化物等多種薄膜制備,但是尚不成熟低能離子團束外延系統(L
103、E-IBE)可用于Si、Ge、GaN等薄膜的低溫外延,也可用于生長金剛石多晶膜。但是結構復雜,仍處于實驗室研究階段4.1.4 全球薄膜沉積市場高度集中,主要由歐美和日系廠商主導34資料來源:拓荊科技招股書、Gartner、中國有色金屬工業協會硅業分會、盛美上海招股書、方正證券研究所30%21%19%30%AMATLamTEL其他從全球市場份額來看從全球市場份額來看,薄膜沉積設備行業呈現高度壟斷的競爭局面薄膜沉積設備行業呈現高度壟斷的競爭局面,全球市場基本由應用材料全球市場基本由應用材料AMATAMAT、ASMIASMI、泛林半導體泛林半導體LamLam、東京電子東京電子TELTEL等國際巨頭壟
104、斷等國際巨頭壟斷,2019年各細分市場的全球競爭格局為:CVD:AMAT占比約30%,Lam占比21%,TEL占比19%,三者占據70%市場份額 PVD:基本由AMAT壟斷,占比85%ALD:TEL和先晶半導體ASMI分別占據31%和29%的市場份額,其余份額由其他廠商占據AMATAMAT:成立于 1967 年,穩坐PVD設備市場頭把交椅,另外,實現從傳統的 APCVD 到 PECVD、ALD,以及外延 EPI、電鍍 ECD 等主流工藝和相應沉積的薄膜全覆蓋。LamLam:成立于 1980 年,是全球刻蝕和薄膜沉積龍頭,2012 年通過并購美國諾發實現 CVD 領域的拓展。其專注于 CVD 設
105、備布局,市占率僅次于AMAT,在 ECD 電鍍領域一家獨大。TELTEL:成立于 1963 年,布局涂膠顯影、熱處理、干法刻蝕、CVD、清洗、測試等半導體設備,其ALD全球市占率居于首位。ASMASM:成立于1968年,公司產品涵蓋了晶圓加工技術的重要方面,包括光刻、沉積、離子注入和單晶圓外延。該公司 ALD 設備較為突出,全球市場占比僅低于 TEL。圖:CVD全球市場格局圖:ALD全球市場格局圖:PVD全球市場格局31%29%40%TELASMI其他85%15%AMAT其他4.1.5 AMAT薄膜沉積設備及工藝應用介紹35資料來源:AMAT官網、方正證券研究所公司公司設備類型設備類型系列系列
106、沉積薄膜和工藝特點沉積薄膜和工藝特點A AMATMATPVDEnduraTiN、Cu阻擋層/種子層、W等AxcelaEMI屏蔽、背面金屬化、MEMS、TSV、UBM和RDL等大多數金屬應用ChargerUBMTi、TiW、Cu和NiV等各種金屬應用PikaTMPVD應用于硅、玻璃、有機材料、金屬和GaAs或其他Ill-V化合物襯底TopazTMPVDPLP(面板級封裝)以及玻璃和有機中介層以及在襯底中嵌入裸片等應用CVDProducerInvia在先通孔和中通孔TSV工藝中沉積高度共形且電學性能穩健的介電襯層ProducerXP PrecisionProducerXP PrecisionDra
107、co硬掩膜解決了DRAM存儲電容器縮放上的一個關鍵限制CenturaDXZMEMS、功率器件和封裝中的超厚氧化物保形的、低濕刻蝕速率的薄膜和折射率可調的摻雜薄膜EnduraVoltaCobaltEnduraVoltaCobalt實現CVD鈷襯層沉積,EnduraVoltaselective W CVD進行鎢沉積PECVDProducerAvila氧化物和氮化物薄膜,TSV和其他先進封裝應用ProducerBlokTM超低k銅阻擋層和刻蝕阻擋層薄膜,鑲嵌互連應用ProducerCeleraTM可調壓縮和拉伸高應力氮化硅薄膜,45nm及以下節點的應變工程應用ProducerDarc抗反射涂層薄膜,
108、90nm及以下節點應用ProducerBlack Diamond納米多孔低K介電層沉積ProducerPrecisionAPF可剝離非晶碳硬掩膜ECDNokotaTM涵蓋倒裝芯片和晶圓級芯片規模封裝、20和3D扇出、25D中介層設計和硅通孔等各種封裝方案,應用于150mm、200mm和300mm晶圓,Cu、錫/銀合金、Ni、Au、Sin和Pd等常見金屬應用Raider150mm-300mm單晶圓、自動化,多腔室電化學沉積應用EPICenturaPrime面向3X納米及更先進節點,涵蓋邏輯器FfinFET和GAA晶體管中的源極、漏極、通道和接觸孔以及內存電源,模擬和MEMS等領域應用Centur
109、a先進低溫外延和多晶沉積工藝(包括Ge和SiGe),配置3個工藝腔室HDPCVDCenturaUltima HDP CVD提供電介質薄膜沉積和無孔洞間隙填充工藝,應用于沉積淺溝槽隔離層(STI)、金屬前電介質層(PMD)、層間電介質層(ILD)、金屬層間電介質層(IMD)和鈍化保護層等領域ALDCenturaiSprintTMSSW CVD/ALD無縫隙鎢(W)薄膜填充Olympia能夠單獨沉積介電層薄膜FCVDProducerEternaFCVDTM無孔洞填充臨界間隙,應用于20nm及以下節點4.1.5 Lam、TEL&ASM 薄膜沉積設備及工藝應用介紹36資料來源:各公司官網、方正證券研究
110、所公司公司設備類型設備類型系列系列沉積薄膜和工藝特點沉積薄膜和工藝特點LamLamPECVDVECTOR硬掩膜薄膜、抗反射層(ARL)、鈍化層、擴散阻擋層、用于3D NAND的多層堆疊薄膜、雙重和四重圖層的核心層、金屬間層、全局晶圓應力管理層ECDSABRE 3D硅通孔(TSV)、銅柱、再分配層(RDL)、凸塊下金屬化(UBM)、有鉛或無鉛C4凸塊、Cu/SnAg和Ni/Au凸塊、高密度扇出(HDFO)應用(巨型柱、RDL、2合1通孔、微柱)SABRE銅互連過渡HDPCVDSPEED淺溝槽隔離(STI)、金屬前電介質(PMD)、金屬間電介質(IMD)、鈍化層ALDStrike填隙電介質、保形襯
111、墊、圖案化墊片和掩膜、密封封裝、蝕刻停止層、光學薄膜ALDALTUS鎢插頭、觸點和通孔填充、3D NAND字線、低應力復合互連、用于通孔和接觸金屬化的WN勢壘CVDTELTELPVDEXIMTM為垂直磁隧道結(MTJ)等多層堆的形成提供出色產能PECVDTriase+TMSPAi集成偏置功能有助于生產高質量薄膜,同時保持出色的覆蓋率,并使系統適用于關鍵的FEOL應用,包括柵極氮化、柵極恢復氧化、STI襯墊氧化和高k氧化LPCVDTELINDYTM擴散氧化物和退火等傳統硅處理,LPCVD Si、SiO2、Si3N4到前沿ALDSiO2、,和高k電介質以及自由基(非等離子體)氧化ALDNT333T
112、M用于沉積SiO2、SiN和高k材料,可實現高臺階覆蓋率,高質量薄膜,高通量,應力可控性,低等離子損傷MCVDTriase+TM主要提供高精度金屬沉積工藝,例如Ti,TiN和W,用于插頭和電極的形成,有出色可靠性ASMASMPECVDDragonXP8層間介電膜:TEOS SiO、SiH4 SiO;鈍化:SiN,抗反射層:SiN、SiON;蝕刻停止:SiN,硅通孔薄膜SiO2,SiN;用于3D內存堆棧的電介質LPCVDSONORAA400DUO沉積多晶硅、氧化硅、氮化硅、TEOS等ALDEmerALDXP通過原子層沉積(ALD)沉積薄的保形金屬和介電層,用于先進的CMOS柵極堆疊、金屬柵極層、
113、低溫氧化物、電容電極和其他應用PulsarXP使用ALD沉積高級CMOS晶體管柵極和高k柵極電介質(氧化鉿、硅酸鉿)、金屬柵極功函數調整的高k覆蓋層、高速氧化鋁、保形鈍化層、用于微機電系統(MEMS)應用的高k氧化層Synergis適用于金屬氧化物、電介質、金屬氮化物、純金屬的廣泛保形薄膜產品組合、硬掩膜、低電阻率、超薄阻擋層、密封和封裝薄膜、低電阻率純金屬XP8QCM PEALD 可用于介質材料如氧化硅、氮化硅的沉積,可以用于高深寬比填充等EPIIntrepidESTMIntrepidESATM使用外延硅和其他硅基材料(如硅鍺)的CMOS晶體管通道層、應變硅外延層,形成先進互補金屬氧化物半導
114、體(CMOS)晶體管的源極和漏極區、用于高級3D-NAND和DRAM應用的硅外延層Epsilon2000用于晶體管形成的選擇性和非選擇性摻雜硅層、用于晶圓制造的毯式硅外延、模擬混合信號、雙極和BiCMOS器件的外延、用于功率器件的深溝槽填充外延4.1.6 國內薄膜沉積設備廠商主要進行差異化競爭,產品可以互補37資料來源:各公司公告、各公司官網、方正證券研究所 國內的薄膜沉積設備廠商主要有拓荊科技國內的薄膜沉積設備廠商主要有拓荊科技,北方華創北方華創,微導納米微導納米、盛美上海盛美上海、陛通等陛通等,不同于不同于AMATAMAT等國際廠商等國際廠商,國內國內的廠商主要在細分領域進行差異化競爭:的
115、廠商主要在細分領域進行差異化競爭:拓荊科技拓荊科技:PECVD產業化應用的設備廠商,主要產品PECVD在其23年營收中占比超95%,另外,拓荊科技也在積極推出SACVD、ALD和HDPCVD,均有一定的進展;北方華創北方華創提供半導體裝備、真空裝備、新能源鋰電裝備和精密元器件產品,在半導體裝備的產品布局上的多元性與國際廠商較為相似,包括了刻蝕設備、薄膜沉積設備(PVD+CVD+ALD)、氧化擴散設備、清洗設備、紫外固化設備(UV Cure)等,應用領域包括了IC、光伏電池、先進封裝等。在薄膜沉積設備上,北方華創的PVD在國內屬于龍頭,又陸續推出CVD,布局ALD;微導納米微導納米以ALD設備為
116、核心,并在逐步布局CVD設備,在半導體領域,公司是國內首家成功將量產型 High-k 原子層沉積設備應用于 28nm 節點集成電路制造前道生產線的國產設備公司;中微公司中微公司起家于刻蝕設備,后依托底層技術基礎向薄膜沉積領域拓展:其首先推出了MOCVD,該產品在氮化鎵基MOCVD領域處于國際領先地位,公司又進一步布局CVD(鎢CVD)、EPI和ALD,公司近兩年新開發的LPCVD設備和ALD設備,目前已有四款設備產品進入市場,其中三款設備已獲得客戶認證,并開始得到重復性訂單。盛美上海盛美上海在電鍍領域優勢明顯,全球前道用電鍍設備幾乎被Lam壟斷,而盛美是全球范圍內少數掌握核心技術并實現產業化應
117、用的公司之一。公司公司半導體薄膜沉積相關設備半導體薄膜沉積相關設備20232023年收入年收入拓荊科技PECVD、ALD、HDPCVD、SACVD25.70億元微導納米ALD、PECVD、LPCVD1.22億元北方華創PVD、LPCVD、EPI、ALD/盛美上海ECD、LPCVD、PECVD、ALD9.40億元(半導體電鍍設備、立式爐管、無應力拋銅等)中微公司LPCVD、ALD、EPI4.62億元(MOCVD)表:國內主要薄膜沉積設備廠商對比4.1.6 國內薄膜沉積設備廠商主要進行差異化競爭,產品可以互補38資料來源:各公司公告、各公司官網、方正證券研究所公司 設備類型設備類型型號型號應用應用
118、產品成熟度產品成熟度拓荊科技PECVDPF-300T用于邏輯芯片、存儲芯片制造及先進封裝等領域,可以沉 積 SiO_2、SiN、TEOS、SiON、SiOC、FSG、BPSG、PSG 等通用介質薄膜材料,以及 LoK、LoK、ACHM、ADC、HTN、a-Si 等 先進介質薄膜材料,可實現 8 英寸與 12 英 寸 PECVD 設備兼容,具有高產能,低生產成本優勢。產業化應用PF-300T eX、PF-300T Plus eX產業化應用客戶端驗證PF-300T pX、PF-300T Plus pX客戶端驗證PF-300T Super-D、PF-300M Super-DNF-300H存儲芯片制造
119、已實現應用,用于沉積時間較長的薄膜工藝,如 Thick TEOS 介質材料薄膜。產業化應用PF-150T、PF-200TSiO2、SiN、TEOS、SiON 等薄膜材料(新型功率器件領域)產業化應用UV CurePF-300T Upsilon該設備可以與 PECVD 成套使用,為 PECVD HTN、Lok II 等薄膜沉積進行紫外線固化處理。產業化應用PEALDPF-300T Astra用于邏輯芯片、存儲制造及先進 封裝領域,可以沉積高溫、低溫、高質量的 SiO2、SiN等介質薄膜材料。備在客戶端驗證進展順利,獲得了原有客戶及新 客戶訂單,并出貨至不同客戶進行產業化驗證NF-300H Ast
120、ra主要應用于集成電路存儲芯片制造領域,可以沉積高溫、低溫、高質量的的 SiO2、SiN等介質薄膜材料。23年上半年實現首臺產業化應用Thermal ALDPF-300T Altair主要應用于集邏輯芯片、存儲芯片制造領域,可以沉積的 Al2O3等金屬化合物薄 膜材料。持續獲得原有客戶及新客戶訂單,并出貨至不同客戶端進行產業化驗證,驗證進展順利TS-300 Altair該設備為集成工藝設備,可以在同一臺設備中沉積 Thermal-ALD 金屬化合物薄膜及 PECVD ADC薄膜。首臺設備通過了客戶驗證SACVDPF-300T SA廣泛應用于邏輯芯片、存儲芯片 制造領域,可以沉積 SA TEOS
121、 等介質薄膜 材料,可實現 8 英寸與 12 英寸 SACVD 設 備兼容。通過客戶驗證PF-300T SAF廣泛應用于集成電路邏輯芯片、存儲芯片 制造領域,可以沉積 BPSG、SAF(包括等離子體處理優化的 SAF)等介質薄 膜材料,可實現 8 英寸與 12 英寸 SACVD 設備兼容。通過客戶驗證,新推出了等離子體處理優化的 SAF 薄膜工藝應用設備并出貨至客戶端驗證HDPCVDPF-300T Hesper 主要應用于邏輯芯片、存儲芯片制造領域,可以沉 積 SiO2、FSG、PSG 等介質薄膜材料。實現首臺產業化應用TS-300S Hesper北方華創PVDeVictor AX30 Al
122、pad PVD主要應用于Bond pad和Al interconnect工藝產業化應用exiTin H630 TiN Metal HardMask PVD專門針對55-28nm制程12寸金屬硬掩膜設備產業化應用eVictor GX20 Series General Sputter System可應用于集成電路Al線工藝產業化應用Polaris G620 Series General Sputter System集成電路領域的Ti、TiN、Al等金屬工藝,先進封裝領域的Fan-out、Ti/Cu-Copper Pillar、TiW/Au-Gold Bump,功率半導體領域的Si基、SiC基IGB
123、T和GCT等器件,微機電系統領域的Ti、Ni、NiV、Ag、Al、Cr、TiW、SiO2、ITO等薄膜工藝。產業化應用CVDHORIS L6371 多功能 LPCVD二氧化硅(LTO、TEOS)、氮化硅(Si3N4(含低應力)、多晶硅(LP-POLY)、磷硅玻璃(BSG)、硼磷硅玻璃(BPSG)、摻雜多晶硅、石墨烯、碳納米管等多種薄膜SES680A Silicon APCVDSES680A硅外延設備可以實現高質量的外延薄膜生長,適用于厚度5-130m范圍的外延工藝,N型、P型摻雜精確可調THEORIS 302/FLOURIS 201 Vertical LPCVD氮化硅薄膜淀積、多晶硅薄膜淀積、
124、非晶硅薄膜淀積、二氧化硅薄膜淀積等。EPIEsther 200 Single Wafer Silicon Epitaxy System外延層生長產業化應用ALDPromi Series ALD用加熱的方式,通過在工藝循環周期內分步向真空腔內添加前驅體、實現對膜層厚度的精確控制,可用于沉積多種薄/4.1.6 國內薄膜沉積設備廠商主要進行差異化競爭,產品可以互補39資料來源:各公司公告、各公司官網、方正證券研究所公司公司產品產品型號型號應用應用產品成熟度產品成熟度微導納米ALDiTomic HiK高介電常數(High-k)柵氧層、MIM電容器絕緣層、TSV介質層、金屬化等薄膜工藝產業化應用iTom
125、ic PE(PEALD)根據不同溫度要求制備氧化硅、氮化硅、氮氧化硅等薄膜制備工藝及應用部分產品已發往客戶處進行試樣驗證iTomic MW可一次處理25片12英寸晶圓,適用于成膜鍍率低,厚度要求高,以及產能要求高的關鍵工藝及應用,適用于存儲芯片以及Micro-OLED顯示器、MEMS等產業化應用PECVDiTronix PE系列可用于芯片制造鈍化層、擴散阻擋層、介電層、硬掩膜層與高級圖案化層、電容覆蓋層等應用領域2023 年 7 月首次出貨至客戶端進行產業化驗證后,獲得了半導體集成電路行業內重要客戶的批量重復訂單LPCVDiTronix LP系列在邏輯芯片、DRAM芯片、NAND芯片等領域具有
126、廣泛應用,可滿足SiGe、p-Si、doped a-Si、SiO2、SiN等薄膜沉積工藝的開發與應用需求中微公司MOCVDPrismo D-Blue、Prismo A7、Prismo HiT3、Prismo Unimax藍綠光及紫外光LED外延片和功率器件的生產產業化應用的同時推出新品、針對Micro-LED應用的專用MOCVD設備已發往客戶驗證LPCVD-W CVD,先進邏輯器件接觸孔填充,64層和128層3D NAND中的多個關鍵應用已通過客戶現場驗證并獲重復量產訂單ALD-W ALD,滿足更高深寬比的結構的材料填充已通過客戶現場驗證EPI-28nm及以下的邏輯器件、存儲器件和功率器件工藝
127、調試和客戶驗證階段盛美上海ECPUltra ECP map針對55nm、40nm、28nm及20-14nm技術節點的銅互連,可用于邏輯電路和存儲電路中雙大馬士革電鍍銅工藝產業化應用Ultra ECP 3d三維堆疊電鍍設備,應用于填充3d硅通孔TSV和2.5D轉接板產業化應用Ultra ECP GIII 應用于背面深孔鍍金和金互聯線以及 Cu-Ni-Au等領域在客戶端實現量產LPCVD/氧化爐/擴散爐/爐管ALDUltra Fn立式爐設備LPCVD主要用于多晶硅、氮化硅和氧化硅等薄膜的沉積部分產業化應用PECVDUltra Pmax 可應用于SiO2,SiNx,Carbon,NDC薄膜沉積工藝/
128、注:上述兩個表格的信息根據2023年報更新而來,可能存在信息更新不及時的問題目錄目錄40資料來源:方正證券研究所半導體設備行業:兼具周期與成長的千億美金大賽道,國產替代是必然半導體設備行業:兼具周期與成長的千億美金大賽道,國產替代是必然1234半導體產業鏈:半導體設備為行業基石半導體產業鏈:半導體設備為行業基石5細分半導體設備細分半導體設備刻蝕設備刻蝕設備風險提示風險提示典型典型CMOSCMOS工藝器件的制造流程:從模塊工藝出發了解半導體制造過程工藝器件的制造流程:從模塊工藝出發了解半導體制造過程4.2.1 22年全球刻蝕設備市場約230億美元,干法刻蝕中CCP和ICP平分超95%的市場份額4
129、1資料來源:中微公司招股書、Gartner、集成電路產業全書王陽元 主編、方正證券研究所 集成電路器件微觀結構的形成離不開精準的刻蝕,刻蝕是用化學或物理方法有選擇地在硅片表面去除不需要的材料的過程,是與光刻相聯系的圖形化處理的一種主要工藝,是半導體制造工藝的關鍵步驟。集成電路制造工藝中干法刻蝕是主流集成電路制造工藝中干法刻蝕是主流:刻蝕分為濕法刻蝕和干法刻蝕。早期普遍采用濕法刻蝕,但是其在線寬控制和刻蝕方向性上存在諸多局限,3m之后的制程多使用干法刻蝕,濕法刻蝕僅用于某些特殊材料層的去除和殘留物的清洗。在干法刻蝕中在干法刻蝕中,ICPICP和和CCPCCP占據近乎全部市場份額占據近乎全部市場份
130、額:傳統的硅和金屬的刻蝕偏向使用較低離子能量的刻蝕設備,如ICP刻蝕設備;而電介質刻蝕偏向使用較高離子能量的刻蝕設備,如CCP刻蝕設備,隨著工藝要求的專門化、精細化,刻蝕設備的多樣化以及新材料的應用,上述分類的方法已經變得模糊。根據中微公司援引Gartner數據,2022年全球干法刻蝕設備市場規模大概為230億美元,其中,ICP和CCP分別占據47.90%和47.50%的市場份額。制造類型制造類型主要工藝主要工藝邏輯電路淺槽隔離(STI)、多晶硅柵(Poly Gate)、柵側墻(Spacer)、接觸孔(Contact)、通孔(Via)、電介質溝槽(Trench)、雙鑲嵌式刻蝕(Dual Dam
131、ascene)、鋁墊(Pad)、去膠(Stripping)、應力記憶技術(SMT)的刻蝕、應力臨近技術(SPT)的刻蝕、雙層應力層(DSL)刻蝕等3D NAND高深寬比溝槽(High Aspect Ratio Trenches)、硬掩模(Hard Mask)、臺階(Stair-step)及孔刻(Channel Hole)的刻蝕等封裝整面減?。═hinning)、深斜孔/槽(Taper Hole and Trench)、硅通孔(Through Silicon Via)刻蝕、空腔(Cavity)刻蝕及等離子體切割(Plasma Dicing)等47.90%47.50%3.50%1.10%ICPCC
132、P除膠機晶圓邊清除圖:2022年全球干法刻蝕細分設備情況表:用到刻蝕的工藝環節4.2.2 制程微縮+芯片架構3D化下,刻蝕設備重要性凸顯,帶動價值量增長42資料來源:中微公司公告、Gartner、方正證券研究所 制程微縮引起刻蝕數量和技術難度的增加制程微縮引起刻蝕數量和技術難度的增加:根據中微公司援引Gartner數據,邏輯器件制造中,20納米工藝需要的刻蝕步驟約為 55次,而 10 納米工藝和 7 納米工藝所需刻蝕步驟則超過 100 次。隨著國際上先進芯片制程從 7-5 納米階段向 3 納米、2 納米及更先進工藝的方向發展,當前光刻機受光波長的限制,需要結合刻蝕和薄膜設備,采用多重模板工藝,
133、利用刻蝕工藝實現更小的尺寸,使得刻蝕技術及相關設備的重要性進一步提升。芯片架構芯片架構3 3D D化化:NAND 閃存已進入 3D 時代。目前 128 層 3DNAND 閃存已進入大生產,192 層閃存已處于批量生產階段,256 層正在開發。3D NAND 制造工藝中,增加集成度的主要方法不再是縮小單層上線寬而是增加堆疊的層數??涛g要在氧化硅和氮化硅的疊層結構上,加工 40:1 到 60:1 甚至更高的極深孔或極深的溝槽。3D NAND 層數的增加要求刻蝕技術實現更高的深寬比,并且對刻蝕設備的需求比例進一步加大。隨著集成電路芯片制造工藝的進步,線寬關鍵尺寸不斷縮小、芯片結構 3D 化,晶圓制造
134、向 7納米、5 納米以及更先進的工藝發展,刻蝕設備技術要求提升,設備需求量增長。圖:二重和多重模板工藝原理,涉及多次刻蝕,對刻蝕精度要求也更高圖:存儲器件3D化后,刻蝕成為關鍵步驟圖:主要的幾類IC器件的刻蝕工藝步驟數量(注:器件結構復雜,表中數據僅為大致的范圍)集成電路器件集成電路器件ICPICP電感性刻蝕電感性刻蝕CCPCCP電容性刻蝕電容性刻蝕其他其他刻蝕刻蝕總刻蝕總刻蝕步驟步驟40nm邏輯器件10203528nm邏輯器件25155010nm邏輯器件40601157nm邏輯器件60601402D閃存器件2015353D閃存器件20153519nm動態存儲器件401555總刻蝕步驟2152
135、00504654.2.3 干法刻蝕原理:絕大多數干法刻蝕(等離子刻蝕)在活性粒子和離子的同時參與下完成43資料來源:集成電路產業全書、半導體材料與工藝公眾號、方正證券研究所干法刻蝕是指使用氣態的化學刻蝕劑與硅片上的材料發生反應干法刻蝕是指使用氣態的化學刻蝕劑與硅片上的材料發生反應,以刻蝕需要去除的部分材料并形成可揮發性的反應生成以刻蝕需要去除的部分材料并形成可揮發性的反應生成物物,然后將其抽離反應腔的過程然后將其抽離反應腔的過程。由于刻蝕劑直接或者間接地產生于刻蝕氣體的等離子體,所以干法刻蝕也稱為等離子刻蝕。等離子體是刻蝕氣體在外加電磁場(如產生于射頻電源)作用下通過輝光放電而形成的一種處于弱
136、電離狀態的氣體,包括電子、離子和中性的活性粒子。其中,活性粒子可以通過直接與被刻蝕材料發生化學反應而進行刻蝕,但是這種化學反應只能發生在少數的材料中,且不具有方向性;當離子具有一定能量時,可以通過直接的物理濺射(Sputtering)達成刻蝕,但是純物理反應刻蝕效率低且選擇性很差。絕大多數等離子刻蝕是在活性粒子和離子的同時參與下完成的,該過程中離子該過程中離子轟擊的主要作用是轟擊的主要作用是:1、破壞被刻蝕材料表面的原子鍵,加大中性粒子與其反應的速率;2、將沉積于反應界面的反應生成物打掉,以利于刻蝕劑與被刻蝕材料表面的充分接觸,從而使得刻蝕持續進行,除此之外,沉積于刻蝕結構側壁的反應生成物則不
137、能被具有方向性的離子轟擊所去除,從而阻斷了側壁的刻蝕并形成了各向異性刻蝕??涛g類型刻蝕類型主要特點主要特點設備舉例設備舉例主要應用主要應用物理刻蝕方向性好,選擇性很低濺射刻蝕(Sputter Etching)表面清洗物理化學刻蝕兼具方向性和選擇性反應離子刻蝕(RIE Etching)各種形狀(如孔、槽)的硅、氧化物及金屬等材料刻蝕化學刻蝕方向性很差,選擇性很高去膠機(Stripper)光刻膠、氮化硅、掩膜氧化層去除表:等離子體刻蝕及其應用4.2.4 CCP刻蝕適應較硬介質材料和刻蝕高深寬比結構,ICP適應刻蝕較軟、較薄的材料44資料來源:集成電路產業全書、中微公司公告、方正證券研究所 按照被刻
138、蝕材料分類:干法刻蝕可以分為三種按照被刻蝕材料分類:干法刻蝕可以分為三種:1)硅刻蝕(包括多晶硅):主要用于需要去除硅的場景,比如刻蝕多晶硅柵極等;2)介質刻蝕:主要用于二氧化硅等介質材料的刻蝕,接觸孔工藝和通孔的制作都需要用到介質刻蝕;3)金屬刻蝕:主要是在金屬層上去掉鋁合金復合層,制作出互連線。除了接近純物理反應的離子濺射設備和接近純化學反應的去膠設備之外,等離子刻蝕設備可以根據等離子體產生和控等離子刻蝕設備可以根據等離子體產生和控制技術的不同大致分為兩大類:電容耦合等離子體制技術的不同大致分為兩大類:電容耦合等離子體(CapacitivelyCapacitively CoupledCou
139、pled PlasmaPlasma,CCPCCP)刻蝕和電感耦合等離子體刻蝕和電感耦合等離子體(InductivelyInductively CoupledCoupled PlasmaPlasma,ICPICP)刻蝕刻蝕,這兩種刻蝕設備涵蓋了主要的刻蝕應用這兩種刻蝕設備涵蓋了主要的刻蝕應用。電容性等離子體電容性等離子體(CCPCCP)刻蝕刻蝕主要是以高能離子在較硬的介質材料上,刻蝕高深寬比的深孔、深溝等微觀結構,如邏輯芯片工藝前端的柵側墻和硬掩??涛g,中段的接觸孔刻蝕,后段的鑲嵌式和鋁墊刻蝕等,以及3D閃存芯片工藝(以氮化硅/氧化硅結構為例)中的深槽、深孔和連線接觸孔的刻蝕等;電感性等離子體電
140、感性等離子體(ICPICP)刻蝕刻蝕主要是以較低的離子能量和極均勻的離子濃度刻蝕較軟的和較薄的材料,主要用于對硅淺溝槽(STI)、鍺、多晶硅柵結構、金屬柵結構、應變硅、金屬導線、金屬焊墊、鑲嵌式刻蝕金屬硬掩模和多種成像技術中的多道工序的刻蝕,另外,隨著三維集成電路、CMOS和MEMS的興起,以及硅通孔(TSV)大尺寸斜孔槽和不同形貌的深硅刻蝕的快速增加,多個廠商推出了專門的刻蝕設備,特點是刻蝕深度大(數十甚至百微米),所以多用在高其流量、高氣壓和高功率的條件下。4.2.5 全球及國內刻蝕設備市場幾乎被美日廠商壟斷 45資料來源:中微公司公告、Gartner、華經產業研究院公眾號、方正證券研究所
141、 全球市場來看全球市場來看,LamLam,TELTEL和和AMATAMAT幾乎壟斷全球干法刻蝕設備市場幾乎壟斷全球干法刻蝕設備市場,2020年三者干法刻蝕設備的全球市占率分別為46.71%,26.57%和16.96%,合計占比超90%。其中,硅基刻蝕主要被Lam和AMAT壟斷,介質刻蝕主要被TEL和Lam壟斷。國內的干法刻蝕設備廠商主要有中微公司國內的干法刻蝕設備廠商主要有中微公司,北方華創和屹唐半導體北方華創和屹唐半導體,2020年中微公司、北方華創和屹唐半導體合計占比2.36%的市場份額,其中中微公司市占率為1.37%,2022年中微公司在刻蝕設備上實現營收31.47億元,按照國內刻蝕設備
142、約435億人民幣計算,其在22年市占率達到7.23%,增長速度較快且仍然有較大的增長空間。圖:2020年全球干法刻蝕設備競爭格局46.71%26.57%16.96%3.45%2.53%1.37%1.23%0.89%0.19%0.10%LamTELAMAT日立高新細美事中微公司KLA北方華創愛發科屹唐半導體4.2.6 Lam、TEL和AMAT在刻蝕設備上的布局46資料來源:各公司公告、方正證券研究所 LamLam覆蓋硅刻蝕覆蓋硅刻蝕、介質刻蝕和金屬刻蝕介質刻蝕和金屬刻蝕:其中,DSiE和Syndion系列主要滿足深硅刻蝕、FLEX系列產品主要滿足介質刻蝕,比如雙重大馬士革、接觸孔、3D NAND
143、高深寬比孔洞等;VERSYS METAL主要針對金屬刻蝕的應用場景,比如TiN金屬硬掩膜、高密度鋁線、鋁焊盤,KIYO系列產品主要針對FEOL中對于CD精度要求較高的STI刻蝕、源漏極刻蝕和柵極刻蝕等;Vantex系列主要是針對3D NAND和DRAM的刻蝕設備。TELTEL主要做介質刻蝕和導體刻蝕主要做介質刻蝕和導體刻蝕。公司公司產品產品應用應用LamDSiEMEMS深硅刻蝕(溝槽、空腔)、功率器件溝槽刻蝕、硅片級封裝硅通孔FLEX低k和超低k雙重大馬士革制造、自對準 接觸孔、電容單元、掩膜蝕刻、3D NAND高深寬比孔洞、溝槽、接觸孔KIYO淺溝槽隔離、源極/漏極工程、高k/金屬柵極、Fi
144、nFET和三態柵極、雙重和四重圖案化、3D NANDReliant導體刻蝕、介電質刻蝕、金屬刻蝕、特種膜刻蝕(鋯鈦酸鉛(PZT)、GaN、AIGaN、SiC等)、面向MEMS、功率器件和硅通孔刻蝕應用的深硅刻蝕SENSE.I導體刻蝕、介電質刻蝕Syndion用于高帶寬內存和高級封裝的硅通孔、CMOS 圖像傳感器的高縱橫比結構、高級功率器件、模擬集成電路(IC)、微機電(MEMS)器件和晶圓背面加工的大開口面積和高縱橫比結構Vantex3D NAND高深寬比通孔、溝槽和接點、電容器單元VERSYS METALTiN金屬硬掩膜、高密度鋁線、鋁焊盤選擇性刻蝕產品虛擬多晶硅去除、SiGe 去除(GAA
145、)、氧化物溝槽、硅修整、源/漏沉積預清潔、低 k 材料去除、表面凈化和改性TELEpisode ULTM活化離子刻蝕:介質刻蝕、導體刻蝕TactrasTM活化離子刻蝕:介質刻蝕、導體刻蝕Certas LEAGATM化學干法刻蝕:介質刻蝕AMATCentriaSym3先進工藝的關鍵導體刻蝕CenturaMEMS 深寬比 100:1 的硅刻蝕、SJ MOSFET 一體化硬掩模開槽帶,面向 LED 和功率器件的氧化銦錫和氮化鎵等CenturaSilviaEtchTSV深硅刻蝕CenturaTetraTMZ Photomask Etch 10nm 及以上邏輯器件CenturaTetraTMEUVAdv
146、anced Reticle EtchEUV光掩??涛gProducerEtch雙腔室設計,適用于90nm及以下工藝ProducerSelectraEtch能夠實現先進 FinFET 的原子級刻蝕控制、均勻一致的 3D NAND 凹槽和高深寬比 DRAM 結構的無損清潔4.2.6 國產刻蝕設備廠商逐步突破壟斷47資料來源:各公司官網、各公司公告、北方華創公眾號、方正證券研究所 中微公司起家于中微公司起家于CCPCCP,北方華創起家于北方華創起家于ICPICP,并且在發展過程中向對方的領域滲透并且在發展過程中向對方的領域滲透中微公司中微公司:CCP主要應用于集成電路制造中氧化硅、氮化硅及低介電系數膜
147、層等電介質材料的刻蝕;ICP主要用于單晶硅、多晶硅以及多種介質材料的刻蝕;使用ICP技術的深硅刻蝕設備主要應用于CMOS圖像傳感器、MEMS芯片、2.5D芯片、3D芯片等通孔及溝槽的刻蝕。截至2023年底,穩定量產機臺CCP累計數量2800臺,ICP刻蝕設備中的Primo Nanova系列產品在客戶端安裝腔體數累計達到445臺。在CCP設備方面,正在積極推出針對邏輯器件中大馬士革工藝的刻蝕設備和針對3D NAND的極高深寬比刻蝕設備;ICP方面,Primo nanova系列產品持續獲得更多客戶驗證機會,23年新推公司推出了適用于更高深寬比結構刻蝕的Nanova VE HP和兼顧深寬比和均勻性的
148、Nanova LUX兩種ICP設備。北方華創北方華創:2005年第一臺ICP進入產線,2017年推出第一臺金屬刻蝕機,2022年8月正式發布CCP介質刻蝕機,實現了硅刻蝕、金屬刻蝕和介質刻蝕全覆蓋,23年底,公司CCP刻蝕設備已累計出貨超100腔。公司公司類別類別產品產品應用場景應用場景中微公司CCPPrimo DRIE可用于加工包括氧化硅、氮化硅及低介電系數膜層等所有的電介質材料,65-16nmPrimo AD-RIE電介質刻蝕,子類產品已應用于5納米前段和中段的掩膜層刻蝕的開發及量產,Primo AD-RIE-cr可應對電介質材料、金屬及金屬氧化物材料復雜結構的刻蝕要求,40-7nmPri
149、mo SSC AD-RIE電介質刻蝕,可應對2x納米以下特別是接觸孔刻蝕等關鍵制程;26-5nm及更先進Primo iDEA整合刻蝕和光刻膠移除Primo HD-RIE3D-NAND及DRAM中高深寬比溝槽及深孔刻蝕ICPPrimo TSV8英寸及12英寸深硅刻蝕Primo nanova1X納米及以下邏輯和存儲器件的刻蝕Primo Twin-Star雙反應腔,適用于各種尺寸和深度的硅結構刻蝕以及邏輯和存儲芯片的多種導體和介質薄膜刻蝕,1X納米及以下北方華創硅刻蝕NMC508C 8英寸硅刻蝕機主要用于0.35-0.11m集成電路中200mm硅片的多晶硅硅柵(poly gate)、淺溝槽隔離(ST
150、I)和硅的金屬鎢化物(WSix)刻蝕NMC612C 12英寸硅刻蝕機55nm Logic,65nm NOR flash,55nm CIS,90MCU等芯片集成電路制造領域淺溝槽隔離刻蝕和多晶硅柵極刻蝕NMC612D 12英寸硅刻蝕機先進邏輯制程中STI、Gate以及FinFET結構刻蝕工藝;3D NAND領域AA、Gate、Spacer以及臺階、SADP等刻蝕工藝;DRAM領域line cut、etch back、SADP以及AA、Gate等刻蝕工藝金屬刻蝕HSE系列等離子刻蝕機主要用于8英寸及以下MEMS刻蝕,以及8-12英寸先進封裝硅刻蝕NMC508M 8英寸鋁金屬刻蝕機0.35-0.11
151、m集成電路中200mm硅片的金屬鋁和鎢的刻蝕工藝NMC612M 12英寸TiN金屬硬掩膜刻蝕機TiN硬掩膜刻蝕NMC612G 12英寸刻蝕機IC集成電路領域的金屬鋁刻蝕工藝,以及Micro OLED領域金屬和非金屬刻蝕工藝CCPNMC508 RIE 介質刻蝕機適用于介質類氧化硅、氮化硅、氮氧化硅等膜層材料,可用于Logic,BCD,Power(Si/SiC/GaN),MEMS目錄目錄48資料來源:方正證券研究所半導體設備行業:兼具周期與成長的千億美金大賽道,國產替代是必然半導體設備行業:兼具周期與成長的千億美金大賽道,國產替代是必然1234半導體產業鏈:半導體設備為行業基石半導體產業鏈:半導體
152、設備為行業基石5細分半導體設備細分半導體設備光刻機光刻機風險提示風險提示典型典型CMOSCMOS工藝器件的制造流程:從模塊工藝出發了解半導體制造過程工藝器件的制造流程:從模塊工藝出發了解半導體制造過程4.3.1 全球光刻機市場被ASML、Nikon 和Canon壟斷,而ASML幾乎壟斷高端光刻機市場49資料來源:銀勢膜鏈公眾號、方正證券研究所 目前全球光刻機市場基本由ASML(荷蘭)、Nikon(日本)和Canon(日本)三家包攬,其中高端光刻機更是由ASML壟斷,ASML是全球唯一一家具備EUV設備生產能力的光刻機廠商。Canon主要提供低端光刻機產品。2023年三者的集成電路用光刻機出貨量
153、達到682臺,較22年的551臺增加131臺,漲幅23.8%;從EUV、ArFi、ArF三個高端機型的出貨來看,2023年共出貨229臺,較2022年的157臺增長45.9%,其中ASML出貨210臺,較2022年增加61臺,占據92%市場份額;Nikon出貨19臺,占據剩余8%的市場份額。公司公司產品產品2121年出貨量(臺)年出貨量(臺)2222年出貨量(臺)年出貨量(臺)2323年出貨量(臺)年出貨量(臺)市占率市占率ASMLEUV光刻機424053100%ArFi光刻機818112592%ArF光刻機22283280%KrF光刻機13115118475%+i-line光刻機334555
154、26%+CanonKrF光刻機5156i-line光刻機125131面板用光刻機675125以下NikonArFi光刻機4411ArF光刻機348KrF光刻機574i-line光刻機231523面板用光刻機4728表:ASML、Canon、Nikon光刻機業務體量對比4.3.1 全球光刻機市場被ASML、Nikon 和Canon壟斷,而ASML幾乎壟斷高端光刻機市場50資料來源:各公司官網、方正證券研究所表:ASML、Canon、Nikon光刻機產品公司公司產品產品光源光源波長波長型號型號應用節點應用節點產能產能WPHWPHASML(荷蘭)EUV光刻機EUV13.5nmTWINSCANNXE:
155、3600D5nm、3nm的邏輯芯片、最先進的DRAM160TWINSCANNXE:3400C7nm、5nm節點170TWINSCANNXE:3400B7nm、5nm節點125DUV光刻機ArFi193nm(等效134nm)TWINSCANNXT:2050i12寸,分辨率38nm295TWINSCANNXT:2000i先進邏輯和DRAM,分辨率38nm275TWINSCANNXT:1980Di分辨率38nm275TWINSCANNXT:2100i分辨率38nm295ArF193nmTWINSCANNXT:1470分辨率57nm300TWINSCANXT:1460K分辨率65nm205KrF248
156、nmTWINSCANXT:1060K分辨率80nm205TWINSCANNXT:870分辨率110nm330TWINSCANXT:860N分辨率110nm260TWINSCANXT:860M分辨率110nm240i線光刻機i-line365nmTWINSCANXT:400M分辨率:220-350nm245Nikon(日本)ArF液浸式掃描光刻機ArFi193nm(等效134nm)NSR-S635E38nm275同上NSR-S636E分辨率38nm280同上NSR-S625E分辨率38nm280ArF掃描光刻機ArF193nmNSR-S322F分辨率65nm230KrF掃描光刻機KrF248nm
157、NSR-S220D分辨率110nm230i線步進式光刻機i-line248nmNSR-SF155分辨率280nm200Canon(日本)KrF掃描光刻機KrF248nmFPA-6300ES6a分辨率90nm,8&12英寸200248nmFPA-6300ESW分辨率130nm,12英寸/KrF步進式光刻機248nmFPA-3030EX6150nm,低于8英寸121i線步進式光刻機i-line365nmFPA-5550iZ2分辨率350nm,8&12英寸/FPA-5550iX分辨率500nm,12英寸/FPA-3030i5a分辨率0.35m,2-8英寸/FPA-3030iWa分辨率20.8%11.
158、2%注:上海御微可提供晶圓檢測設備,埃芯半導體可提供半導體光學薄膜量測設備國內半導體設備廠商80資料來源:wind,各公司公告、方正證券研究所表:國產半導體設備廠商業務和盈利狀況(2024年利潤數據為wind一致預期)證券代碼證券代碼公司公司主要的半導體設備業務主要的半導體設備業務歸母凈利潤歸母凈利潤(20232023,億元),億元)歸母凈利潤歸母凈利潤(2024E2024E,億元),億元)當前市值當前市值(2024/06/072024/06/07,億元),億元)2424年年PEPE688012.SH中微公司刻蝕設備、布局薄膜沉積設備、控股睿勵科學布局量測17.86 20.30 882.05
159、43 002371.SZ北方華創刻蝕設備、薄膜沉積設備、清洗設備、熱處理設備38.99 57.05 1,690.46 30 688072.SH拓荊科技薄膜沉積設備、鍵合設備6.63 8.29 384.09 46 688147.SH微導納米薄膜沉積設備2.70 5.63 133.83 24 300567.SZ精測電子前道量檢測設備、后道測試設備1.50 2.71 160.83 59 688361.SH中科飛測-U量測檢測設備1.40 2.01 181.12 90 688037.SH芯源微涂膠顯影設備、濕法設備2.51 3.42 141.27 41 688120.SH華海清科CMP設備,減薄設備、
160、清洗設備、量測設備、參股芯崳(離子注入設備)7.24 10.03 304.93 30 600641.SH萬業企業離子注入設備(凱世通)、刻蝕設備、薄膜沉積設備(嘉芯半導體)1.51 1.83 115.12 63 688082.SH盛美上海清洗設備、電鍍設備、薄膜沉積設備、熱處理設備、涂膠顯影設備9.11 11.52 346.56 30 603690.SH至純科技清洗設備、涂膠顯影設備(在研)3.77 5.36 92.30 17 目錄目錄81資料來源:方正證券研究所半導體設備行業:兼具周期與成長的千億美金大賽道,國產替代是必然半導體設備行業:兼具周期與成長的千億美金大賽道,國產替代是必然1234
161、半導體產業鏈:半導體設備為行業基石半導體產業鏈:半導體設備為行業基石5細分半導體設備:從單項工藝出發認識半導體制造設備細分半導體設備:從單項工藝出發認識半導體制造設備風險提示風險提示典型典型CMOSCMOS工藝器件的制造流程:從模塊工藝出發了解半導體制造過程工藝器件的制造流程:從模塊工藝出發了解半導體制造過程風險提示82資料來源:方正證券研究所宏觀環境影響下半導體行業景氣度恢復不及預期風險宏觀環境影響下半導體行業景氣度恢復不及預期風險:我們根據歷史小周期判斷全球半導體行業資本開支有望在24年上修,若宏觀經濟恢復不及預期,可能對小周期產生擾動,導致資本開支上行時間往后推移。國產設備導入進度不及預
162、期風險國產設備導入進度不及預期風險:國產替代的背景下,若國產廠商設備研發或者驗證進度不及預期,會導致導入進程放緩。國際上對我國半導體管制力度加大風險國際上對我國半導體管制力度加大風險:2022年10月,美國BIS發布向中國出口先進半導體設備技術的管控措施,后美日荷又達成了建立先進半導體設備出口管制的協議,隨后日荷兩國宣布了相關管制政策。我國半導體設備進口主要來自美日荷,若管制力度加大,會對我國半導體產業鏈產生更為不利的影響。上游零部件供應風險上游零部件供應風險:管制之下,部分零部件可能斷供,或者部分核心零部件采購自海外導致交期較長,這些因素可能導致設備公司的零部件采購受到影響。分析師聲明與免責聲明83評級說明84方正證券研究所上 海 市 靜 安 區 延 平 路 7 1 號 延 平 大 廈 2 樓深圳市福田區竹子林紫竹七道光大銀行大廈31層廣州市天河區興盛路12號樓雋峰院2期3層方正證券北 京市 西城區 展覽 路 4 8 號新聯 寫字 樓6 層長沙市天心區湘江中路二段36號華遠國際中心37層專注專心專業85