《半導體行業深度分析報告:光刻為半導體設備之巔冰山峰頂待國產曙光-230223(54頁).pdf》由會員分享,可在線閱讀,更多相關《半導體行業深度分析報告:光刻為半導體設備之巔冰山峰頂待國產曙光-230223(54頁).pdf(54頁珍藏版)》請在三個皮匠報告上搜索。
1、 半導體/行業深度分析報告/2023.02.23 請閱讀最后一頁的重要聲明!光刻為半導體設備之巔,冰山峰頂待國產曙光 證券研究報告 投資評級投資評級:看好看好(維持維持)最近 12 月市場表現 分析師分析師 張益敏 SAC 證書編號:S0160522070002 相關報告 1.細致檢測攻堅克難,精準度量引領進步 2022-12-03 光刻設備行業報告光刻設備行業報告 核心觀點核心觀點 光刻是光刻是半導體半導體生產中最重要的工藝步生產中最重要的工藝步驟驟:光刻是半導體器件制造的是半導體器件制造的最最核心核心步驟步驟,直接決定集成電路的性能直接決定集成電路的性能和良率和良率。光刻機的核心工藝指標包
2、括:分辨率、分辨率、聚焦深度、套刻精度、曝光功率及單位時間產能聚焦深度、套刻精度、曝光功率及單位時間產能等。主流的光刻機均采用浸沒系統、可編程光照、畸變修正、熱效應修正、對準與表面測量等高難度技術。光刻設備均具有高技術附加值光刻設備均具有高技術附加值,毛利率毛利率超過超過 50%。成熟工藝成熟工藝領域為主領域為主,國內,國內光刻設備市場光刻設備市場廣闊廣闊:中國大陸以成熟制程為主的半導體產線不斷擴產,產生了大量光刻設備的需求。2021 年年 ASML 有有高達高達27.4 億歐元億歐元的營收來自中國大陸的營收來自中國大陸(其全球第三大市場);全球光刻設備市場規全球光刻設備市場規模超模超 180
3、 億美元,顯億美元,顯影涂膠設備市場超影涂膠設備市場超 30 億美元億美元。國產光刻曝光設備尚處于實驗階段;顯影涂膠設備已覆蓋浸沒式 ArFi 工藝節點,突破動能強勁。光刻光刻機上游零部件市場機上游零部件市場有待發掘:有待發掘:光刻光刻機機的運行需的運行需光源、光源、照明照明、掩膜臺掩膜臺、物鏡、工件臺物鏡、工件臺等多個等多個精密精密系統系統組合工作組合工作,全球光刻零件市場至少,全球光刻零件市場至少約約 70 億美元億美元(按(按 50%毛利率推算)毛利率推算)。相比其他半導體零件,光刻機零件單個價值量高,技術難度大。貿易限制導致海外供應鏈風險劇增,國內國內光學企業成為不二之選,光學企業成為
4、不二之選,技術能力與對應產品的盈利能力有望加速成長。技術能力與對應產品的盈利能力有望加速成長。投資建議投資建議:在光刻光刻配套設備配套設備與零件與零件方面,建議關注蘇大維格蘇大維格(300311.SZ)、茂萊茂萊光學光學(688502.SH)、芯源微芯源微(688037.SH)、精測電子精測電子(300567.SZ)、盛美上盛美上海海(688082.SH)、美??萍济腊?萍迹?88376.SH)、炬炬光科技光科技(688167.SH)、福晶科技福晶科技(002222.SZ)等。風險提示:風險提示:半導體行業景氣度下滑,光刻設備需求不及預期;貿易保護主義因素導致國內晶圓廠擴產放緩;光刻設備與組件
5、技術難度大,相關研發進度可能慢于預期。-36%-29%-21%-14%-7%0%半導體滬深300半導體/行業深度分析報告/2023.02.23 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 2 行業深度分析報告/證券研究報告 表表 1:重點公司投資評級:重點公司投資評級:代碼代碼 公司公司 總市值總市值(億元)(億元)收盤價收盤價(02.22)EPS(元)(元)PE 投資評級投資評級 2021A 2022E 2023E 2021A 2022E 2023E 300331 蘇大維格 63.23 24.35-1.44-1.10 0.77-23.15-22.14 31.62 增持 688502 茂
6、萊光學 0.00 0.00 1.19 0.00 未覆蓋 688037 芯源微 193.55 208.97 0.92 1.80 2.60 183.48 116.09 80.37 增持 300567 精測電子 177.90 63.96 0.72 0.90 1.30 100.60 71.07 49.20 增持 300260 新萊應材 167.43 73.90 0.75 1.49 1.97 62.89 49.60 37.51 增持 688376 美??萍?51.21 38.10 1.07 1.15 1.42 0.00 33.13 26.83 增持 688409 富創精密 239.57 114.60 0
7、.81 1.02 1.53 0.00 112.35 74.90 增持 688167 炬光科技 99.14 110.20 1.00 1.42 2.17 219.00 77.42 50.80 未覆蓋 002222 福晶科技 74.56 17.44 0.45 0.52 0.62 42.29 33.73 28.26 未覆蓋 688082 盛美上海 369.35 85.19 0.68 1.20 1.60 187.94 70.99 53.24 增持 數據來源:wind,財通證券研究所.未覆蓋公司的預測數據來源于 wind 一致預期 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 3 行業深度分析報告/證
8、券研究報告 1 光刻設備:半導體制造的核心裝備光刻設備:半導體制造的核心裝備.9 1.1 光刻:決定芯片性能最關鍵工藝光刻:決定芯片性能最關鍵工藝.9 1.2 光刻圖譜:多種路線并存,掃描式光刻為主流光刻圖譜:多種路線并存,掃描式光刻為主流.13 1.2.1 接觸接觸/接近式光刻機(接近式光刻機(Aligner):光刻設備鼻祖):光刻設備鼻祖.14 1.2.2 掃描投影掃描投影/重復步進光刻機(重復步進光刻機(Stepper):仍滿足大線寬工藝):仍滿足大線寬工藝.15 1.2.3 步進掃描光刻機(步進掃描光刻機(Scanner):主流光刻設備通用):主流光刻設備通用.16 1.3 各項革新推
9、向光刻性能巔峰各項革新推向光刻性能巔峰.16 1.4 電子束、納米壓?。簼撛诘牧肀脔鑿诫娮邮?、納米壓?。簼撛诘牧肀脔鑿?20 2 光刻機:多種先進系統的精準組合光刻機:多種先進系統的精準組合.21 2.1 光刻機的整體結構光刻機的整體結構.21 2.2 光源系統:光刻機的能量源泉光源系統:光刻機的能量源泉.22 2.3 照明與物鏡投影系統:精準成像照明與物鏡投影系統:精準成像.26 2.4 工件臺系統:光刻產能與精確對準的關鍵工件臺系統:光刻產能與精確對準的關鍵.30 3 光刻設備市場規模大,國產亟待零的突破光刻設備市場規模大,國產亟待零的突破.33 3.1 芯片制程升級,光刻設備成本占比不斷
10、提升芯片制程升級,光刻設備成本占比不斷提升.33 3.2 ASML 擁有近乎壟斷市場地位擁有近乎壟斷市場地位.35 3.3 ASML 的發展歷程的發展歷程.36 3.4 聚焦成熟制程,光刻設備國產化亟待發力聚焦成熟制程,光刻設備國產化亟待發力.39 4 投資建議:整機尚需時日,配套設備與零件先行投資建議:整機尚需時日,配套設備與零件先行.43 4.1 蘇大維格:發力非蘇大維格:發力非 IC 光刻機與多種光學元件光刻機與多種光學元件.43 4.2 茂萊光學:供應多種前道光刻機零件茂萊光學:供應多種前道光刻機零件.47 4.3 芯源微:光刻機配套顯影涂膠設備先行者芯源微:光刻機配套顯影涂膠設備先行
11、者.48 4.4 精測電子:光刻涂膠顯影后電路量測設備精測電子:光刻涂膠顯影后電路量測設備.48 4.5 盛美上海:開發顯影涂膠設備,擴大產品工藝覆蓋盛美上海:開發顯影涂膠設備,擴大產品工藝覆蓋.49 4.6 美??萍迹河辛ΡWC光刻凈化環境美??萍迹河辛ΡWC光刻凈化環境.50 4.7 福晶科技:激光晶體打入福晶科技:激光晶體打入 ASML 供應鏈供應鏈.50 4.8 炬光科技:光刻機電子及光學元件供應商炬光科技:光刻機電子及光學元件供應商.51 5 風險提示風險提示.53 內容目錄 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 4 行業深度分析報告/證券研究報告 圖圖 1.光刻產業鏈格局圖
12、光刻產業鏈格局圖.7 圖圖 2.芯片性能的發展整體遵循摩爾定律芯片性能的發展整體遵循摩爾定律.9 圖圖 3.光刻的基本原理光刻的基本原理.10 圖圖 4.芯片生產的工藝步驟芯片生產的工藝步驟.10 圖圖 5.ASML 光刻機的全球供應鏈光刻機的全球供應鏈.11 圖圖 6.多多類設備與光刻密切相關類設備與光刻密切相關.12 圖圖 7.光刻配套的量測設備光刻配套的量測設備/部件部件.12 圖圖 8.光刻計算系統光刻計算系統.12 圖圖 9.光刻機描繪電路的圖紙:掩模版光刻機描繪電路的圖紙:掩模版.13 圖圖 10.光刻機繪圖的底板:涂布中的光刻膠光刻機繪圖的底板:涂布中的光刻膠.13 圖圖 11.
13、光刻技術的發展圖譜光刻技術的發展圖譜.14 圖圖 12.接觸接觸/接近式光刻機接近式光刻機.14 圖圖 13.德國蘇斯公司的光刻機德國蘇斯公司的光刻機.14 圖圖 14.初代投影光刻機的工作原理初代投影光刻機的工作原理.15 圖圖 15.三種投影光刻機的成像方式三種投影光刻機的成像方式.15 圖圖 16.上海微電子步進重復光刻機上海微電子步進重復光刻機.15 圖圖 17.步進掃描式光刻雙工件臺步進掃描式光刻雙工件臺.16 圖圖 18.步進掃描光刻機運動原理步進掃描光刻機運動原理.16 圖圖 19.7 納米(含)前制程均用納米(含)前制程均用 DUV 光刻機完成光刻機完成.17 圖圖 20.AS
14、ML 光刻機性能參數不斷進步光刻機性能參數不斷進步.17 圖圖 21.光刻機的浸沒式系統光刻機的浸沒式系統.18 圖圖 22.ASML 市場份額快速攀升市場份額快速攀升.18 圖圖 23.雙重光刻工藝(雙重光刻工藝(LELE).18 圖圖 24.利用側墻實現的自對準雙重圖形(利用側墻實現的自對準雙重圖形(SADP).18 圖圖 25.套刻誤差對圖案的影響套刻誤差對圖案的影響.19 圖圖 26.極紫外光刻機的應用極紫外光刻機的應用.19 圖圖 27.EUV 光刻極大簡化工藝步驟光刻極大簡化工藝步驟.19 圖圖 28.EUV 光刻機光刻機.20 圖圖 29.電子束光刻電子束光刻.20 圖圖 30.
15、紫外固化納米壓印技術紫外固化納米壓印技術.20 圖表目錄 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 5 行業深度分析報告/證券研究報告 圖圖 31.光刻機的結構光刻機的結構.21 圖圖 32.高壓汞燈的光譜分布高壓汞燈的光譜分布.22 圖圖 33.高壓高壓汞燈的結構汞燈的結構.22 圖圖 34.單腔單腔 DUV 激光產生的原理激光產生的原理.22 圖圖 35.ArF 光源系統(光源系統(DUV).22 圖圖 36.GIGAPHOTON 的的 ArF 光源內部結構光源內部結構.23 圖圖 37.光源內置的測量模塊光源內置的測量模塊.24 圖圖 38.EUV 設備龐大的前驅激光裝置設備龐大
16、的前驅激光裝置.24 圖圖 39.光刻機中的光刻機中的 EUV 光產生裝置光產生裝置.24 圖圖 40.EUV 光源工作原理圖光源工作原理圖.25 圖圖 41.光刻機照明與投影物鏡系統的工作流程圖光刻機照明與投影物鏡系統的工作流程圖.26 圖圖 42.光刻機照明與投影物鏡系統結構光刻機照明與投影物鏡系統結構.26 圖圖 43.光刻設備采用不同的照明方式光刻設備采用不同的照明方式.27 圖圖 44.像素化照明方式的成像效果像素化照明方式的成像效果.27 圖圖 45.光刻計算優化的掩膜版的成像效果光刻計算優化的掩膜版的成像效果.28 圖圖 46.DUV 光刻機的照明系統光刻機的照明系統.28 圖圖
17、 47.DUV 光刻機的物鏡系統光刻機的物鏡系統.28 圖圖 48.光刻中的波前畸變光刻中的波前畸變.29 圖圖 49.通過鏡片形變補償像差原理圖通過鏡片形變補償像差原理圖.29 圖圖 50.通過局部加熱補償像差原理圖通過局部加熱補償像差原理圖.29 圖圖 51.EUV 反射鏡片反射鏡片.30 圖圖 52.EUV 光刻機的照明與物鏡系統光刻機的照明與物鏡系統.30 圖圖 53.工件臺工作流程圖工件臺工作流程圖.30 圖圖 54.ASML 的雙工件臺的雙工件臺.30 圖圖 55.ASML 新型機臺產能不斷提高新型機臺產能不斷提高.31 圖圖 56.掩膜與晶圓上的對準圖形掩膜與晶圓上的對準圖形.3
18、1 圖圖 57.配合工件臺的對準傳感器配合工件臺的對準傳感器.32 圖圖 58.掩膜與工件臺及晶圓的對準原理圖掩膜與工件臺及晶圓的對準原理圖.32 圖圖 59.晶圓表面的晶圓表面的 3D 形貌圖形貌圖.32 圖圖 60.全球半導體資本開支(十億美元)全球半導體資本開支(十億美元).33 圖圖 61.光刻設備占比最高光刻設備占比最高.33 圖圖 62.全球光刻機市場規模(億美元)全球光刻機市場規模(億美元).33 圖圖 63.全球顯影涂膠設備市場規模(億美元)全球顯影涂膠設備市場規模(億美元).33 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 6 行業深度分析報告/證券研究報告 圖圖 64
19、.ASML 公司公司 EUV 與非與非 EUV 設備收入占比設備收入占比.34 圖圖 65.ASML 公司各類光刻機出貨量變化(臺)公司各類光刻機出貨量變化(臺).34 圖圖 66.不同工藝制程的光刻機開支占比不同工藝制程的光刻機開支占比.34 圖圖 67.光刻機市場的競爭格局光刻機市場的競爭格局.35 圖圖 68.光刻機光刻機 TOP3 出貨量變動情況出貨量變動情況.35 圖圖 69.2021 年年 TOP3 光刻機出貨量(單位:臺)光刻機出貨量(單位:臺).35 圖圖 70.ASML 全球生產研發布局圖全球生產研發布局圖.36 圖圖 71.ASML 歷年營收規模(單位:百萬歐元)歷年營收規
20、模(單位:百萬歐元).36 圖圖 72.ASML 發展歷史發展歷史.37 圖圖 73.ASML 生態圈生態圈.39 圖圖 74.中國光刻機發展歷程中國光刻機發展歷程.40 圖圖 75.上海微電子發展歷程上海微電子發展歷程.40 圖圖 76.600 系列光刻機系列光刻機 集成電路前道制造集成電路前道制造.41 圖圖 77.500 系列光刻機系列光刻機 IC 后道先進封裝后道先進封裝.41 圖圖 78.300 系列光刻機系列光刻機 集成電路前道制造集成電路前道制造.42 圖圖 79.200 系列面板光刻機系列面板光刻機.42 圖圖 80.中國光刻機相關技術中國光刻機相關技術.43 圖圖 81.蘇大
21、維格產品與技術布局蘇大維格產品與技術布局.44 圖圖 82.蘇大維格的各類光刻設備蘇大維格的各類光刻設備.44 圖圖 83.蘇大維格的光學產品蘇大維格的光學產品.45 圖圖 84.蘇大維格各類產品營收情況(單位:億元)蘇大維格各類產品營收情況(單位:億元).45 圖圖 85.AR-HUD.46 圖圖 86.蘇大維格光波導鏡片蘇大維格光波導鏡片.46 圖圖 87.光伏銅電鍍技術以銅代銀光伏銅電鍍技術以銅代銀.46 圖圖 88.芯源微顯影涂膠(噴膠)設備芯源微顯影涂膠(噴膠)設備.48 圖圖 89.精測電子可用于光刻工藝檢測測量的產品精測電子可用于光刻工藝檢測測量的產品.49 圖圖 90.ArFA
22、rF 工藝涂膠顯影工藝涂膠顯影 TrackTrack 設備設備 ULTRA LIULTRA LITHTH 產品圖產品圖.49 圖圖 91.美??萍籍a品結構美??萍籍a品結構.50 圖圖 92.上海微電子的高潔凈度環境上海微電子的高潔凈度環境.50 圖圖 93.福晶科技發展歷程福晶科技發展歷程.51 圖圖 94.福晶科技產品福晶科技產品.51 圖圖 95.炬光科技發展歷程炬光科技發展歷程.52 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 7 行業深度分析報告/證券研究報告 圖1.光刻產業鏈格局圖 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 8 行業深度分析報告/證券研究報告 數據來源:
23、各公司及機構官網,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 9 行業深度分析報告/證券研究報告 1 光刻設備:光刻設備:半導體半導體制造的核心裝備制造的核心裝備 1.1 光刻光刻:決定芯片:決定芯片性能最關鍵工藝性能最關鍵工藝 自 1958 年第一塊集成電路誕生以來,其工藝技術持續高速發展。隨著集成電路工藝制程的不斷升級,晶體管集成度不斷提高;觀察到這一行業發展態勢,英特爾創始人之一的戈登.摩爾(Gordon Moore)提出:當價格不變時,芯片容納的晶體管數大約每 18 個月到 24 個月翻倍,這就是著名的摩爾定律摩爾定律。芯片集成密度與可靠性的不斷提升,推動了從大型
24、機到個人電腦,再到移動終端、物聯網、人工智能的電子工業的革命。圖2.芯片性能的發展整體遵循摩爾定律 數據來源:ASML 官網,財通證券研究所 自 1960 年代以來,芯片性能的發展整體遵循摩爾定律。但高速持續發展并非自然而然的,而是蘊含著集成電路設計、芯片生產、電子材料、半導體設備行業長期的研發積累與不斷改進。改進分為兩大類:工藝和結構。工藝的改進以更小的尺寸來制造器件和電路,并使之具有更高的密度、更多的元器件數量和更高的可靠性;器件結構設計上的創新使電路的性能更好,實現更佳的能耗控制和更高的可靠性。無論是縮小尺寸還是無論是縮小尺寸還是構造創新,均需要構造創新,均需要以以光刻機光刻機為為核心核
25、心的的半導體半導體設備設備支持;支持;作為芯片制造的工業母機,作為芯片制造的工業母機,光刻機等設備光刻機等設備歷經了歷經了數次數次重大重大升級升級革新革新。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 10 行業深度分析報告/證券研究報告 圖3.光刻的基本原理 數據來源:EEweb,財通證券研究所 光刻、刻蝕、薄膜沉積光刻、刻蝕、薄膜沉積,同為集成電路制造的三大工藝,同為集成電路制造的三大工藝;其他的步驟則包括清洗、熱處理、離子注入、化學機械拋光、量測等。光刻是將設計好的圖形從掩模版或倍縮掩模版,轉印到晶圓表面的光刻膠上所使用的技術。光刻技術最先應用于印刷工業,并長期用于制造印刷電路板。半
26、導體產業在 1950 年代開始采用光刻技術制造晶體管和集成電路。集成電路制造都是利用刻蝕、沉積、離子注入將描繪在光刻膠上的圖形轉移到晶圓表面,故晶圓表面的光刻膠圖案是最基礎的電路圖案。描繪在晶圓上的最基本電路結構描繪在晶圓上的最基本電路結構由光刻由光刻產生產生,因因此光刻是集成電路生產中最重要的此光刻是集成電路生產中最重要的技術技術。圖4.芯片生產的工藝步驟 數據來源:ASML 官網,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 11 行業深度分析報告/證券研究報告 完整的光刻工藝包括多個細分步驟:1 1.氣相成底膜氣相成底膜和增粘和增粘:對原始硅片清洗、脫水,并涂抹增粘劑
27、。2 2.旋轉涂膠旋轉涂膠:對晶圓表面做光刻膠涂覆,實現指定的厚度和均勻性,并把邊緣和背面多余的光刻膠清洗掉。3 3.軟烘軟烘:去除光刻膠中的溶劑。4 4.對準和曝光對準和曝光:將掩膜版和晶圓精確對準后進行曝光。5 5.曝光后烘焙曝光后烘焙:通過一定溫度激發曝光產生的酸,使部分光刻膠溶于顯影液并提高顯影的分辨率。6 6.顯影顯影:噴涂顯影液,溶解光刻膠上被光照射過的區域,形成電路圖形。7 7.堅膜堅膜烘焙烘焙:熱烘進一步去除殘留的光刻膠溶劑,并提高光刻膠的粘性。8 8.顯影檢查顯影檢查:檢測顯影后的電路圖案,如果不符合要求需重新進行光刻步驟?,F代集成電路一般由多層結構組成,在芯片的生產中,需多
28、次重復光刻、刻蝕、沉積等步驟,層層成形并最終形成完整的集成電路結構。圖5.ASML 光刻機的全球供應鏈 數據來源:ASML 官網,財通證券研究所 光刻機是光刻步驟的核心設備光刻機是光刻步驟的核心設備,也是技術難度和單價最高的半導體設備。,也是技術難度和單價最高的半導體設備。荷蘭ASML 公司的光刻機供應鏈包括全球各地 5000 家供應商,應用到了光學、電磁學、材料學、流體力學、化學等領域最尖端的研究成果。同時,光刻機集成了精密自動化機械、高性能仿真軟件、高靈敏度傳感器、圖像識別算法等多個子模塊,光刻技術是集成電路制造的核心光刻技術是集成電路制造的核心。從原始的硅片起到鍵合墊片的刻蝕和去光刻膠為
29、止,即使最簡單的 MOS IC 芯片都需要 5 道光刻工藝,先進的集成電路芯片可能需要 30 道光刻道光刻工藝步驟。集成電路制造非常耗時,即使一天 24 小時無間斷地工作,都需要 6 8 周周時間完成芯片,光刻工藝技術就耗費了整個晶圓制造時間的 40%50%。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 12 行業深度分析報告/證券研究報告 圖6.多類設備與光刻密切相關 數據來源:ASML 官網,TEL 官網,中科院微電子所,iopscience,財通證券研究所 此外此外在光刻工藝中,在光刻工藝中,涂膠顯影涂膠顯影設備設備、量測設備、光刻計算量測設備、光刻計算軟件軟件系統與光刻機配套系統與
30、光刻機配套運行。運行。涂膠顯影設備具備增粘處理、光刻膠(也包括抗反射層和抗水涂層)涂布、烘烤、顯影液噴涂、晶圓背面清洗和去邊、浸沒式光刻工藝中晶圓表面去離子水沖洗(水漬消除)等功能。涂膠顯影設備的工作性能和工藝質量,直接影響到光刻的良率。涂膠顯影設備的工作性能和工藝質量,直接影響到光刻的良率。圖7.光刻配套的量測設備/部件 圖8.光刻計算系統 數據來源:ASML 官網,財通證券研究所 數據來源:ASML 官網,財通證券研究所 量測設備對光刻后電路圖形的套刻誤差套刻誤差(若干次光刻之間)(若干次光刻之間)、關鍵尺寸關鍵尺寸進行測量,進行測量,并掃描識別圖案缺陷,并掃描識別圖案缺陷,監控工藝質量并
31、監控工藝質量并,將將信息反饋給光刻計算系統以改善工藝。信息反饋給光刻計算系統以改善工藝。光刻計算系統是光刻步驟的神經控制中樞:它能夠依據給定的部分參數,對光刻的工藝流程、材料、環境進行高精度高精度仿真,預測光刻的結果仿真,預測光刻的結果,節省大量試錯的成,節省大量試錯的成 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 13 行業深度分析報告/證券研究報告 本本。同時,光刻計算系統也會根據量測設備反饋的測量參數,調整光刻設備調整光刻設備的光的光照、聚焦、掩膜系統的各項設置參數照、聚焦、掩膜系統的各項設置參數。圖9.光刻機描繪電路的圖紙:掩模版 圖10.光刻機繪圖的底板:涂布中的光刻膠 數據來
32、源:三星官網,財通證券研究所 數據來源:Applied-microswiss 官網,財通證券研究所 除了各類設備之外,光刻除了各類設備之外,光刻工藝中所使用到的光刻膠、掩膜版、電子特氣等也具有工藝中所使用到的光刻膠、掩膜版、電子特氣等也具有較高的技術壁壘。較高的技術壁壘。光刻膠(光刻膠(Photoresist)是指通過紫外光、電子束、離子束、X射線等照射,其溶解度發生變化的耐蝕劑刻薄膜材料。由感光樹脂、增感劑和溶劑 3 種主要成分組成的對光敏感的混合液體。曝光后的光刻膠經過顯影液處理后,會留下所需要的電路圖案。光刻掩膜版(光罩光刻掩膜版(光罩 Mask Reticle),是光刻工藝所使用的圖形
33、母版。由不透明的遮光薄膜在透明基板上形成掩膜圖形結構,通過曝光過程將掩膜版上圖形信息轉移到光刻膠圖形上。光刻用電子特氣光刻用電子特氣主要包括 Ar/Ne/Xe、Kr/Ne、F2/Kr/Ne、F2/Ar/Ne。光刻氣中的惰性氣體和鹵素氣體在受到電子束激發后所形成的準分子發生電子躍遷后可產生特定波長的光,即可產生準分子激光。1.2 光刻光刻圖譜圖譜:多種路線并存多種路線并存,掃描式光刻為主流,掃描式光刻為主流 半導體生產中,光刻技術的發展經歷了多個階段。接觸/接近式光刻、光學投影光刻、分步(重復)投影光刻出現時間較早。集成電路生產主要采用掃描式光刻、浸沒式掃描光刻、極紫外光刻的工藝。此外,X射線/
34、電子束光刻、納米壓印、激光直寫技術可能是未來的技術突破方向。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 14 行業深度分析報告/證券研究報告 圖11.光刻技術的發展圖譜 數據來源:集成電路產業全書,王陽元,財通證券研究所 1.2.1 接觸接觸/接近式光刻機(接近式光刻機(Aligner):光刻設備鼻祖):光刻設備鼻祖 1961 年美國 GCA 公司制造出了第一臺接觸式光刻機,掩模蓋與光刻膠圖層直接接觸,光線透過掩膜進行曝光時可以避免衍射。接觸式光刻機的工作方式,對光刻膠和掩模版都存在損壞和污染,生產良率低,掩模版壽命短。為解決上述問題,產生了接近式光刻機,掩膜和表面光刻膠之間存在微小空隙
35、。這些新設計提高了良率和使用壽命,但是光在微小間隙中的衍射現象,使得最高分辨率只有 3 微米左右。這一時期的光刻機廠商有 Siemens、GCA、Kasper Instruments 和 KulickSoffa 等,典型的芯片產品有英特爾 4004/3101。接近/接觸式光刻廠家,目前還有德國蘇斯和奧地利 EVG,其設備主要服務于 MEMS、先進封裝、三維封裝、化合物半導體、功率器件、太陽能領域。圖12.接觸/接近式光刻機 圖13.德國蘇斯公司的光刻機 數據來源:集成電路與光刻機,王向朝,戴鳳釗,財通證券研究所 數據來源:蘇斯公司官網,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評
36、級標準 15 行業深度分析報告/證券研究報告 1.2.2 掃描掃描投影投影/重復步進光刻機(重復步進光刻機(Stepper):仍滿足大線寬工藝):仍滿足大線寬工藝 Perkin Elmer 在 1973 年推出了 Micralign100,世界首臺投影式光刻機,采用汞燈光源,孔徑數值 0.17,分辨率 2 微米。工作過程中,掃描臺承載硅片與掩膜版同步移動,汞燈發出的光線經過狹縫后成為均勻的照明光,透過掩膜將圖案投影在光刻膠上。其對稱的光路設計可以消除球面鏡產生的大部分像差,Micralign讓芯片生產的良率,從 10%提升到了 70%。圖14.初代投影光刻機的工作原理 圖15.三種投影光刻機的
37、成像方式 數據來源:集成電路與光刻機,王向朝,戴鳳釗;Lithography and Other Patterning Techniques for Future Electronics,Stephen Y Chou,財通證券研究所 數據來源:集成電路與光刻機,王向朝,戴鳳釗,財通證券研究所 為了滿足更高的進度要求,1978 年,美國 GCA 公司推出了首臺步進重復投影光刻機。其工作原理如上右圖中第二小圖所示,硅片表面存在若干個曝光場(22mm x 22mm),分步重復投影光刻機每次整體曝光一個場。步進重復光刻機不需要實現掩模和圓片同步反向掃描,在結構上不需要掃描掩模臺和同步掃描控制系統,因而
38、結構相對簡單,成本相對較低,性能更加穩定。同時,由于其采用縮小倍率的物鏡(4:1或 5:1或 10:1),降低了掩膜版的制作難度,能夠滿足 0.25微米以上線寬制程的工藝要求。目前,步進重復光刻機仍然廣泛應用在非關鍵層、封裝等領域,采用 g 線或 i線光源,少數高端設備采用 KrF光源。圖16.上海微電子步進重復光刻機 數據來源:上海微電子官網,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 16 行業深度分析報告/證券研究報告 上海微電子裝備公司于 2009 年開發 SSB500系列步進重復光刻機,2015 年在封裝領域市占率已達 40%。1.2.3 步進掃描光刻機(步進掃
39、描光刻機(Scanner):主流光刻設備通用主流光刻設備通用 集成電路工藝制程達到 0.25 微米后,步進掃描式光刻機的掃描曝光視場尺寸與曝光均勻性更具優勢,逐步成為主流光刻設備。其利用 26mm x 8mm的狹縫,采用動態掃描的方式(掩膜版與晶圓片同步運動),已經可以實現 26mm x 33mm的曝光場。當前曝光場掃描完畢后,轉移至下一曝光場,直至整個晶圓片曝光完畢。圖17.步進掃描式光刻雙工件臺 圖18.步進掃描光刻機運動原理 數據來源:華卓精科招股說明書,財通證券研究所 數據來源:集成電路產業全書,王陽元,財通證券研究所 通過配置不同類型的光源(I線、KrF、ArF,EUV),步進掃描光
40、刻機可以支持所有集成電路工藝節點;但為滿足高端工藝節點的性能要求,每一代步進掃描光刻機都歷經了重大技術升級。例如:步進掃描式光刻機 26mm x 8mm的靜態曝光場相對較小,降低了物鏡系統制造的難度;但其工件臺與掩膜臺反向運動的動態掃描方式,提升了對運動系統的性能要求。對此,荷蘭 ASML公司于 2001 年首次推出了雙工件臺,滿足先進工藝的的速度、精度、穩定性要求。1.3 各項革新各項革新推向光刻性能巔峰推向光刻性能巔峰 自 1990 年美國 SVGL 公司推出 Micrascan I 步進掃描光刻機以來,全球主流光刻機廠商均采用步進掃描光刻原理。這其中,DUV步進掃描光刻機包攬 7 納米及
41、之前的全部工藝制程。在 1990 到之后的這近 30 年時間里,集成電路制造工藝水平已經發生翻天覆地的變化。而為了滿足先進制程的各項要求,光刻機除了之前提到的雙工件臺外,還采用了多項其他重大革新。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 17 行業深度分析報告/證券研究報告 圖19.7 納米(含)前制程均用 DUV 光刻機完成 數據來源:ASML,財通證券研究所 更高端的工藝制程的集成電路,具有更小的線寬,這就需要光刻機具有更高的曝光分辨率。此時就需提到決定光刻分辨率的公式 R=K1/Na。其中,K1 為工藝因子常數,與照明方式、掩膜類型、光刻膠顯影性能等參數相關;為光源波長;Na為物
42、鏡的孔徑數值。光刻機不斷提高物鏡的孔徑數值,并采用波長更短的光源來提高分辨率水平。圖20.ASML 光刻機性能參數不斷進步 數據來源:ASML,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 18 行業深度分析報告/證券研究報告 SVGL公司于 1993 年推出的 Micrascan II型光刻機,采用 250nm汞燈光源,分辨率為 350nm,孔徑數值為 1.35。1995年,日本尼康推出全球首臺采用 248nm的 KrF光源的光刻機,分辨率達到 250nm;并于 1999年推出首臺采用 193nm的干式 ArF光源的光刻機 NSR-S302A,分辨率小于 180 納米。在
43、此之后,光源波長一直停滯在 193nm水平,提升分辨率主要依賴改良物鏡,提升孔徑數值。針對如何進一步提升分辨率的問題上,各廠家產生技術爭議。日本企業計劃采用157nm 的 F2 光源;荷蘭 ASML 決定采用臺積電研發副總監林本堅提出的,在物鏡鏡頭和晶圓之間增加去離子水增大折射率的設想。ASML于 2004年推出首臺浸沒式光刻機(ArFi)TWINSCAN AT 1150i,獲得客戶迅速認可,市場份額得以快速攀升。圖21.光刻機的浸沒式系統 圖22.ASML 市場份額快速攀升 數據來源:IEEE,財通證券研究所 數據來源:ASML,財通證券研究所 采用浸沒式系統的光刻機,其入射到晶圓表面的光線
44、等效為 134nm的波長,疊加物鏡的不斷改進(孔徑數值 NA最高可達 1.35),整機的半周期分辨率(half-pitch)提升到了小于 38納米的級別,可滿足 28 納米工藝需求。但當制程等級達到 22納米級別時,光刻機的分辨率也已力不從心,各大晶圓廠分分引入了多重膜版工藝。圖23.雙重光刻工藝(LELE)圖24.利用側墻實現的自對準雙重圖形(SADP)數據來源:Lam research,財通證券研究所 數據來源:Lam research,財通證券研究所 多重掩膜版工藝有多個細分類,其中雙重曝光(DE)在 28納米節點首先啟用,用于改善圖形質量。此外,曝光-固化-曝光-刻蝕(LFLE)、雙重
45、光刻(LELE)、三重光刻(LELELE),自對準多重圖形(SAMP)技術陸續在 14/16nm-7nm 工藝節點發揮了重要作用。多重掩膜版工藝的發展,對光刻設備提出了更高的要求。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 19 行業深度分析報告/證券研究報告 圖25.套刻誤差對圖案的影響 數據來源:集成電路產業全書,王陽元,財通證券研究所 首先,為保證兩次光刻之間的精準對齊(否則會產生電路錯位或高度均勻性偏差),光刻機需要嚴格控制套刻誤差;為此光刻機升級采用更精確的對準系統和運動系統,也配備了更高等級的套刻誤差測量設備。其次因為采用雙重光刻(LELE)等使每次曝光的圖案間距增大一倍,但
46、是對圖案本身線寬的要求并沒有降低。對此,光刻機需要更好的圖案質量和穩定性,更小的光學畸變。圖26.極紫外光刻機的應用 圖27.EUV 光刻極大簡化工藝步驟 數據來源:ASML,財通證券研究所 數據來源:ASML,財通證券研究所 針對針對 5 納米及以下的制程節點,納米及以下的制程節點,分辨率更高分辨率更高極紫外光刻機極紫外光刻機(EUV)成為必需設備成為必需設備。因為當工藝節點達到 7納米等級后,自對準四重圖形(SAQP)等成為光刻工藝的主流方案,也產生了相關技術難題。首先,自對準四重圖形和三重光刻包含大量配套的刻蝕、薄膜沉積、去膠和膜層剝離等步驟,工藝復雜程度急劇提升工藝復雜程度急劇提升,保
47、持良率難度大。其次多重曝光所采用的 193nm光源本身的分辨率極限,其成像能力不滿足 5納米或更高等級制程需求。EUV光刻機也可降低 10-7 納米等級芯片生產的復雜程度。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 20 行業深度分析報告/證券研究報告 圖28.EUV 光刻機 數據來源:ASML,財通證券研究所 與 DUV 使用的準分子激光光源不同,EUV 光刻采用 13.5nm 波長的離子體光源。這種光源是通過二氧化碳激光器轟擊霧化的錫(Sn)金屬液滴,將它們蒸發成等離子體,通過高價錫離子能級間的躍遷獲得的。由于 EUV光線波長短很容易被空氣吸收,所以工作環境需要被抽成真空,也無法被玻
48、璃透鏡折射。硅與鉬鍍膜的布拉格反射器(Bragg reflector,一種多層鏡面,可以將很多小的反射集中成一個更強的反射)取代了原有的物鏡。德國光學公司蔡司(Zeiss)生產世界上最平坦的鏡面,使得 EUV光線經過多次反射后能夠精準的投射到晶圓上。目前 ASML最先進的 EUV 設備為 NXE 3600D,分辨率達到 13納米,適用于 3-5 納米芯片制程,未來計劃通過進一步提升孔徑數值來提高分辨率水平。1.4 電電子束、納米壓?。簼撛诘淖邮?、納米壓?。簼撛诘牧肀脔鑿搅肀脔鑿?圖29.電子束光刻 圖30.紫外固化納米壓印技術 數據來源:Matteo Altissimo,財通證券研究所 數據來
49、源:吉倉納米,財通證券研究所 電子束/激光直寫技術使用帶電粒子/激光直接轟擊對象表面,在目標基片上一次形 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 21 行業深度分析報告/證券研究報告 成納米圖案構造,無需制備價格昂貴的掩膜版,生產準備周期較短。這其中激光直寫光刻已經運用到了 PCB 制造中。電子束光刻具有極高的分辨率(極高的分辨率(10 納米等納米等級)和曝光精度級)和曝光精度,有望成為 EUV光刻之外的另一種選擇。目前電子束光刻的技術局限是工作效率較低,無法運用在大規模集成電路生產中工作效率較低,無法運用在大規模集成電路生產中;后續的多電子束光刻多電子束光刻有望在未來解決這一問題。
50、納米壓印采用電子束等技術將電路圖案刻制在掩膜上,然后通過掩膜使得對象上的聚合物變形,再采用某種方式使得聚合物固化,進而完成圖案的轉移。納米壓印具備分辨率高,成本低的特點;但其同時存在刻套誤差大,缺陷率高,掩膜版易被污染的技術問題。2 光刻機:多種先進光刻機:多種先進系統的精準組合系統的精準組合 2.1 光刻機的整體結構光刻機的整體結構 圖31.光刻機的結構 數據來源:ASML,財通證券研究所 光刻機是最復雜的工業產品之一,其本體由照明、照明、投影投影物鏡、工件臺、掩模臺、物鏡、工件臺、掩模臺、對準與測量、掩模傳輸、晶圓傳輸等主要系統組成對準與測量、掩模傳輸、晶圓傳輸等主要系統組成。此外,還有環
51、境與電氣系統、光刻計算(OPC)與掩膜優化(SMO)軟件、顯影涂膠設備提供支持。主要性能指標有分辨率、套刻精度和產率。隨著集成電路的發展,光刻機各個系統不斷優化升級,雙工件臺技術與浸液技術相繼被采用,采用全反射式光學系統的極紫外光刻機已經用于量產。為了滿足不斷提升的性能指標要求,光刻機的各個組成系統不斷突破光學、精密機械、材料等領域的技術瓶頸,實現了多項高精尖技術的融合。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 22 行業深度分析報告/證券研究報告 2.2 光源光源系統:系統:光刻機的能量源泉光刻機的能量源泉 i 線(365nm 波長)及以上波長光刻機使用的光源是高壓汞燈。高壓汞燈能提
52、供254579nm波長的光。使用濾波器可以選擇性的使用 i線(365nm)、H線(405nm)或 G 線(436nm)為光刻機提供照明光源。圖32.高壓汞燈的光譜分布 圖33.高壓汞燈的結構 數據來源:集成電路與光刻機,王向朝,戴鳳釗,財通證券研究所 數據來源:集成電路與光刻機,王向朝,戴鳳釗,財通證券研究所 KrF 和和 ArF/ArFi 光刻機使用準分子激光器作為光源光刻機使用準分子激光器作為光源,其工作的原理是:惰性氣體(Kr,Ar)在電場和高壓環境下與鹵族元素氣體(F2,Cl2)反應生成不穩定的準分子。激發態的準分子又不斷分解,并釋放深紫外(DUV)的光子。KrF與 ArF準分子分別釋
53、放 248nm、193nm 波長的光子。準分子激光是脈沖式的,其關鍵的技術參數有脈沖的頻率、輸出功率、持續時間、穩定性等。光源更高輸出功率,意味著曝光時間縮短和光刻機產能提高。美國 Cymer 和日本GIGAPHOTON 的最新型光源,輸出功率已達到 120W,脈沖的頻率是 6000Hz,脈沖持的續的時間在 100150ns。圖34.單腔 DUV 激光產生的原理 圖35.ArF 光源系統(DUV)謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 23 行業深度分析報告/證券研究報告 數據來源:中國電子科技集團第十三研究所,Cymer 準分子激光器的工作原理及應用宋健等 4 人,財通證券研究所
54、數據來源:GIGAPHOTON 官網,財通證券研究所 降低光源系統的能耗和激光腔更換成本,也是降低光刻成本的主要方式。DUV光源主要通過三種方法來降低功耗、延長激光腔使用壽命。第一是改善腔體內部件的絕緣度第一是改善腔體內部件的絕緣度。氣體在腔體內電極之間的流動是由風扇(CFF)驅動的,通過改善腔體內部件的絕緣度可以降低功耗 19。第二個第二個是增強氣體的預電離是增強氣體的預電離(pre-ionization)。電極之間的間距大約有 10mm 左右,如果不對氣體作預電離,很難在電極之間形成穩定的放電,也會增加電極的損耗。第第三三個個是是電極表面特殊處理。電極表面特殊處理。電極的損耗限制了激光腔使
55、用壽命,損耗程度與產生的激光脈沖次數(laser pulse)成正比。在放電時,氣體中的 F會不斷腐蝕金屬制成的電極。經過特殊表面處理后的電極的抗腐蝕和抗離子濺射能力大大提高,可以使激光腔的使用壽命增大到 600 億次脈沖以上。圖36.GIGAPHOTON 的 ArF 光源內部結構 數據來源:GIGAPHOTON 官網,財通證券研究所 隨著光刻技術對光源輸出功率和頻寬要求的不斷提高,單激光腔結構的光源不能滿足高功率和精準頻寬同時輸出。雙腔結構的主振蕩雙腔結構的主振蕩-放大技術放大技術被引入,其基本思想是利用主振蕩腔產生小能量的窄頻寬種子光,注入放大腔輸出大能量脈沖,從而得到窄頻寬、大功率的優質
56、激光輸出。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 24 行業深度分析報告/證券研究報告 圖37.光源內置的測量模塊 數據來源:中國電子科技集團第十三研究所,Cymer 準分子激光器的工作原理及應用宋健等 4 人,財通證券研究所 激光光源在工作時,其激光光源在工作時,其內置的測量模塊內置的測量模塊會會測量各項運行參數測量各項運行參數,記錄在系統中并傳輸到光刻機和晶圓廠內部的數據系統中。這些狀態參數包括:輸出能量、波長、頻寬、束斑的形狀、束斑的位置和發散度等。有些數據有助于工藝工程師監測光刻工藝的穩定性,并及時發現各類異常。圖38.EUV 設備龐大的前驅激光裝置 圖39.光刻機中的 EU
57、V 光產生裝置 數據來源:GIGAPHOTON 官網,財通證券研究所 數據來源:ASML 官網,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 25 行業深度分析報告/證券研究報告 EUV光光源是目前最先進的光源源是目前最先進的光源。EUV光刻機采用的是 CO2 激發的 LPP 光源,主要由主脈沖激光器、主脈沖激光器、預預脈沖激光器、光束傳輸系統、脈沖激光器、光束傳輸系統、錫錫液滴靶、液滴靶、錫錫回收器、收集回收器、收集鏡鏡等構成。EUV光源的主要工作方式為:在真空腔體中,將高溫熔融并加電磁場使其處于等離子體狀態的錫從噴槍中等間隔噴出,每個錫滴的大小保持在 7.5-13 微米
58、左右。當錫滴經過中心區域時,安裝在腔壁上的高分辨率相機捕捉到錫滴,反饋給計算機。計算機綜合定位控制、激光光束軸、定時控制器等系統的數據,控制激光槍連續發射兩個脈沖擊中該錫滴體。第一個激光脈沖可使錫滴壓扁為餅狀,第二個脈沖緊隨其后再次擊中該錫滴,兩次高能激光脈沖可將該錫滴瞬間加熱至 50000K,從而使錫原子躍升至高能態,并回歸至基態釋放出 13.5nm的紫外光,經收集鏡導入到曝光系統當中。圖40.EUV 光源工作原理圖 數據來源:ASML 官網,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 26 行業深度分析報告/證券研究報告 超導磁場系統位于 EUV 腔外部,并能在 EU
59、V 腔內產生高強度的磁場,從而保護收集器鏡面不受錫等離子體產生的高速錫離子的影響。EUV光源光源的的輸出功率輸出功率是重是重要性能指標要性能指標。目前最先進的 NXE 3400C型光刻機,輸出功率已達到 250w,未來有可能升級到 300w。下一代 High-NA 光刻機計劃將功率提升到 500w。2.3 照明照明與與物鏡物鏡投影投影系統:精準系統:精準成像成像 圖41.光刻機照明與投影物鏡系統的工作流程圖 數據來源:ASML 官網,財通證券研究所 照明與投影物鏡系統的精確性與穩定性,對于將掩膜版上的圖案準確轉移到晶圓上,起到決定性的作用,是光刻機的核心組件?,F今主流光刻機的照明與投影物鏡系統
60、,都內置有光學調整功能組件,能夠依據掩膜版的圖案結合光刻優化算法,采取最佳的曝光優化方案。光刻機整體通過光刻機整體通過照明系統、掩膜版、投影物鏡照明系統、掩膜版、投影物鏡、光刻、光刻計算的計算的互相配合,實現最佳光刻方案?;ハ嗯浜?,實現最佳光刻方案。圖42.光刻機照明與投影物鏡系統結構 數據來源:武漢光電國家研究中心資料,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 27 行業深度分析報告/證券研究報告 照明系統位于光源和掩模臺之間,其功能是調節照明光場的空間和角譜分布,為掩膜版提供曝光最合適的照明光場(不同掩膜版不同掩膜版圖案圖案適用不同的照明適用不同的照明光場光場)。主
61、要功能包括:均勻照明均勻照明、變化不同的照明方式變化不同的照明方式、控制晶圓的控制晶圓的曝光劑量曝光劑量。晶圓表面一格點的曝光劑量是照明光場在掃描方向上的能量積分(累計值),其分布直接影響分辨率均勻性,所以照明照明均勻性均勻性成為關鍵性能指標之一。照明系統的能量監測單元,可測量準分子激光器發出的單個脈沖能量,并調整激激光器光器的單脈沖能量的單脈沖能量,使累積的能量達到預定的曝光劑量??勺兺高^率單元,根據曝光劑量及均勻性的要求改變光的透過率改變光的透過率,調整照明光的光強。圖43.光刻設備采用不同的照明方式 圖44.像素化照明方式的成像效果 數據來源:ASML,財通證券研究所 數據來源:中國科學
62、院,財通證券研究所 早期光刻機使用早期光刻機使用衍射光學元件(衍射光學元件(DOE)來調節照明方式(來調節照明方式(光瞳形狀光瞳形狀)。激光光源發出的光,通過準直系統變成平行光后,投射到衍射光學元件上,再被折射到指定位置,從而形成特定的照明方式。2010 年左右生產了光源掩模光源掩模協同優化協同優化(SMO)技術技術,可對照明可對照明光場光場像素化像素化編程編程,能快速生成任意照明模式。SMO 系統的核心是一個可編程微反射鏡陣列,微反射鏡陣列中有數千個微反射鏡,每個微反射鏡都可以在照明系統光瞳面上產生一個光點。SMO系統可控制各個微反射鏡的偏轉角度,調節每個微反射鏡的指向,從而得到目標光源。掩
63、膜版圖形也會根據 SMO、光學鄰近效應修正(OPC)等光刻計算軟件的模擬仿真結果進行調整。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 28 行業深度分析報告/證券研究報告 圖45.光刻計算優化的掩膜版的成像效果 數據來源:OPC)-friendly maze routing,Li-Da Huang,Martin D.F.Wong,財通證券研究所 光學鄰近效應修正(光學鄰近效應修正(OPC)系統通常與系統通常與 SMO 系統組合運行。系統組合運行。從 180 納米制程節點開始,集成電路中的最小線寬已經小于光源波長。曝光時相鄰圖形光線的干涉和衍射效應會導致圖像畸變,使得晶圓上的圖形和掩模上的
64、圖形差別較大,(線條寬度會變窄、窄線條端點會收縮、圖形拐角處變圓滑)。OPC系統依據光照條件和電路圖案,對對掩模上的圖形做適當修改掩模上的圖形做適當修改可以補償這種效應可以補償這種效應。圖46.DUV 光刻機的照明系統 圖47.DUV 光刻機的物鏡系統 數據來源:ZEISS,財通證券研究所 數據來源:ZEISS,財通證券研究所 位于掩膜版和晶圓之間的投影物鏡系統位于掩膜版和晶圓之間的投影物鏡系統,也也可以可以通過計算光刻系統通過計算光刻系統與與 SMO、OPC技術相結合,實現照明、技術相結合,實現照明、掩膜掩膜、投影物鏡的協同優化,提高光刻機的成像質量。、投影物鏡的協同優化,提高光刻機的成像質
65、量。投影物鏡將掩膜版投影物鏡將掩膜版圖形圖形,按照一定的縮放比例按照一定的縮放比例(通常是(通常是 4:1)投射)投射到硅片面。到硅片面。由于掩模圖形的線寬是硅片上的 4 倍,降低了掩模制造難度、減小了掩模缺陷對光刻的影響。但由于光源的波長不斷減小,導致投影物鏡的可用材料種類越來越少。大部分光學材料在深紫外(DUV)波段透過率都很低,可用材料只有熔融石英與氟化鈣,世界上只有少數幾家材料供應商能夠提供。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 29 行業深度分析報告/證券研究報告 圖48.光刻中的波前畸變 數據來源:超大規模集成電路先進光刻理論與應用,韋亞一,Detecting abno
66、rmal behavior in lithography Machines,B.Dassen,財通證券研究所 即使是采用最高等級材料制作的透鏡,也不可避免地存在像差。物鏡鏡片長時間物鏡鏡片長時間曝光后的熱效應、曝光后的熱效應、鏡片的老化變形、光學元件缺陷、及透鏡技術的自身光學局限鏡片的老化變形、光學元件缺陷、及透鏡技術的自身光學局限都會導致像差都會導致像差。其中,對像差形成影響最大的光線經過透鏡后的波前畸變,波前畸變可用澤尼克多項式描述。光刻機光學系統的設計,需要考慮 64 階的尼克多項式系數影響。先進集成電路光刻工藝對像差的要求非常嚴格。高端光刻機(浸沒式/EUV)的像差與畸變已經降低到 1
67、 納米以下水平。為有效控制圖像畸變,光刻機的投影物鏡系統會在工作過程中,實時調整自身的光學元件。圖49.通過鏡片形變補償像差原理圖 圖50.通過局部加熱補償像差原理圖 數據來源:Spie Digital Library,Yasuhiro Ohmura,等 16 人,財通證券研究所 數據來源:ASML,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 30 行業深度分析報告/證券研究報告 投影物鏡投影物鏡系統的系統的光學元件調整機制,與光學元件調整機制,與 OPC、SMO 等光刻計算系統協同運作等光刻計算系統協同運作。主要的運作方式為:在光瞳附近增加可局部加熱的光學可局部加熱的光
68、學元件元件,通過控制該元件局部溫度的變化改變材料折射率,實現高階波像差的補償;或是在投影物鏡光路中增加變形鏡增加變形鏡,通過控制變形鏡的形變改變光程,實現高階波像差的補償。圖51.EUV 反射鏡片 圖52.EUV 光刻機的照明與物鏡系統 數據來源:ZEISS,ASML,財通證券研究所 數據來源:ASML,財通證券研究所 EUV 光源發出的波長為 13.5 納米的極紫外光,被幾乎所有光學材料強吸收,故EUV 光刻機的照明系統的光刻機的照明系統的投影物鏡投影物鏡系統系統只能采用全反射式結構只能采用全反射式結構。EUV 的反射鏡對加工精度的要求極高,其表面鍍有鉬/硅多層膜及一層 2-3nm的釕保護膜
69、。釕膜可以有效延緩鉬/硅的氧化,降低碳在表面沉積的速率。2.4 工件臺工件臺系統:系統:光刻產能與精確對準的關鍵光刻產能與精確對準的關鍵 雙工件臺系統于 2000 年被荷蘭 ASML 公司發明推出,被稱為 TWINSCAN 系統。在雙工件臺系統中,兩個工件臺相對獨立但同時運作;一個工件臺承載晶圓做曝光時,另一個工件臺對晶圓做對準測量等準備工作。當第一個工件臺的曝光步驟完成后,兩個工件臺交換位置和功能。圖53.工件臺工作流程圖 圖54.ASML 的雙工件臺 數據來源:集成電路與光刻機,王向朝,戴鳳釗,財通證券研究所 數據來源:ASML,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級
70、標準 31 行業深度分析報告/證券研究報告 雙工件臺的工作過程中,晶圓在測量工件臺上完成晶圓片裝載、三維形貌測量后,兩個工件臺通過位置交換進入曝光位置,再與掩模對準后,完成掃描曝光。老式的光刻機中只有一個工件臺,晶圓的上下片、測量、對準、曝光依次進行;而在雙工件臺光刻機中,大部分測量、校正工作可以在非曝光工件臺上進行,曝光位置的利用效率大幅提高。雙工件臺的發明使得光刻機的產能有了大幅度的提高。傳統的單工件臺光刻機很難實現其產能超過 100WPH,而基于雙工件臺的ASML 浸沒式光刻機的產能已經能超過 200WPH,部分新型光刻機產能已經接近300WPH。圖55.ASML 新型機臺產能不斷提高
71、數據來源:ASML,財通證券研究所 雙工件臺設計有效提高了產能,也為光刻過程中的測量步驟預留出了更多的時間。掩模臺與工件臺需高精度同步運動同步運動,否則會導致成像位置偏移,降低分辨率和套刻精度。此外,高端光刻機廣泛運用在多重曝光多重曝光工藝中,這些工藝對晶圓、工件臺、掩膜版之間對準精度對準精度要求極高要求極高。圖56.掩膜與晶圓上的對準圖形 數據來源:超大規模集成電路先進光刻理論與應用,韋亞一,財通證券研究所 晶圓和掩膜版上設計有特殊對準圖形特殊對準圖形,兩者位于一定范圍內,光刻機的光學系統對準才能捕捉到;這要求工件臺與掩膜臺具備預對預對準功能準功能。工件臺和晶圓有對準標記,ATHENA 對準
72、系統,能依據對準標記確其位置;此外工件臺上設置有 TIS 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 32 行業深度分析報告/證券研究報告 傳感器,TIS 對準系統將掩膜上的 TIS 標記投射到工件臺 TIS 傳感器上,進而計算出掩膜圖形與晶圓的相對位置。TIS 與 ATHENA 對準系統主要依賴光學原理進行,更先進的對準系統采用更多波段的光源,進一步提高對準精度。圖57.配合工件臺的對準傳感器 圖58.掩膜與工件臺及晶圓的對準原理圖 數據來源:ASML,財通證券研究所 數據來源:ASML,財通證券研究所 硅片曝光過程中,工件臺需要反復進行步進、加速、掃描、減速等運動。實現高產率要求工件
73、臺具有很高的步進速度、很高的加速度與掃描速度。目前高端 ArF光刻機套刻精度已達到 1.4nm。為實現這些指標,工件臺的定位精度已達到亞納米量級,速度達到 1m/s,加速度達到 30m/s 或更高。此外,工件臺/掩模臺在高速工件臺的這些指標,對超精密機械技術提出了很高的要求。圖59.晶圓表面的 3D 形貌圖 數據來源:超大規模集成電路先進光刻理論與應用,韋亞一,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 33 行業深度分析報告/證券研究報告 光刻機的物鏡存在聚焦深度,聚焦深度外的光刻膠無法有效曝光光刻機的物鏡存在聚焦深度,聚焦深度外的光刻膠無法有效曝光。因此,對掩模圖形進
74、行曝光時,整個晶圓表面必須處于焦深之內。然而晶圓表面并不是完全平整的,尤其是經過多次刻蝕、沉積之后。因此曝光前,必須對晶圓面進行高精度的調焦調平。首先通過調焦調平傳感器,確定最佳的焦面距離和傾斜量,然后通通過工件臺過工件臺進行調節進行調節,使晶圓表面待曝光區域位于焦深范圍之內。先進的 ArFi光刻機的焦深在 100nm以下,因此雙工件臺需要具備納米級別的調節能力。3 光刻光刻設備設備市場規模大,國產亟待零的突破市場規模大,國產亟待零的突破 3.1 芯片制程升級芯片制程升級,光刻設備成本占比不斷提升,光刻設備成本占比不斷提升 光刻機是半導體前道制造設備之首,最大的半導體設備細分類市場。光刻機是集
75、成電路制造的核心設備,全球半導體設備市場中光刻機占比超 24%。且隨著半導體制程升級晶體管尺寸縮小,圖案轉移難度加大,光刻機的重要性和開支占比有望繼續提升。圖60.全球半導體資本開支(十億美元)圖61.光刻設備占比最高 數據來源:IC Insight,財通證券研究所 數據來源:SEMI 財通證券研究所 據觀研天下估算 2021 年全球光刻機市場規模為 181 億美元,預計 2022 年將達到 201 億美元。與光刻設備配套的顯影涂膠設備 2021 年市場規模超 30 億美元。圖62.全球光刻機市場規模(億美元)圖63.全球顯影涂膠設備市場規模(億美元)數據來源:觀研天下,財通證券研究所 數據來
76、源:觀研天下,財通證券研究所-10.0%0.0%10.0%20.0%30.0%40.0%50.0%050100150200設備金額yoy光刻機,24%蝕刻機20%薄膜沉積,20%清洗,5.5%測試,9%封裝,6%其他,15.5%謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 34 行業深度分析報告/證券研究報告 光刻機銷售數量的高速增長,與光刻設備單價的提升,有力推動了全球光刻設備市場的不斷擴大。2020年起,疫情導致電子產品需求增加,新能源車滲透率提升等事件的影響,全球半導體市場步入景氣周期。據 IC Insights 統計,2020-2022 年全球半導體市場規模預計將從 4926 億美
77、元增長到 6548 億美元。在此期間,晶圓廠積極擴產,光刻機交付周期不斷延長。為保證設備交付,各晶圓廠紛紛提前下單訂購設備,進一步推升了光刻機的銷量。圖64.ASML 公司 EUV 與非 EUV 設備收入占比 圖65.ASML 公司各類光刻機出貨量變化(臺)數據來源:ASML,財通證券研究所 數據來源:ASML,財通證券研究所 出貨量不斷增加的同時,光刻機單價也不斷提升。隨著芯片制程不斷升級,所需光刻機種類發生變化;邏輯制程從 5 納米節點開始,必須使用 EUV光刻機,光刻設備開支占比明顯提升;DRAM 芯片從 1A 節點開始逐步采用 EUV光刻機;3D NAND 芯片由于多層疊堆技術的發明,
78、仍使用較老式的光刻機,光刻設備開支占比有所下降。整體上 ArFi 和 EUV 高端光刻機占比有所提升;單臺 EUV光刻機售價超過 1 億美元,推高了平均售價。圖66.不同工藝制程的光刻機開支占比 數據來源:ASML,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 35 行業深度分析報告/證券研究報告 3.2 ASML 擁有近乎壟斷市場地位擁有近乎壟斷市場地位 圖67.光刻機市場的競爭格局 數據來源:ofweek,財通證券研究所 半導體前道光刻機市場被 ASML、Nikon、Canon 三家公司把持,市場集中度高,TOP3 市場占有率超過 90%。其中 ASML 由于其技術領先
79、,壟斷了單臺價值量最高 EUV光刻機;ASML也憑借自身在浸沒式系統和雙工件臺的先發創新,占據了ArF和 KrF領域的大部分市場。日本 Nikon 在 ArF領域有一定的技術積累,但其工件臺等設計與行業主流不同,客戶接受度較低,近兩年光刻機銷量持續下降。佳能已經完全退出高端光刻機市場,出貨量上升主要原因是 i-ine 光刻機出貨量大幅增長。圖68.光刻機 TOP3 出貨量變動情況 圖69.2021 年 TOP3 光刻機出貨量(單位:臺)數據來源:華經產業研究院,財通證券研究所 數據來源:Chipinsight,財通證券研究所 就出貨機臺數量而言,ASML占 79.4,Nikon 與 Canon
80、分別占據 10.4和 10.2的市場份額。日本 Canon 公司 2021 年光刻設備銷售金額 2137 億日元(19.6 億美 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 36 行業深度分析報告/證券研究報告 元,包括 67臺面板光刻設備);Nikon公司銷售光刻設備 2112 億日元(19.37億美元,包括 46臺面板光刻設備)。而 ASML公司 2021年銷售金額為 186億歐元,全部為前道光刻設備,相對兩家日本企業的領先優勢不斷擴大。3.3 ASML 的發展歷程的發展歷程 ASML難以撼動的行業地位并非一蹴而就,其最早是 1984年飛利浦因為經營危機放棄非核心業務而成立的一家小公
81、司。ASML 于成立當年推出第一款產品 PAS 2000步進重復式光刻機。1985年,擁有 100 名員工的 ASML搬遷到新總部,1986年推出新款的 PAS 2500光刻機,并與德國的重要供應商蔡司(ZEISS)建立了合作關系。圖70.ASML 全球生產研發布局圖 圖71.ASML 歷年營收規模(單位:百萬歐元)數據來源:ASML,財通證券研究所 數據來源:ASML,財通證券研究所 1988年,ASML通過飛利浦在中國臺灣的合資制造企業,進入亞洲市場,并在美國設立了 5個辦事處。但當時激烈的市場競爭環境,使得 ASML的財務壓力極大,只能依靠飛利浦的支持繼續開展研發。1991 年,ASML
82、推出 PAS 5500型光刻機,其行業領先的產能和分辨率得到客戶認可,開始逐步實現盈利,并于 1995 年上市。ASML 此后高速發展,于 2001 年推出TWINSCAN雙工作臺,之后幾年推出了TWINSCAN XT系列浸沒式光刻機,市場份額快速增長。2010年 ASML成功完成第一臺 EUV光刻機樣機 NXE 3100,并成為 EUV光刻機的唯一廠商。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 37 行業深度分析報告/證券研究報告 圖72.ASML 發展歷史 數據來源:The Wave,財通證券研究所 ASML公司的快速發展,離不開與客戶的緊密協作。臺積電(TSMC)早期曾通過交叉協
83、議采用飛利浦的技術生產芯片,因此也與其子公司ASML保持著密切協作;雙方在浸沒式光刻的研發上一拍即合,奠定了 ASML 浸沒式光刻機的領先地位。ASML 在與英特爾的合作中也受益頗豐。ASML 加入了英特爾聯合政府、企業建立了 EUV技術聯盟。英特爾協調美國能源部及其下屬三大國家實驗室:勞倫斯利弗莫爾國家實驗室、桑迪亞國家實驗室和勞倫斯伯克利實驗室,為 ASML 推進EUV技術的研發開放了大量技術資源,進一步擴大了對其他企業的領先優勢。此外,ASML 允許其大客戶對其進行少數股權投資,英特爾、臺積電、三星投資總計約 39 億歐元取得 23%的股份,并提供 EUV 研發資金 13.8億歐元,享受
84、 EUV光刻機的優先供貨權,成功構筑了利益共同體。表1.ASML 產品(包括光刻與量測設備)產品圖片 產品名稱 光源/射線源 技術節點 光刻機:TWINSCAN NXE:3400C TWINSCAN NXE:3600D EUV 13.5nm 波長 3nm-7nm 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 38 行業深度分析報告/證券研究報告 光刻機:TWINSCAN NXT:2050i TWINSCAN NXT:2000i TWINSCAN NXT:1980i TWINSCAN NXT:1970Ci ArFi 193nm(等效 134nm)波長 55nm-7nm 光刻機:TWINSCA
85、N NXT:1470K TWINSCAN XT:1460K ArF 193nm 波長 65nm 及以上 光刻機:TWINSCAN XT:1060K TWINSCAN NXT:870K TWINSCAN XT:860N TWINSCAN XT:860M KrF 248nm 波長 110nm 及以上 光刻機:TWINSCAN XT:400L i-line 365nm 波長 220nm 及以上 量測設備:YieldStar 1385 YieldStar 1375F YieldStar 380G YieldStar 375F 425nm-885nm 波長光源(未披露)量測設備:HMI eScan 11
86、00 HMI eScan 1000 多束電子束(未披露)謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 39 行業深度分析報告/證券研究報告 量測設備:HMI eScan 600 HMI eP5 電子束(未披露)數據來源:ASML 官網,財通證券研究所 ASML 公司也格外重視上游關鍵供應鏈,通過收購 Cymer,入股蔡司,獲取了光源、鏡頭等領先技術,加速了 EUV 光源和光學系統的研發進程。此外 ASML 也與 VDL,Aallberts,Trumpf,Prodrive 等公司保持密切合作。圖73.ASML 生態圈 數據來源:ASML,財通證券研究所 3.4 聚焦成熟制程,光刻設備國產化亟
87、待發力聚焦成熟制程,光刻設備國產化亟待發力 我國我國的的光刻光刻機產業起步于機產業起步于 1960 年代年代,109 廠與上海光學儀器廠協作研制成功我國第一臺 65 型接觸式光刻機。1978年中科院半導體所開始研制 JK-1 型半自動接近式光刻機,1980 年研制成功。1981年完成第二階段工藝試驗,同年上海光學機械廠的研制的 JKG-3 型光刻機通過鑒定與設計定型。第四十五所于 1985 年成功研制 BG-101 步進式光刻機,并通過了技術鑒定,性能指標接近美國 GCA 公司 4800DSW 系統的水平。同年,中國科學院上海光學精密機械研究所研制的掃描式投影光刻機通過鑒定。但在 80 年代后
88、期與 90 年代,由于海外集成電路的強大競爭力,我國光刻我國光刻機及機及相關技術進展緩慢相關技術進展緩慢,相關產品多止,相關產品多止步于科研項目,缺乏產線量產驗證步于科研項目,缺乏產線量產驗證。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 40 行業深度分析報告/證券研究報告 圖74.中國光刻機發展歷程 數據來源:上海微電子官網,半導體觀察,財通證券研究所 2002 年,上海微電子上海微電子裝備裝備有限公司有限公司(SMEE)成立成立,并承擔了 863 計劃中的 100納米分辨率納米分辨率 Arf 光刻機光刻機項目。通過參與 863計劃與 02 專項,上海微電子掌握了光刻機多項關鍵技術,并
89、于 2016 年推出用于 IC 前道制造的 600 系列光刻機,工藝覆蓋 90納米、110 納米和 280納米,為浸沒式光刻機的研發奠定了良好的基礎。圖75.上海微電子發展歷程 數據來源:上海微電子官網,財通證券研究所 作為中國國內唯一的光刻機整機廠商,上海微電子在光刻領域的布局較為完善,覆蓋了集成電路前道制造光刻、后道封裝光刻、6 寸及以下襯底光刻、面板光刻等多個領域。其中在后道封裝領域,上海微電子已經占據了中國國內 80%,全球 40%的市場份額。除服務集成電路產業外,上海微電子的光刻機也廣泛應用于集成電 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 41 行業深度分析報告/證券研究報
90、告 路前道、先進封裝、FPD面板 MEMS、LED、Power Devices 等制造領域。圖76.600 系列光刻機 集成電路前道制造 數據來源:上海微電子官網,財通證券研究所 表2.600 系列光刻機性能參數 型號 SSA600/20 SSC600/10 SSB600/10 分辨率 90nm 110nm 280nm 曝光光源 ArF excimer laser KrF excimer laser i-line mercury lamp 鏡頭倍率 1:4 1:4 1:4 數據來源:上海微電子官網,財通證券研究所 SSX600 系列步進掃描投影光刻機采用四倍縮小倍率的投影物鏡、工藝自適應調焦調
91、平技術,以及高速高精的自減振六自由度工件臺掩模臺技術,可滿足 IC前道制造 90nm、110nm、280nm關鍵層和非關鍵層的光刻工藝需求。該設備可用于 8 寸線或 12寸線的大規模工業生產。圖77.500 系列光刻機 IC 后道先進封裝 數據來源:上海微電子官網,財通證券研究所 表3.300 系列光刻機 LED、MEMS、Power Devices 制造 型號 SSB500/40 SSB500/50 分辨率 2m 1m 曝光光源 ghi-line/gh line/i-line mercury lamp ghi-line/gh line/i-line mercury lamp 硅片尺寸 200
92、mm/300mm 200mm/300mm 數據來源:上海微電子官網,財通證券研究所 SSB500 系列步進投影光刻機主要應用于 200mm/300mm 集成電路先進封裝領域,包括 Flip Chip、Fan-In WLP、Fan-Out WLP 和 2.5D/3D等先進封裝形式,可滿足Bumping、RDL和 TSV 等制程的晶圓級光刻工藝需求。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 42 行業深度分析報告/證券研究報告 圖78.300 系列光刻機 集成電路前道制造 數據來源:上海微電子官網,財通證券研究所 表4.600 系列光刻機性能參數 型號 SSB300 SSB320 SSB3
93、80 分辨率 0.8m 2m 1.5m 曝光光源 i-line mercury lamp i-line mercury lamp i-line mercury lamp 基底尺寸 100/150 mm 100/150 mm 100/150mm 工藝應用 支持 LED PAD/PSS 工藝 支持 LED PAD 工藝 支持 LED PAD 工藝 數據來源:上海微電子官網,財通證券研究所 SSB300 系列步進投影光刻機面向 6 英寸以下中小基底先進光刻應用領域,滿足HB-LED、MEMS和 Power Devices 等領域單面或雙面光刻工藝需求。SSB200 系列投影光刻機采用先進的投影光刻機
94、平臺技術,專用于 AM-OLED 和LCD 顯示屏 TFT 電路制造,可應用于 2.5 代6代的 TFT 顯示屏量產線。該系列設備具備高分辨率、高套刻精度等特性,支持 6英寸掩模。圖79.200 系列面板光刻機 數據來源:上海微電子官網,財通證券研究所 表5.200 系列光刻機性能參數 型號 SSB225/20 SSB245/10 SSB260/10T 分辨率 2m L/S-1.5m L/S 2m L/S-1.5m L/S 2m L/S-1.5m L/S 套刻精度 0.6m-0.5m-0.6m-0.5m 0.6m-0.5m 基底尺寸 370mm470mm 500mm500mm 730mm920
95、mm 1300mm1500mm 1500mm1850mm 數據來源:上海微電子官網,財通證券研究所 以上海微電子為首的國內光刻機產業鏈已初具雛形,但除光刻機整機集成外,還包括光源、物鏡與照明系統、雙工件臺、浸沒系統等關鍵組成部分,與顯影涂膠及量測檢測的配套設備。在分工上,上海微電子負責光刻機設計總裝,北京科益虹源生產光源系統,北京 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 43 行業深度分析報告/證券研究報告 國望光學供應物鏡投影系統,國科精密提供照明系統,浙江啟爾機電提供浸沒系統,華卓精科研發雙工件臺。美??萍寂c蘇大維格為國產光刻機提供空氣凈化器與光柵;炬光科技與福晶科技為 ASML
96、供應商,未來也有望參與光刻國產化。圖80.中國光刻機相關技術 數據來源:各公司官網,清華-伯克利學院官網,財通證券研究所 4 投資建議:整機尚需時日,配套設備與零件先行投資建議:整機尚需時日,配套設備與零件先行 4.1 蘇大維格:蘇大維格:發力非發力非 IC 光刻機與多種光學元件光刻機與多種光學元件 蘇大維格深耕微納光學產業,通過研發積累和不斷的收購擴張,已經建立了較為完善的微納光學生產體系。公司業務涵蓋上游光學制造設備與多種微納光學產品;公司與多方合作設立研發創新中心,開展底層關鍵技術研究。公司整體布局分為四大事業群,產品包括多種光刻機光刻機、壓印設備壓印設備、光刻機光柵光刻機光柵防偽材料、
97、新型包裝材料、導光板、導電膜等。運用于 AR 顯示的光波導鏡片等也正在研發當中。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 44 行業深度分析報告/證券研究報告 圖81.蘇大維格產品與技術布局 數據來源:蘇大維格年報,財通證券研究所 蘇大維格的設備產品,主要包括光刻設備和微納光學裝備兩類,均系公司自主研發設計生產;其設備滿足公司自身高端光學產其設備滿足公司自身高端光學產品品生產需要生產需要。蘇大維格通過持續迭代與升級,逐步構建了模塊化、可升級和快速配置的光刻機平臺。圖82.蘇大維格的各類光刻設備 數據來源:蘇大維格官網,財通證券研究所 蘇大維格的蘇大維格的光刻機光刻機,為公司為公司的的產
98、品產品與與技術技術提供可靠的研發提供可靠的研發生產平臺生產平臺;亦為公司產品性能提升提供了堅實基礎和有力保障,已經使用在納米透鏡、全息透鏡納米透鏡、全息透鏡、裸眼裸眼3D 納米導光納米導光板板、光子晶體陣列光子晶體陣列、納米光柵納米光柵、動態衍射光學圖形動態衍射光學圖形、納納米透鏡陣米透鏡陣列列的的制造中制造中;通過微納光學產品與上游制造裝備的齊頭并進協調發展,公司有望繼續保持在相關設備領域的優勢。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 45 行業深度分析報告/證券研究報告 圖83.蘇大維格的光學產品 數據來源:蘇大維格官網,財通證券研究所 蘇大維格的產品也包括反光材料反光材料與微納
99、光學產品微納光學產品。反光材料反光材料的的成本中成本中,化工原料化工原料占占 40%,受受大宗商品大宗商品價格波動影響大價格波動影響大;微納光學產品中,;微納光學產品中,導光板和導電膜產品用于面板和消費電子領域;包裝與防偽材料,用于高端消費品包裝和證件防偽領域。圖84.蘇大維格各類產品營收情況(單位:億元)數據來源:WIND,財通證券研究所 2021 到 2022 年的一系列事件,造成能源等大宗商品價格波動嚴重,下游客戶開工意愿較低,拖累了反光材料的毛利率;同時疫情擾動導致消費增長乏力,包裝材料、導光板、觸控模組等產品的成長均不理想。疊加反光材料子公司資產減值,蘇大維格 2022 年預計全年實
100、現歸母凈利潤-2.6 億元至-3.6 億元。隨著疫情回歸常隨著疫情回歸常態化防控與消費復蘇,態化防控與消費復蘇,2023 年公司各類業務有望全面回升,實現扭虧為盈。年公司各類業務有望全面回升,實現扭虧為盈。6.587.89.5213.44.474.844.23.61051015202018201920202021微納光學產品反光材料設備其他 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 46 行業深度分析報告/證券研究報告 圖85.AR-HUD 圖86.蘇大維格光波導鏡片 數據來源:Smart Auto Club,財通證券研究所 數據來源:蘇大維格公眾號,財通證券研究所 蘇大維格在納米光場
101、調控 3D 顯示、增強現實光波導增強現實光波導 AR 鏡片鏡片、等領域進行了前瞻性布局,并積極與相關產業方合作。在 AR/VR 領域,公司攻克了納米波導光場鏡片批量化關鍵技術,相關業務有望在未來實現較快增長。此外,在消費電子之外的汽車領域,公司開發了用于 AR-HUD 的大幅面光波導模組,具備超薄、大視場、遠虛像視距的顯示效果。目前,公司正推進相關技術的進一步研發,與下游頭部企業對接下游頭部企業對接 AR-HUD 的技術和產品應用的技術和產品應用。圖87.光伏銅電鍍技術以銅代銀 數據來源:Duramat,財通證券研究所 蘇大維格在光伏領域,積極拓展蘇大維格在光伏領域,積極拓展自身自身設備設備和
102、產品技術的和產品技術的市場。市場。隨著光伏高效電池擴產落地,光伏電池用銀需求將快速增長,銀漿耗用量增大是限制光伏行業持續推進降本增效的痛點之一。蘇大維格的光刻機,蘇大維格的光刻機,在在銅電鍍銅電鍍光伏光伏的的圖案化圖案化工藝方面,工藝方面,有著廣闊的發展潛力。有著廣闊的發展潛力。銅電鍍光伏技術的加速滲透,蘇大維格也有望在相關領域實現突破。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 47 行業深度分析報告/證券研究報告 4.2 茂萊光學:供應多種前道光刻機零件茂萊光學:供應多種前道光刻機零件 茂萊光學專注于精密光學領域,是國內領先的工業級精密光學廠商。公司掌握拋光技術、鍍膜技術、多棱鏡膠合
103、技術,部分工藝可實現納米級精度;在半導體應用領域,公司的精密光學產品主要應用于半導體檢測和光刻機中,根據弗若斯特沙利文的報告,2021年公司在全球半導體領域工業級精密光學市場的占有率為3.0%。表6.茂萊光學的半導體領域產品及應用 產品圖例 產品名稱 應用領域 應用實例 3D 檢測鏡頭 主要應用于半導體 3D 掃描傳感器中,是錫焊膏檢測、自動光學檢測(AOI)、坐標檢測(CMM)系統的重要光學組件 紫外鏡頭 主要應用于晶圓缺陷檢測,是保證半導 體檢測系統像質的重要組件,可實現較高的分辨率和檢測通量。半導體 DUV 光 學透鏡 用于光刻機光學系統照明、曝光模塊,是保證光刻機高成像質量的關鍵組件。
104、半導體檢測 光學模組 該產品主要用于半導體裝備晶圓缺陷檢測系統中,可提升有效視場范圍,提高檢測速度 數據來源:茂萊光學招股說明書,財通證券研究所 半導體檢測設備中的光學成像系統對半導體檢測效果有關鍵影響,茂萊光學主要為半導體檢測設備提供高精度的光學顯微成像鏡頭及系統,產品具備更高分辨率、更大檢測面積,能夠較大地提高晶圓檢測設備的缺陷甄別能力及測量通量。公司目前已與 Camtek、KLA 等全球知名半導體檢測裝備商建立合作。公司為光刻機光學系統提供用于勻光、中繼照明模塊的光學器件、投影物鏡,以及用于工件臺位移測量系統的棱鏡組件,是光刻機實現光線均勻性與曝光成像的關鍵模塊。茂萊光學的產品已應用于上
105、海微電子等國產廠商的光刻機中,有望為光刻機國產化提供較好支撐。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 48 行業深度分析報告/證券研究報告 4.3 芯源微芯源微:光刻機配套顯影涂膠設:光刻機配套顯影涂膠設備備先行者先行者 沈陽芯源微成立于 2002 年,由中科院沈陽自動化研究所發起,公司產品包括涂膠機、顯影機、噴膠機、去膠機、濕法刻蝕機、單片清洗機。公司公司涂膠顯影涂膠顯影相關技相關技術積累深厚,術積累深厚,涂膠顯影機涂膠顯影機 offline、I-line、KrF 設備全部實現批量銷售并正在快速設備全部實現批量銷售并正在快速放量放量,并陸續獲得中芯京城、上海華力、長江存儲、合肥長鑫
106、、武漢新芯、廈門士蘭集科、上海積塔、株洲中車、青島芯恩、中芯紹興、中芯寧波、昆明京東方等大客戶的訂單。芯源微于芯源微于 2022年年 11月月 30正式推出正式推出浸沒式浸沒式 ArF涂膠顯影機涂膠顯影機,該產品具有高產能、高工藝能力、高潔凈度、高擴展性和易維護性等優勢。目前,該款機型已通過客戶端驗證,達到客戶量產要求,成功打破國外壟斷。公司高額進行研發投入,2022年前三季度累計研發投入 0.95億。此外,公司的新上海臨港廠區已于 2022年 8月 5 日動工,用于生產前道 ArF涂膠顯影機。充足的研發支出和配套生產設施的建設有望保障高端 ArF 設備順利投產,設備順利投產,并盡快擴大并盡快
107、擴大ArF 產品的工藝覆蓋率產品的工藝覆蓋率,有力提升公司盈利能力。圖88.芯源微顯影涂膠(噴膠)設備 數據來源:芯源微官網,財通證券研究所 4.4 精測電子:光刻涂膠顯影后電路量測設備精測電子:光刻涂膠顯影后電路量測設備 武漢精測電子集團股份有限公司創立于 2006 年 4 月,公司于 2018 年進軍半導體設備領域,成立上海精測半導體技術有限公司。上海精測半導體所生產的上海精測半導體所生產的 OCD(光光 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 49 行業深度分析報告/證券研究報告 學關鍵尺寸測量設備學關鍵尺寸測量設備)與與 CD-SEM(關鍵尺寸掃描電鏡)可用于光刻顯影涂膠(關
108、鍵尺寸掃描電鏡)可用于光刻顯影涂膠后,后,電路圖案的檢查。公司的電路圖案的檢查。公司的 OCD 設備已通過工藝驗證并實現小批量出貨。設備已通過工藝驗證并實現小批量出貨。圖89.精測電子可用于光刻工藝檢測測量的產品 數據來源:精測電子官網,財通證券研究所 4.5 盛美上海盛美上海:開發顯影涂膠設備,擴大產品工藝覆蓋:開發顯影涂膠設備,擴大產品工藝覆蓋 盛美上海成立于 2005 年,公司集研發、設計、制造、銷售于一體,主要產品包括半導體清洗設備、光刻光刻配套配套顯影涂膠設備顯影涂膠設備、半導體電鍍設備和先進封裝濕法設備等。盛美上海于 2013 年開發了首個封裝涂膠顯影機,并于 2014 年交付了給
109、客戶。2022年 12 月 29 日,公司的 ArF 涂膠顯影 Track 設備 Ultra LITH 成功出機,向中國國內客戶交付。該設備應用于 300 毫米晶圓產線,能實現與光刻機的聯機工作,可提供均勻的下降氣流、高速穩定的機械手處理以及強大的軟件系統。該設備共有 4 個適用于 12 英寸晶圓的裝載口,8 個涂膠腔體、8 個顯影腔體可實現精確控溫和低破損,并支持 12 個涂膠腔體及 12 個顯影腔體拓展。設備每小時晶圓產能可達 300 片,增配后能達到每小時 400 片以上的產能。圖90.ArF 工藝涂膠顯影 Track 設備 ULTRA LITH 產品圖 數據來源:上海盛美官網,財通證券
110、研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 50 行業深度分析報告/證券研究報告 4.6 美??萍济腊?萍迹河辛ΡWC光刻凈化環境:有力保證光刻凈化環境 美??萍甲猿闪⒅掌?,即專注于電子潔凈室行業,在長期的運營和研發中積累了大量半導體領域的相關經驗。公司所生產的風機過濾單元(FFU)、高效過濾器、超高效過濾器、化學過濾器等產品,用于維護中芯國際較高端的 14 與 28 納米產線廠房的空氣潔凈度。上海微電子裝備公司開發國內首臺 ArFi 光刻設備,機臺內潔凈環境等級需要達到國際最高標準(ISO Class 1 級)。美??萍继峁┝斯饪堂腊?萍继峁┝斯饪虣C機所需的所需的 EFU(超薄
111、型設備端自帶風機過濾機組)及(超薄型設備端自帶風機過濾機組)及 ULPA(超高效過濾器)等產(超高效過濾器)等產品品,并通過了相關驗收。并通過了相關驗收。圖91.美??萍籍a品結構 圖92.上海微電子的高潔凈度環境 數據來源:美??萍颊泄烧f明書,財通證券研究所 數據來源:美??萍脊倬W,財通證券研究所 4.7 福晶科技福晶科技:激光晶體打入:激光晶體打入 ASML 供應鏈供應鏈 福晶科技于 1990年,由中國科學院福建物質結構研究所設立,2008年 3月于深交所上市。公司是全球知名的 LBO 晶體、BBO 晶體、Nd:YVO4 晶體、磁光晶體、精密及超精密光學元件、高功率光隔離器、聲光及電光器件的
112、龍頭廠商,產品廣泛應用于激光、光通訊、半導體、AR/VR、生命科學、無人駕駛、檢測分析儀器等諸多工業領域。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 51 行業深度分析報告/證券研究報告 圖93.福晶科技發展歷程 圖94.福晶科技產品 數據來源:福晶科技官網,財通證券研究所 數據來源:福晶科技官網,財通證券研究所 經過三十余年的發展,公司在晶體生長、光學加工、器件合成、市場營銷、技術服務、業務管理等方面積累了豐富的經驗,成 為業內少數提供“晶體+光學元件+激光器件”一站式綜合服務的供應商。公司設立了研發中心,注重研發投入、技術開發、人才培養和協同創新。公司自主開發了晶體生長爐,擁有國際先
113、進的鍍膜和檢測設備,建立了“原料合成晶體生長定向切割粗磨拋光鍍膜”完整的加工鏈。4.8 炬光科技炬光科技:光刻機電子及光學元件供應商:光刻機電子及光學元件供應商 炬光科技成立于 2007 年 9 月,目前擁有應用于 光刻光刻、邏輯芯片、功率器件及存儲芯片退火的光學元器件和激光模塊與系統。其中,公司生產的光場勻化器光場勻化器,能能實現對激光光束的高度勻化,滿足光刻實現對激光光束的高度勻化,滿足光刻機等機等高端應用需求,已供應荷蘭高端應用需求,已供應荷蘭 ASML 的的核心光學系統供應商。核心光學系統供應商。公司下游光刻市場的需求增長強勁公司下游光刻市場的需求增長強勁,2022 年上半年,炬光科技
114、泛半導體激光器產品已達 1.27 億元,較上年同期 0.94億元有較大增長。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 52 行業深度分析報告/證券研究報告 圖95.炬光科技發展歷程 數據來源:炬光科技官網,財通證券研究所 公司為光刻機等光刻機等半半導體和導體和面板面板設備設備制造商等提供核心元器件,產品應用于先進制造、醫療健康、科學研究、汽車應用、消費電子五大領域。表7.炬光科技的主要產品 產品線 典型產品名稱 產品圖片 產品功能及應用領域 半導體激光元器件 GS04 系列 QCW 傳導冷卻半導體激光器垂直陣列(準連續)傳導冷卻半導體激光器垂直疊陣,高峰值功率的激光應用于遠距離測照,解
115、決了由于空氣散射等原因造成激光探測距離誤差較大的問題。同時能夠在高溫、震動等條件下工作,解決了在不同環境下的測照可靠性問題。作為固體激光器的泵浦源,最終應用于激光測照、科學研究等領域。VS300 系列200W/bar CW微通道冷卻半導體激光器垂直疊陣 替代部分傳統的加工制造工藝,以高能激光作為能量源,利用激光束與物質相互作用,實現材料表面處理等加工處理。例如激光熔覆替代傳統的表面鍍鉻,可顯著降低對環境的污染??芍苯討糜诓牧霞庸ず途A退火等先進制造領域;也可作為固體激光器的泵浦源,應用于科學研究等領域。FCMSE55 系列25W 多單管光纖耦合模塊 通過半導體激光對病灶區域附近進行照射,IC
116、G 熒光劑會被激發而產生波長更長的紅外光,最終通過 CCD 成像精準捕捉病灶區域,從而輔助醫生進行手術精準治療。作為激光光源,應用于激光熒光造影等醫療設備領域,實現手術輔助精準治療。MF3013-500W巴條耦合模塊 相對于傳統的超聲波焊接、振動焊接、熱板焊接等方法,激光塑料焊接加工精度較高,可實現精確控制,可焊接尺寸小或外形結構復雜的工件,焊接過程產生的熔渣少,無耗材。主要應用于固體激光器泵浦,或作為直接半導體激光光源用于塑料焊接工業加工等領域。激光光學元器件 快軸準直鏡/慢軸準直鏡 壓縮激光光束的發散角,使激光光束更容易耦合進入光纖。準直后的發散角越小,激光進入光纖的耦合效率越高,從而提升
117、激光器芯片發射功率的利用效率。主要應用于光纖激光器泵浦源生產,最終應用于工業加工領域。光束轉換器 光束轉換器對激光陣列芯片的快慢軸進行旋轉,使其快慢軸光束質量盡可能對稱,使光束能更容易耦合進入光纖,提升光纖耦合效率,實現高功率的光纖耦合半導體激光器。謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 53 行業深度分析報告/證券研究報告 5 風險提示風險提示 半導體行業景氣度下滑,光刻設備需求不及預期半導體行業景氣度下滑,光刻設備需求不及預期:光刻及配套設備的需求量與下游晶圓廠擴產進度密切相關。2022 年下半年以來,全球各大晶圓廠開始逐步削減產能和資本開支,國內晶圓廠的產能利用率也出現下滑,可
118、能拖累國產內光刻產業鏈設備和零部件的需求。貿易保護主義等因素延緩國內晶圓廠擴產步伐貿易保護主義等因素延緩國內晶圓廠擴產步伐:美國行政當局于 2022 年 10 月出臺針對中國企業的新限制措施,對國內晶圓廠造成一定沖擊,若擴產大幅放緩,會對國內光刻產業鏈的市場需求造成較大影響。光刻設備與組件技術難度大,研發進度慢于預期光刻設備與組件技術難度大,研發進度慢于預期:光刻設備涉及到光學、電子學、算法等領域的高難度技術,成功進入量產產線需要在客戶端進行較長時間的工藝驗證;光刻設備及零件研發難度極大,若研發或工藝驗證進度不及預期,會拖累相關企業未來的業績。主要用于高功率光纖耦合半導體激光器的生產,最終應用
119、于工業加工領域。一體化準直鏡 基于雙面結構的透鏡實現對激光器快、慢軸兩個方向的同時準直,從而減少單個透鏡的使用數量,實現體積小的激光器封裝形式。主要應用于封裝形式緊湊的激光器,最終應用于激光投影顯示等領域。光纖耦合器 將激光陣列芯片每個發光點發出的光進行光學整形,從而使光束更容易耦合進入光纖,形成光纖耦合高功率半導體激光模塊。主要應用于高功率光纖耦合半導體激光器的生產,最終應用于工業加工領域。光場勻化器 采用優質材料制成,例如熔融石英、CaF2,適用于多種不同激光源。在不同的晶圓尺寸(最大 300 mm)上生產高精度的自由曲面透鏡,可生成多種光束形狀-平頂、矩形、方形和線形-典型發散角從 0.
120、20 度到 11.21 度。通過光場勻化器對激光光斑進行勻化,可實現對半導體晶圓表面均勻曝光,提升良率。應用于光刻機的曝光系統,將激光光場強度進行勻化。微透鏡陣列 使用高折射率玻璃材質,可將激光光束在單個方向上擴散,視場角可達160。使用折射光學元件(ROE)技術,無零級衍射,無熱點。將激光均勻投射在較小的視場角范圍,使光強均勻分布。應用于激光雷達發射模組、3D 成像等領域。廣角勻化擴散器 使用高折射率玻璃材質,可將激光光束在單個方向上擴散,視場角可達160。使用折射光學元件(ROE)技術,無零級衍射,無熱點。將激光均勻投射在較大的視場角范圍,同時實現光強在整個視場角范圍內的按照一定曲線函數分
121、布。應用于激光雷達、3D 成像、機器視覺檢測、醫療健康等領域。微光學晶圓 通過精密劃切技術生產小微尺寸單只硅棱鏡、柱鏡等短波、中波紅外用途光學器件,可實現大矢高偏心微透鏡陣列、閃耀光柵、啁啾陣列或集成棱鏡。應用于制備微光學透鏡等元器件。數據來源:炬光科技公司官網,公司 2022 年半年報,財通證券研究所 謹請參閱尾頁重要聲明及財通證券股票和行業評級標準 54 行業深度分析報告/證券研究報告 分析師承諾分析師承諾 作者具有中國證券業協會授予的證券投資咨詢執業資格,并注冊為證券分析師,具備專業勝任能力,保證報告所采用的數據均來自合規渠道,分析邏輯基于作者的職業理解。本報告清晰地反映了作者的研究觀點
122、,力求獨立、客觀和公正,結論不受任何第三方的授意或影響,作者也不會因本報告中的具體推薦意見或觀點而直接或間接收到任何形式的補償。資質聲明資質聲明 財通證券股份有限公司具備中國證券監督管理委員會許可的證券投資咨詢業務資格。公司評級公司評級 買入:相對同期相關證券市場代表性指數漲幅大于 10%;增持:相對同期相關證券市場代表性指數漲幅在 5%10%之間;中性:相對同期相關證券市場代表性指數漲幅在-5%5%之間;減持:相對同期相關證券市場代表性指數漲幅小于-5%;無評級:由于我們無法獲取必要的資料,或者公司面臨無法預見結果的重大不確定性事件,或者其他原因,致使我們無法給出明確的投資評級。行業評級行業
123、評級 看好:相對表現優于同期相關證券市場代表性指數;中性:相對表現與同期相關證券市場代表性指數持平;看淡:相對表現弱于同期相關證券市場代表性指數。免責聲明免責聲明 本報告僅供財通證券股份有限公司的客戶使用。本公司不會因接收人收到本報告而視其為本公司的當然客戶。本報告的信息來源于已公開的資料,本公司不保證該等信息的準確性、完整性。本報告所載的資料、工具、意見及推測只提供給客戶作參考之用,并非作為或被視為出售或購買證券或其他投資標的邀請或向他人作出邀請。本報告所載的資料、意見及推測僅反映本公司于發布本報告當日的判斷,本報告所指的證券或投資標的價格、價值及投資收入可能會波動。在不同時期,本公司可發出
124、與本報告所載資料、意見及推測不一致的報告。本公司通過信息隔離墻對可能存在利益沖突的業務部門或關聯機構之間的信息流動進行控制。因此,客戶應注意,在法律許可的情況下,本公司及其所屬關聯機構可能會持有報告中提到的公司所發行的證券或期權并進行證券或期權交易,也可能為這些公司提供或者爭取提供投資銀行、財務顧問或者金融產品等相關服務。在法律許可的情況下,本公司的員工可能擔任本報告所提到的公司的董事。本報告中所指的投資及服務可能不適合個別客戶,不構成客戶私人咨詢建議。在任何情況下,本報告中的信息或所表述的意見均不構成對任何人的投資建議。在任何情況下,本公司不對任何人使用本報告中的任何內容所引致的任何損失負任何責任。本報告僅作為客戶作出投資決策和公司投資顧問為客戶提供投資建議的參考??蛻魬敧毩⒆鞒鐾顿Y決策,而基于本報告作出任何投資決定或就本報告要求任何解釋前應咨詢所在證券機構投資顧問和服務人員的意見;本報告的版權歸本公司所有,未經書面許可,任何機構和個人不得以任何形式翻版、復制、發表或引用,或再次分發給任何其他人,或以任何侵犯本公司版權的其他方式使用。信息披露信息披露