《半導體行業報告:Chiplet緩解先進制程焦慮行業巨頭推進產業發展-230326(47頁).pdf》由會員分享,可在線閱讀,更多相關《半導體行業報告:Chiplet緩解先進制程焦慮行業巨頭推進產業發展-230326(47頁).pdf(47頁珍藏版)》請在三個皮匠報告上搜索。
1、請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 Table_MainInfo Table_Title0 2023.03.26 Chiplet 緩解先進制程焦慮,行業巨頭推進產業發展緩解先進制程焦慮,行業巨頭推進產業發展 半導體行業報告半導體行業報告 王聰王聰(分析師分析師)舒迪舒迪(分析師分析師)文紫妍文紫妍(研究助理研究助理)021-38676820 021-38676666 021-38038321 證書編號 S0880517010002 S0880521070002 S0880121070034 本報告導讀:本報告導讀:Chiplet 作為延續摩爾定律、作為延續摩爾定律
2、、緩解緩解先進制程先進制程焦慮焦慮的主要技術之一,的主要技術之一,可將存儲、邏輯等可將存儲、邏輯等多芯片進行異構集成,在芯片突破更高性能的同時有效降低成本,多芯片進行異構集成,在芯片突破更高性能的同時有效降低成本,規?;涞乜善?。規?;涞乜善?。摘要:摘要:Table_Summary0 維持維持行業行業“增持增持”評級評級。摩爾定律放緩,先進制程受阻,Chiplet 作為延續摩爾定律、緩解先進制程焦慮的主要技術之一,規?;涞乜善?。Chiplet 整體生態仍處于發展早期,其主要抓手為高密度封裝技術的突破,受益環節主要在封測端和相關設備材料環節,維持行業“增持”評級。推薦長電科技(600584.
3、SH)、通富微電(002185.SZ)、華天科技(002185.SZ)、甬矽電子(688362.SH)、晶方科技(603005.SH)、偉 測 科 技(688372.SH)、長 川 科技(300604.SZ)、和 林 微 納(688661.SH)、生益科技(600183.SH)、方邦股份(688020.SH)、深南電路(002916.SZ)、興森科技(002436.SZ)等。Chiplet 綜合優勢明顯,綜合優勢明顯,是是緩解緩解先進制程先進制程焦慮焦慮、延續摩爾定律的主要、延續摩爾定律的主要抓手。抓手。隨著線寬逼近原子級別,摩爾定律在制造端的提升已經逼近極限,Chiplet 方案正是通過在封
4、裝端和設計端的提升,來進一步延續摩爾定律:設計端將芯片分解成特定模塊實現 IP 硅片化,并靈活重組,可將性能和工藝適度解耦合,并有效提高良率、降低制造成本和門檻。封測端將小芯片利用互連技術和封裝技術進行高密度集成,可輕易集成多核,突破原有 SoC 性能的極限,滿足高算力處理器的需求。高密度集成封裝技術是實現高密度集成封裝技術是實現 Chiplet 的核心,的核心,成本和性能成本和性能最優化的應最優化的應用用主要在高性能大芯片。主要在高性能大芯片。Chiplet 封裝方案可分為 2D、2.1D、2.5D 和3D,是在整體產業生態早期,實現 Chiplet 發展的主要驅動力。其中2D 方案性價比高
5、,但無法承受大面積集成;2.5D 方案成本雖高,但硅轉接板技術成熟,結合 3D 封裝后,整體可提升空間最大,是延續摩爾定律的潛在核心方案。封裝面積越大,所需封裝材料和潛在封裝缺陷成本也會越大,出于成本和性能的最優化考量,Chiplet 方案目前的主要應用在高性能大面積芯片領域。AI+數字催生數字催生高算力高算力需求,需求,受益高密度集成封裝技術的率先發展,受益高密度集成封裝技術的率先發展,封封測端測端將將最先受益。最先受益。ChatGPT、New Bing、MS Copilot、文心一言等生成式 AI 的現象級產品疊加數字經濟的政策催化,將催化龐大的產業鏈算力需求,打開高算力大芯片的市場空間。
6、而 Chiplet 作為大芯片延伸摩爾定律實現算力性能進一步提升的主要方案,考慮到產業鏈仍處于發展早期,高密度集成封裝技術將率先發展,諸如國內長電科技、通富微電、甬矽電子等封測廠均已布局,封測端將最先受益。風險提示。風險提示。大芯片產品迭代不及預期;高密度封裝技術迭代不及預期。Table_Invest 評級:評級:增持增持 上次評級:增持 Table_subIndustry 細分行業評級 半導體 增持 重點覆蓋公司列表 Table_Company 代碼代碼 公司名稱公司名稱 評級評級 002156 通富微電 增持 002436 興森科技 增持 600183 生益科技 增持 600584 長電科
7、技 增持 002916 深南電路 增持 688362 甬矽電子 增持 688372 偉測科技 增持 688020 方邦股份 增持 688661 和林微納 增持 002185 華天科技 增持 行業更新行業更新 股票研究股票研究 證券研究報告證券研究報告 電子元器件電子元器件 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 26 目目 錄錄 1.Chiplet:延續摩爾定律,規?;涞乜善?3 1.1.Chiplet 綜合優勢明顯,有效延續摩爾定律.3 1.2.整體生態處于早期,有望加速落地.6 2.Chiplet 封裝:高密度集成封裝是實現 Chiplet 的核心.1
8、1 2.1.從 2D 到 3D,封裝形式多樣.12 2.2.高性能大芯片是實現成本性能最優化的應用.15 3.Chiplet 空間:高算力需求打開成長空間,封測端是主要受益點.19 3.1.AI+數字經濟催生高算力需求,Chiplet 深度受益.19 3.2.產業生態發展早期,封測端是主要受益點.21 4.投資建議與推薦標的.23 4.1.封測.23 4.2.封測設備零部件.24 4.3.材料.25 5.風險提示.26 Table_Directory 表:表:本報告覆蓋公司估值表本報告覆蓋公司估值表 Table_ComData 公司名稱公司名稱 代碼代碼 收盤價收盤價 盈利預測(盈利預測(EP
9、S)PE 評級評級 目標價目標價 2021A 2022E 2023E 2021A 2022E 2023E 通富微電 002156 2023.03.21 23.47 0.63 0.37 0.76 37.12 62.97 30.78 增持 30.4 興森科技 002436 2023.03.21 12.27 0.37 0.31 0.44 33.36 39.41 28.17 增持 16.8 生益科技 600183 2023.03.21 18.2 1.22 0.66 0.94 14.97 27.65 19.46 增持 28.75 長電科技 600584 2023.03.21 32.21 1.66 1.8
10、3 2.05 19.37 17.58 15.72 增持 51.25 深南電路 002916 2023.03.21 87.79 2.89 3.20 3.70 30.41 27.43 23.75 增持 131.1 甬矽電子 688362 2023.03.20 30.93 0.79 0.37 0.84 39.15 83.50 36.76 增持 42 偉測科技 688372 2023.03.20 106.62 1.52 2.82 4.35 70.35 37.80 24.53 增持 142.56 方邦股份 688020 2023.03.21 65.42 0.44-0.85 0.72 149.63-77.
11、17 90.47 增持 86.71 和林微納 688661 2023.03.21 80.53 1.15 0.45 1.24 70.03 180.94 65.20 增持 97 華天科技 002185 2023.03.21 10.44 0.44 0.24 0.32 23.63 43.50 32.96 增持 13.23 數據來源:國泰君安證券研究 注:深南電路 2022 年業績已公布。請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 3 of 26 1.Chiplet:延續摩爾定律,規?;涞乜善冢貉永m摩爾定律,規?;涞乜善?1.1.Chiplet 綜合綜合優勢明顯,有效延續摩爾定
12、律優勢明顯,有效延續摩爾定律 摩爾定律實現的維度主要分為制造、設計、封裝三方面。摩爾定律實現的維度主要分為制造、設計、封裝三方面。在制造方面,主要通過晶體管微縮工藝實現,從 130nm 逐步向 5nm 甚至是 2nm 邁進;在設計方面,主要通過各種架構演進、方案設計等方式實現;在封裝方面,主要通過不同模塊的異質集成來實現,通過 SiP、WLP 等方法不斷提高系統化的集成密度。圖圖 1:摩爾定律摩爾定律的不同的實現方式的不同的實現方式 數據來源:ITRS 摩爾定律在制造端的提升已經逼近極限,開始逐步將重心轉向封裝端和摩爾定律在制造端的提升已經逼近極限,開始逐步將重心轉向封裝端和設計端。設計端。隨
13、著 AI、數字經濟等應用場景的爆發,對算力的需求更加旺盛,芯片的性能要求也在不斷提高,業界芯片的制造工藝從 28nm 向 7nm 以下發展,TSMC 甚至已經有了 2nm 芯片的風險量產規劃。但隨著線寬逐步逼近原子級別,工藝制程升級帶來的性能、功耗提升的性價比越來越低,封裝端和設計端維度的提升開始逐步進入視野。圖圖 2:隨著隨著工藝制程的進步,單個晶體管的成本工藝制程的進步,單個晶體管的成本不再下降不再下降(單位:美元)(單位:美元)圖圖 3:先進工藝的流片成本越來越高先進工藝的流片成本越來越高(單位:美元)(單位:美元)$4.01$2.82$1.94$1.28$1.42$1.55$1.31
14、011223344590nm65nm45/40nm28nm20nm16/14nm10nmCost per 1.,000 gates$28.5 M$37.7 M$51.3 M$70.3 M$106.3 M$174.4 M$297.8 M$542.2 M014529043558065nm40nm28nm22nm16nm10nm7nm5nmAdvanced Design Cost 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 4 of 26 數據來源:芯啟源,Measuring Moores Law,國泰君安證券研究 數據來源:芯啟源,國泰君安證券研究 Chiplet 方案方案正
15、是一種通過在封裝端和設計端的提升,正是一種通過在封裝端和設計端的提升,來進一步提升芯來進一步提升芯片的集成化密度,從而片的集成化密度,從而延續摩爾定律的新型半導體技術方案。延續摩爾定律的新型半導體技術方案。其方案核心主要包含三個概念,分別是小芯粒、異構異質和系統級集成。1)小芯粒:)小芯粒:原有 SoC 芯片由各種 IP 內核設計組成,小芯粒即在設計端將各種 IP 單個拆分,進行芯片化。2)異構異質:)異構異質:將類似 CPU、GPU、DRAM 等不同結構工藝材質的芯片合在一起,從而減少傳輸延遲、提高集成度。3)系統級集成:)系統級集成:在前兩者的基礎上,通過軟件設計系統級高密度的方案,利用各
16、種堆疊封裝技術,將更多的異構異質的小芯片進行高密度封裝集成,從而實現良率、成本、性能、商業風險等方面的綜合提升。圖圖 4:Chiplet 方案方案概念圖概念圖 數據來源:電子技術設計 Chiplet 方案方案通過將芯片性能的提升和工藝適度解耦合,能夠利用先進通過將芯片性能的提升和工藝適度解耦合,能夠利用先進封裝技術實現綜合性能的提升,其主要原因如下:封裝技術實現綜合性能的提升,其主要原因如下:小芯小芯片優化成本片優化成本:將芯片分解成特定的模塊,這可以使單個芯片面積:將芯片分解成特定的模塊,這可以使單個芯片面積更小并可選擇最合適的工藝,從而更小并可選擇最合適的工藝,從而提高提高良率、良率、降低
17、降低制造成本和門檻。制造成本和門檻。在降低成本方面:在降低成本方面:當切割芯片的面積越小,如圖 5 所示,綠色芯片的數量就越多,整體晶圓中可用的芯片面積就越大,單位面積芯片的成本就越低。另外,硅片化 IP 的復用,也可以顯著降低成本。在提高良率方面:在提高良率方面:晶圓中存在各種缺陷,當芯片的面積越大,它受影響的芯片數量比例就越大。例如,如下圖所示,一塊晶圓中切割 3 片芯片,有一片受到缺陷影響,良率為 2/3;當一塊晶圓切割 25 片芯片,缺陷影響了 3 片芯片,良率為 22/25,整體良率大于 2/3。在降低門檻方面:在降低門檻方面:小芯片化后,不同的芯片可以采用最合適的工藝和架構進行設計
18、制造。例如 I/O die 因為更加先進的工藝對其性能的提升有限,可以采用 12nm 工藝進行設計制造,CPU die 因為對先進工藝要求更高,可以采用 7nm/5nm 工藝進行設計制造。整體無需像 SoC 一樣,I/O 和CPU 的 IP 都必須采用最先進的工藝設計制造。圖圖 5:小芯片在單晶圓中的可用面積更大小芯片在單晶圓中的可用面積更大 圖圖 6:小芯片在單晶圓中的良率更高:小芯片在單晶圓中的良率更高 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 5 of 26 數據來源:國泰君安證券研究 數據來源:國泰君安證券研究 備注:紫線為缺陷 小芯片復用小芯片復用性強性強:小
19、芯片可視為固定模塊,在不同的產品中根據需求:小芯片可視為固定模塊,在不同的產品中根據需求進行組裝復用,類似樂高積木,具有極強的靈活性。進行組裝復用,類似樂高積木,具有極強的靈活性。通過小芯片化甚至最理想的 IP 芯片化,不僅可以減少芯片的設計周期,加快迭代速度,還可以提高芯片的可定制性。以 AMD 的系列產品為例,將處理器芯片進行解耦合,分成單個 CCD(Core Chiplet Die)芯片和一個 I/O die,CCD 和 I/O 核之間采用第二代Infinity Fabric 總線連接。其中 CCD 采用 7nm 工藝,I/O 核采用 12nm 工藝。8 個 CCD 和 1 個 Serv
20、er I/O die 可組裝成 EPYC Rome(霄龍)服務器處理器;8 個 CCD 和 1 個 Client I/O die 可組裝成 Ryzen(銳龍)3000系列(代號 Matisse)桌面服務器;AMD 的 X570 Chipset 也可用現有的小芯片進行組裝設計。這種固定模塊的小芯片方式,多個小芯片無需重復設計,具有復用價值,這種固定模塊的小芯片方式,多個小芯片無需重復設計,具有復用價值,而且芯片而且芯片可采用最合適的工藝制程,可有效提高良率以及降低設計門檻??刹捎米詈线m的工藝制程,可有效提高良率以及降低設計門檻。在可定制性、設計周期方面在可定制性、設計周期方面、降低成本、降低成本
21、,進行極大優化。,進行極大優化。圖圖 7:小芯片的復用性強,類似堆積木,可有效優化良率、設計門檻、可定制性和設計周期小芯片的復用性強,類似堆積木,可有效優化良率、設計門檻、可定制性和設計周期 數據來源:Hotchips,AMD官網 小芯片可高度集成化:小芯片利用芯片互連技術和高密度封裝技術可小芯片可高度集成化:小芯片利用芯片互連技術和高密度封裝技術可輕易集成多核,滿足高效能運算處理器的需求。輕易集成多核,滿足高效能運算處理器的需求。單片 SoC 的方案,在集成多核方案時,受制于可用的光罩尺寸、良率等問題,芯片面積最多只能達到 800mm2。Chiplet 核心計算單元可從 16 核堆積到 64
22、 核,甚至 96核以上。另外,對于內存和 Cache 方面,也能實現高密度集成,從而實可用部分廢棄部分肉眼可見,切割面積越小,綠色部分方塊越多,可用的圓的面積越多 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 6 of 26 現更低的延遲或者更高的并行運算速度。圖圖 8:Chiplet 方案可輕易集成多核,滿足高性能計算的需求方案可輕易集成多核,滿足高性能計算的需求 數據來源:Chiplet 接口 IP 3DIC 混合信號仿真驗證 表表 1:Chiplet 方案相較于大芯片方案,具有多方面的優勢方案相較于大芯片方案,具有多方面的優勢 類別類別 SoC Chiplet 技術技
23、術 分立器件分立器件 設計費用設計費用 最高 較低 最低 設計費用設計費用 最長,一般超過 18 個月 較短,大概 12 個月 最短,大概 6 個月 設計風險設計風險 最高 較低 最低 性能性能 最高 較高 低 功耗功耗 最低 較低,接近 SoC 最高 可定制性可定制性 困難 容易 非常容易 上市時間上市時間 最慢 較快 最快 面積大小面積大小 最小 較小 最大 數據來源:Chiplet 接口 IP 3DIC 混合信號仿真驗證,國泰君安證券研究 1.2.整體生態處于早期,整體生態處于早期,有望加速落地有望加速落地 Chiplet 方案方案主要由三大環節組成,分別是拆、合、封。主要由三大環節組成
24、,分別是拆、合、封。1)在“拆”的環節:)在“拆”的環節:將原有多個 IP 組成的 SoC 大芯片進行拆分,形成多個不同的 CPU、I/O 等小芯片。拆解后的小芯片可以采用更加適配的工藝節點和材質。其中架構設計是關鍵,需要考慮訪問頻率、緩存一致性等各問題。2)在“合”的環節:)在“合”的環節:將不同的小芯片利用內部總線互連技術進行電路連接,各個電路互相組合,在功耗、通信延遲、帶寬等方面達到最優的效果。與 SoC 不同的是,前者是芯片間的互連,而后者是 IP 內核間的互連。3)在“封”的環節:)在“封”的環節:將組合后的不同的芯片,利用 RDL、TSV、硅轉接板、晶圓等高密度集成的先進封裝技術,
25、進行組合。圖圖 9:Chiplet 方案方案主要由拆、合、封組成主要由拆、合、封組成 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 7 of 26 數據來源:電子技術設計,chiplet 關鍵技術與挑戰,AMD,國泰君安證券研究 Chiplet 方案的實現包括方案的實現包括 Chiplet 的設計制造和連接側的互連制造。依據的設計制造和連接側的互連制造。依據主要的主要的產業鏈產業鏈制造制造順序而言:順序而言:在設計端:在設計端:利用 EDA 和 IP 核進行分割后的 Chiplet 的設計、連接側包括硅轉接板或者 RDL 層的互連建模,之后兩者協同仿真,得到完整的封裝方案的
26、模型。針對該模型依次進行時序分析、電源網絡分析、可靠性分析以及 PPA 優化分析等,從而實現 Chiplet 和連接側結合的系統性方案。在封裝端:在封裝端:利用晶圓廠制造完成的 Chiplet 與連接側方案進行連接,以2.5D 的硅轉接板為例,將 Chiplet 和進行 TSV 打孔的硅轉接板相連,利用硅轉接板內部的 RDL 層進行各個 Chiplet 之間的互連,最后將硅轉接板與基板進行連接,即完成整體 Chiplet 系統性方案的制造。上述在設計端和封裝端的步驟,剛好對應拆、合、封三大環節。上述在設計端和封裝端的步驟,剛好對應拆、合、封三大環節。圖圖 10:產業鏈上下游結構產業鏈上下游結構
27、 圖圖 11:Chiplet 在芯片設計在芯片設計端端的流程示意圖的流程示意圖 數據來源:國泰君安證券研究 數據來源:Chiplet 方案研究與展望,國泰君安證券研究 Die2CCXCCXVODDRVO拆合封單片集成SOC小芯粒Zen1 EPYCZen2 EPYCMCM封裝2.1D封裝(RDL)2.5D封裝(Si)EDAIP設計制造封測基板 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 8 of 26 圖圖 12:Chiplet 在芯片封裝在芯片封裝端端的流程示意圖的流程示意圖 數據來源:Amkor Technology Chiplet 方案方案目前目前無法規?;涞責o法規
28、?;涞氐闹饕闹饕夹g技術難點難點:一、一、Chiplet 的統一接口和標準的統一接口和標準 考慮到互連是考慮到互連是 Chiplet 的核心之一,互連接口與協議的的核心之一,互連接口與協議的落地和推行落地和推行是實是實現技術標準化和產品規?;年P鍵?,F技術標準化和產品規?;年P鍵。2022 年 3 月,Intel、AMD、ARM、臺積電、日月光等巨頭成立 Chiplet 標準聯盟,制定了通用 Chiplet 的高速互聯標準 UCIe(Universal Chiplet Interconnect Express)。2021 年 5 月,CCITA(中國計算機互連技術聯盟)針對 Chiplet
29、 標準小芯片接口總線技術要求展開標準制定工作,集結了國內產業鏈 60 多家單位共同參與研究。Chiplet 總線互連接口與協議可以劃分為物理層(總線互連接口與協議可以劃分為物理層(PHY 層)、數據鏈路層、層)、數據鏈路層、網絡層以及傳輸層。網絡層以及傳輸層。數據鏈路層及以上的其他接口更多依賴沿用或擴展已有接口標準及協議。最重要的是物理層的接口研究,因為它與工藝、功耗和性能等息息相關。物理層主要分為串行和并行兩種數據通信技術,串行主要分為串行器和解串器 SerDes,并行則包括低電壓封裝互連LIPINCON 技術(TSMC 提出)、AIB高級接口總線(Intel 提出)以及信號引線物理互連 B
30、oW 技術(OCP 提出)等。圖圖 13:物理層接口示意圖物理層接口示意圖 圖圖 14:兩個:兩個 Chiplet Die 互連場景互連場景 數據來源:Chiplet 接口 IP 3DIC 混合信號仿真驗證 數據來源:Chiplet 接口 IP 3DIC 混合信號仿真驗證 表表 2:物理層并行互連的技術對比物理層并行互連的技術對比 參數參數 AIB(第一代第一代)MDIO(第一代第一代)LIPINCON2 BoW 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 9 of 26 單單 lane 數據率數據率/Gb s 2 5.4 8 16 shoreline 帶寬密度帶寬密度/
31、(Gb s/mm)63 200 67 200 Areal 帶寬密度帶寬密度(Gb s/mm)150 198 198 148 單位功耗單位功耗/(pJ/bit)0.85 0.5 0.56 0.5 封裝技術封裝技術 EMIB EMIB,Foveros CoWoS MCP 數據來源:異構集成芯片關鍵技術研究,國泰君安證券研究 互連是技術標準化的重點之一,但芯片間互連協議的標準化方面仍處于互連是技術標準化的重點之一,但芯片間互連協議的標準化方面仍處于發展演進階段,相互競爭的標準較多。發展演進階段,相互競爭的標準較多。包括 CXL、CCIX、NVLink 等標準,都已經在復雜的處理器芯片中得到應用。其中
32、雖然 CXL 發布較晚,但因為 Intel 的業內影響力和產品效應,大多數廠商紛紛跟隨并采納,技術發展較快。國內以 CCITA 為主導的技術聯盟正在進行相關技術和標準的研發中。相關國內公司例如超摩科技也已經宣布量產 Chiplet 互聯 IP整體解決方案 CLCI,其協議標準主要采用自有方案,未來會考慮協議間的兼容性。圖圖 15:超摩科技宣布量產高性能超摩科技宣布量產高性能 Chiplet 互聯互聯 IP 整體解決方案整體解決方案 CLCI 數據來源:超摩科技 二二、EDA 工具工具鏈鏈和生態系統的和生態系統的完整性、可持續性完整性、可持續性 新的 EDA 工具鏈是急切需要的,其主要原因為:1
33、)小芯片之間更密集的互連小芯片之間更密集的互連+Chiplet 封裝封裝 EDA 的的更高要求更高要求 Chiplet 方案將芯片進行精細化切割,并進行更為密集的互連,例如 HBM的芯片間的互連位寬為 1028bit,從而使其整體性能達到接近甚至超過SoC 內部的傳輸效率。對于 Chiplet 的封裝,也需要進行額外的 EDA 設計,這些都對 EDA 工具提出了更高的要求。2)系統性方案帶來的更嚴苛的可靠性挑戰系統性方案帶來的更嚴苛的可靠性挑戰 Chiplet 方案作為一個整體的系統性方案,對熱效應、電磁挑戰、電容耦合、電感耦合、信號完整性等方面都提出了全新的要求,需要進行針對性的仿真建模,這
34、是原有主要針對SoC芯片的EDA工具相對薄弱的點。當第三方 Chiplet 開始被采用時,對于完整系統的可靠性要求將會更高。第一種挑戰可能可以采用第一種挑戰可能可以采用 Cadence 等工具組合設計,但針對于等工具組合設計,但針對于第二種可第二種可靠性調整,則需要進行針對性優化升級??啃哉{整,則需要進行針對性優化升級??紤]到無論是考慮到無論是 EDA 工具鏈還是之前的協議標準抑或是制造封裝技術都工具鏈還是之前的協議標準抑或是制造封裝技術都處于發展初期,為了實現有效的正反饋優化,將終端的測試糾錯信息及處于發展初期,為了實現有效的正反饋優化,將終端的測試糾錯信息及 請務必閱讀正文之后的免責條款部
35、分請務必閱讀正文之后的免責條款部分 10 of 26 時反饋到上游的時反饋到上游的 EDA、設計端并進行改進,構建一個完整的、可持續、設計端并進行改進,構建一個完整的、可持續的生態系統是極其重要的。的生態系統是極其重要的。圖圖 16:終局的終局的 Chiplet 產業鏈產業鏈 數據來源:電子技術設計,chiplet 關鍵技術與挑戰,國泰君安證券研究 三、核心封裝技術的選擇三、核心封裝技術的選擇 Chiplet 方案對應的封裝技術包括方案對應的封裝技術包括 2D 的的 MCM、2.1D 的的 RDL方案、方案、2.5D的的 CoWoS 和和 3D 的的 HBM 等多種技術,需要根據功耗、性能、成
36、本等多等多種技術,需要根據功耗、性能、成本等多方面進行綜合考慮。方面進行綜合考慮。(基于(基于 PAA的芯片評價體系的芯片評價體系+實現系統效率最大化)實現系統效率最大化)1)2D 的 MCM/WLCSP 技術屬于典型的封裝技術,將多個不同的芯片在基板上進行集成,屬于成本低復雜度低,但能有效增加管腳數量,提高芯片集成密度的方案,在 AMD、國內諸如超摩科技等多種產品中使用,是當前較為主流的方案。2)InFO 技術屬于 2.1D 方案,介于 MCM 和 2.5D 的 CoWoS 之間,利用RDL 層進行集成,線間距接近 2 微米,引腳數量約 2500 個,多用于手機和 IoT 中,蘋果最新的 M
37、1 等芯片就是采用該方案。3)2.5D 和 3D 技術可以在前兩者的基礎上,利用硅轉接板等就技術極強地增大管腳數量和集成密度,例如 2.5D 的方案相較于 InFO 方案,線間距減小到 0.4 微米,引腳數量增加到 4000 個,是 InFO 方案的 1.6 倍,但由于成本過高,多用于云計算、HPC、數據中心中。Chiplet 方案中多芯片集成的封裝方案存在散熱的功耗問題、硅轉接板方案中多芯片集成的封裝方案存在散熱的功耗問題、硅轉接板等封裝材料太貴的成本問題、復雜度過高的可靠性問題,并非適用于所等封裝材料太貴的成本問題、復雜度過高的可靠性問題,并非適用于所有工藝節點,也并非適用于所有下游應用,
38、更多時候作為先進工藝制程有工藝節點,也并非適用于所有下游應用,更多時候作為先進工藝制程遇到門檻時的一種實現摩爾定律的遇到門檻時的一種實現摩爾定律的延伸延伸方案。方案。關于成本最優化的探討可關于成本最優化的探討可參考第二章參考第二章 2.2 的探討。的探討。圖圖 17:TSMC 的多種多芯片封裝集成方案的多種多芯片封裝集成方案 圖圖 18:不同的成本和性能要求對應不同封裝方案不同的成本和性能要求對應不同封裝方案 EDAIPChiplet設計Chiplet封裝EDAIP大芯片設計制造封測基板有源基板 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 11 of 26 數據來源:TS
39、MC 數據來源:The new frontier of die-to-die interface IP 四、四、產品產品測試測試的復雜性的復雜性 Chiplet 方案方案由于互連封裝方案的不同,其測試大多為定制化方案,且由于互連封裝方案的不同,其測試大多為定制化方案,且包含更多的測試流程。包含更多的測試流程。除了常規的單片集成 SoC芯片所需的 CP 測試(芯片針測)、FT 測試(終測),還要包括介質層測試、MT(中段測試)、SLT(系統級測試等)。測試流程中,測試流程中,KGSD(已知良好堆疊芯片)測試需要包含更多的可靠性(已知良好堆疊芯片)測試需要包含更多的可靠性測試,是主要的難點之一。測
40、試,是主要的難點之一。以 DRAM 和 HBM 為例進行對比:1)在晶圓級測試環節,DRAM 晶圓的測試基本相同,HBM 額外增加針對邏輯晶圓的邏輯測試,包括測試 IP、PHY 電路中缺陷等。但是考慮到單顆小芯片的缺陷就會導致堆疊的 KGSD 芯片的性能失敗,因此對單顆小芯片的測試性能要求會更高。2)在 KGSD 測試環節,傳統的 DRAM 封裝級產品測試設備和解決方法將無法有效試用,其測試的挑戰包括動態向量老化應力測試、大量內部 TSV 結構的可靠性測試、高速性能測試、2.5D SIP 測試等。圖圖 19:先進封裝的整體測試流程先進封裝的整體測試流程 圖圖 20:HBM 測試比測試比 DRA
41、M 測試要求更加高測試要求更加高 數據來源:Amkor Technology 數據來源:高帶寬存儲器的技術演進和測試挑戰 2.Chiplet封裝:封裝:高密度集成封裝是實現高密度集成封裝是實現 Chiplet的核的核心心 Chipet 封裝方案可分為封裝方案可分為 2D、2.1D、2.5D 和和 3D 封裝技術。封裝技術。2D 方案性價方案性價比高,但無法承受大面積集成,上升空間有限;比高,但無法承受大面積集成,上升空間有限;2.1D 方案集成度進一步方案集成度進一步 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 12 of 26 提高,但技術難度相對較大,應用范圍偏??;提
42、高,但技術難度相對較大,應用范圍偏??;2.5D 方案成本高,但硅轉方案成本高,但硅轉接板技術相對成熟,可集成密度較高,雖然價格昂貴,但在服務器等應接板技術相對成熟,可集成密度較高,雖然價格昂貴,但在服務器等應用領域具有較大潛在價值,另外結合用領域具有較大潛在價值,另外結合 3D 封裝后,整體成長空間最大,封裝后,整體成長空間最大,是延續摩爾定律的潛在核心方案。是延續摩爾定律的潛在核心方案。2.1.從從 2 2D D 到到 3D3D,封裝形式多樣,封裝形式多樣 先進封裝先進封裝技術技術不同于傳統封裝不同于傳統封裝技術技術,其主要包含,其主要包含 RDL、Bump、Wafer和和 TSV 四個要素
43、。四個要素。傳統封裝主要包括 DIP、QFP 等引腳封裝和引線框架封裝,而諸如 FC-BGA、FO WLP 和 FI WLP 等包含 RDL、Bump、Wafer和 TSV 四個要素之一,均屬于先進封裝。Chiplet 封裝方案是小芯粒的異構異質高密度集成方案,對應不同的封封裝方案是小芯粒的異構異質高密度集成方案,對應不同的封裝類別,裝類別,以先進封裝技術為基礎,以先進封裝技術為基礎,可主要分為可主要分為 2D、2.1D、2.5D 和和 3D四大類。四大類??紤]到市場上各家公司對于封裝方案的定義并不明確,本文粗淺根據在基板基礎上是否有 RDL 層和硅橋、是否有無源硅轉接板、是否有有源硅板之間的
44、堆疊,進行分類,依次劃分為 2D、2.1D、2.5D 和3D 四大類,其中 2D 方案由于不使用任何額外高密度 RDL/硅等轉接板,性價比高,在 Chiplet 的發展初期,產品中應用廣泛。圖圖 21:從傳統封裝向先進封裝發展從傳統封裝向先進封裝發展 圖圖 22:Chiplet 的各種封裝結構的各種封裝結構 數據來源:Yole 數據來源:AMD 表表 3:Chiplet 的高密度封裝技術的高密度封裝技術主要分為主要分為 2D、2.1D、2.5D 和和 3D 四大類四大類,均有相關產品應用量產,均有相關產品應用量產 類型類型 技術技術特點特點 焊點間距焊點間距 說明說明 技術技術 代表產品應用代
45、表產品應用 2D MCM,厚度很薄 90 微米 直接通過封裝基板走線實現互連;無需基板,直接通過 RDL 層進行互連 InFO(普通),FC-MCM(ABF 基板良率低,無法支撐多芯片應用)AMD的Zen架構產品 2.1D RDL 轉接板/硅橋(在基板基礎上)30-45 微米 在基板基礎上利用高密度的 RDL 層/內嵌硅橋的方式實現互連 EMIB,InFO-SoW,InFO-R/InFO-oS,InFO-LSI,FOCoS(B,CF,CL),XDFOI,cowos-R,cowos-L,蘋果的 M1 Ultra,Intel的 CPU 2.5D 無源硅轉接板 25 微米 在基板基礎上利用硅轉接板實
46、現互連,可實現更高密度的互連(成本高)Cowos-S,I-Cube,VISionS 海思的鯤鵬 920 和昇騰 910,AMD 的Zen2/3/4 架構產品 3D 有源硅之間的堆疊 10 微米 多在 2.5D 基礎上,利用混合鍵合實現芯片之間的垂直堆疊 Co-EMIB,Foveros,X-Cube,WIDE-IO,SoIC,HBM,HMC,3D V-Cache 英偉達的 GPU,AMD的 Zen2/3/4 架構產品 數據來源:各公司官網,國泰君安證券研究 備注:只考慮中段的集成,后段的集成如 PLP 技術暫不考慮;相關技術分類模糊,存在不確定情況 請務必閱讀正文之后的免責條款部分請務必閱讀正文
47、之后的免責條款部分 13 of 26 一、一、2D 方案的客戶和產品應用方案的客戶和產品應用 2D 方案主要為簡單的方案主要為簡單的 MCM 方案,無需額外的轉接板,成本低,性價方案,無需額外的轉接板,成本低,性價比高比高,應用較為廣泛,但無法支撐多芯片,應用較為廣泛,但無法支撐多芯片大面積大面積應用應用,在性能提升上空,在性能提升上空間有限間有限。2D 方案整體厚度較薄,主要分為 FC-MCM 類的直接通過封裝基板走線實現互連和普通 InFO 類的無需基板直接通過 RDL層進行互連。FC-MCM 類受限于 ABF 基板良率低,無法支撐多芯片大面積的應用。普通 InFO 類由于沒有基板,僅憑
48、PI 材料的 RDL 層,硬度不夠,同樣無法支撐大面積的多芯片集成。2D 方案受益于性價比,國內外客戶多家產品有量產,在四種類別中應方案受益于性價比,國內外客戶多家產品有量產,在四種類別中應用最廣,發展最快。用最廣,發展最快。AMD 的最初 Zen 架構的系列產品采用的就是 MCM方案,如銳龍、霄龍等。另外,國內包括超摩科技(高性能 CPU)、龍芯中科等都有相關方案研究。圖圖 23:Flip-Chip MCM 方案概念圖方案概念圖 圖圖 24:AMD 的第二代的第二代 EPYC 采用了采用了 MCM 方案方案 數據來源:TSMC 數據來源:AMD,Chiplet 封裝結構與通信結構綜述 二、二
49、、2.1D 方案的產品和客戶應用方案的產品和客戶應用 2.1D 方案介于方案介于 2D 的的 MCM 和和 2.5D 硅轉接板之間,成本相對適中,可硅轉接板之間,成本相對適中,可集成度較高,可適用于大規模多芯片集成。集成度較高,可適用于大規模多芯片集成。2.1D 方案主要在基板上采用高密度的 RDL 層或者在 RDL 層/基板中內嵌硅橋來增大集成密度。高密度的 RDL 層方案包括特斯拉的 InFO-SoW(六層 RDL)、TSMC 的InFO-R/InFO-oS/InFO-LSI 系列、長電的 XDFOI(五層 RDL)等。內嵌硅橋的方案以 Intel 的 EMIB、日月光的 FOCoS-B為
50、主。2.1D 方案的主要缺點在于技術難度相對較大,目前只在少數客戶中使用。方案的主要缺點在于技術難度相對較大,目前只在少數客戶中使用。例如高密度 RDL 層的 InFO-R 中,本身 InFO 工藝就較為復雜,還需要在 PI 樹脂中進行多層 RDL 高密度布線,難度更加巨大。目前主要在蘋果的 M1 MAX 芯片中使用該方案較多。例如內嵌硅橋的 EMIB和 FOCoS方案中,需要額外考慮硅橋和 RDL 層/基板的兼容性,目前主要在 Intel的產品中使用較多。圖圖 25:Tesla 的的 InFO-SoW 方案布置方案布置 6 層層 RDL 圖圖 26:FOCoS-B 在扇出在扇出 RDL 層中
51、層中內嵌硅橋內嵌硅橋 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 14 of 26 數據來源:TESLA 官網,TSMC 數據來源:日月光官網 三三、2.5D 方案的產品和客戶應用方案的產品和客戶應用 2.5D 方案利用無源硅轉接板方案,可實現更高密度、大面積多芯片的集方案利用無源硅轉接板方案,可實現更高密度、大面積多芯片的集成方案,成方案,傳輸速度高,性能優越,傳輸速度高,性能優越,是潛在延續摩爾定律成長空間的主要是潛在延續摩爾定律成長空間的主要方案。方案。無源硅轉接板利用內部 RDL 和 TSV 可實現內部的高密度互連,加上硅技術較為成熟,成為替代先進工藝延續摩爾定律
52、的中堅力量。另外 3D 方案的拓展也主要建立在 2.5D 方案的基礎上。主要方案包括臺積電的 CoWoS 系列方案,三星的 I-Cube,通富的 VISionS 等。2.5D 方案整體性能更為優越,但由于增加硅轉接板,成本較高,主要用方案整體性能更為優越,但由于增加硅轉接板,成本較高,主要用在服務器、數據中心等高端應用中在服務器、數據中心等高端應用中,發展前景巨大,發展前景巨大。鯤鵬 920、AMD 的Zen2 以上架構產品諸如 Rome、Milan 等服務器芯片都應用 CoWoS 方案。以 AMD 的 Zen4 架構的 EPYC 7004 服務器芯片為例,其內部可封裝的CCD 數量增加到 1
53、2 個,內核增加到 96 個,可支持 12 通道的 DDR5 內存,提供 128 條 PCIE 5.0 通道,性能十分突出。圖圖 27:TSMC 的的 2.5D 方案利用硅轉接板集成芯方案利用硅轉接板集成芯片片 圖圖 28:AMD 的的 Zen4 架構架構 EPYC 7004 服務器處理器服務器處理器芯片架構芯片架構 數據來源:TSMC 數據來源:AMD 四四、3D 方案的產品和客戶應用方案的產品和客戶應用 3D 方案主要在方案主要在 2.5D 基礎上,利用混合鍵合等方式實現芯片間的垂直互基礎上,利用混合鍵合等方式實現芯片間的垂直互連,集成密度最大,性能提升也十分可觀,但成本非常高連,集成密度
54、最大,性能提升也十分可觀,但成本非常高。3D 方案為有源硅之間的互連,即芯片之間的互連,為滿足足夠的信息帶寬,使用的互連線的數量和密度都遠大于前三種,而且混合鍵合的難度也遠大于bump 鍵合,整體成本非常高。主要方案包括 Intel 的 Co-EMIB/Foveros、三星的 X-Cube、TSMC 的 SoIC、HBM、3D V-Cache 等技術。3D 方案由于成本非常高,相關應用較少,主要在對性能要求非??量谭桨赣捎诔杀痉浅8?,相關應用較少,主要在對性能要求非??量痰牡母叨烁叨藨妙I域。應用領域。相關的 HBM、3D V-Cache 等產品主要用在對計算要 請務必閱讀正文之后的免責條款部
55、分請務必閱讀正文之后的免責條款部分 15 of 26 求較高的 AI 芯片中或者對延遲要求非常高的游戲 CPU 芯片中。HBM主要將各種 DRAM 芯片進行堆疊,從而擴大內存容量,在高性能計算領域需求量較大。3D V-Cache 主要將 L3 cache 堆疊在 CPU 上,以減小延遲,這在游戲領域需求量較大。圖圖 29:Intel 的的 3D Co-EMIB 方案集成度非常高方案集成度非常高 圖圖 30:AMD 的的 3D V-Cache 架構架構 數據來源:Intel 數據來源:AMD 圖圖 31:混合鍵合可實現混合鍵合可實現 9 微米的間距,實現更高集成度微米的間距,實現更高集成度 數據
56、來源:AMD 2.2.高性能大芯片是實現成本性能最優化的應用高性能大芯片是實現成本性能最優化的應用 就成本而言,先進封裝只就成本而言,先進封裝只對對先進工藝先進工藝的大芯片即高性能大芯片的大芯片即高性能大芯片存在存在明顯明顯成本效益成本效益。MCM 等最基本的等最基本的 2D 封裝不僅滿足架構封裝不僅滿足架構需求需求,提高性能,提高性能,成本還低,可能會被最先大范圍使用。成本還低,可能會被最先大范圍使用。2.5D 等封裝方案成本高,但結合等封裝方案成本高,但結合3D 封裝后,整體可提升的成長空間最大,是潛在核心方案。封裝后,整體可提升的成長空間最大,是潛在核心方案。多芯片集成的多芯片集成的 C
57、hiplet方案是在以先進工藝為基礎的方案是在以先進工藝為基礎的 SoC 方案遇到摩爾方案遇到摩爾定律發展的門檻時,所定律發展的門檻時,所延伸延伸的提升性能、減小成本、優化性價比的方案。的提升性能、減小成本、優化性價比的方案。SoC 方案為將 A、B、C 等各種 IP 內核進行組合搭配,無需 D2D(Die to Die)的 IP;而 Chiplet 方案為將 A、B、C 等各種內核分別與 D2D IP 進行組合,依次封裝,并在基板或者硅轉接板上進行互連組合,并利用高密度集成封裝方案進行封裝。Chiplet 方案的成本隨著集成密度的提高而不斷提高,需要和小芯片的方案的成本隨著集成密度的提高而不
58、斷提高,需要和小芯片的成本進行綜合考量,實現最優綜合性能。成本進行綜合考量,實現最優綜合性能。例如 2D 方案的 MCM 封裝集成密度最低,bump 密度為 90 微米,成本也最低。而 RDL Interposer 和 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 16 of 26 Si Interposer 的集成密度逐步提高,bump 密度分別達到 45/30 微米,成本也相對提升,其中硅轉接板的成本最高。3D 封裝的 bump 密度達到 9微米,成本是所有集成封裝方案中最高的。圖圖 32:SoC和和 Chiplet 方案的概念圖方案的概念圖 數據來源:Chiplet
59、Actuary:A Quantitative Cost Model and Multi-Chiplet Architecture Exploration 表表 4:Chiplet 封裝密度越高,成本也越高封裝密度越高,成本也越高 性能參數 MCM RDL Interposer Si Interposer 3D 封裝 集成密度 低 較高 較高 高 布線密度(m/m)45272 44959 0.4/0.4 0.4/0.4 bump 密度/m 90 45 30 9 設計復雜度 低 中 較高 高 信號傳輸長度/mm 10 5 5 0.03 成本 低 中 較高 高 供應商 封測廠 晶圓廠/封測 晶圓廠
60、晶圓廠 數據來源:Chiplet 關鍵技術與挑戰,國泰君安證券研究 就成本角度進行考量,一塊單片就成本角度進行考量,一塊單片 SoC 芯片芯片或者或者 Chiplet 芯片,主要成本芯片,主要成本可粗略劃分為可粗略劃分為 RE(recurring engineering)成本和)成本和 NRE(non-recurring engineering)成本。)成本。NRE 成本為電路設計中的一次性成本,包括軟件、IP 授權、模塊/芯片/封裝設計、驗證、掩模版等費用,針對于單顆芯片是攤銷后的成本。RE 成本為大規模量產中的制造成本,包括晶圓、封裝、測試等。根據根據Chiplet Actuary:A Q
61、uantitative Cost Model and Multi-Chiplet Architecture Exploration中的成本模型中的成本模型和驗證數據和驗證數據:一、一、在在 RE成本方面,主要包含五部分:成本方面,主要包含五部分:1)原芯片成本;)原芯片成本;2)芯片缺陷)芯片缺陷成本;成本;3)原封裝成本;)原封裝成本;4)封裝缺陷成本;)封裝缺陷成本;5)因為封裝缺陷導致的)因為封裝缺陷導致的 KGDs被浪費的成本。被浪費的成本。對于芯片間 D2D 帶來的成本,等同于一塊特定的 IP 核。對于不同的工藝和架構,它在芯片面積中占一定比例。其他諸如 bumping、wafer
62、sort、測試等成本由于重要性較低,包含如前述五項中,不進行額外考慮。就就不同的集成的小芯片的數量不同的集成的小芯片的數量、不同的芯片面積和不同的工藝節點,針、不同的芯片面積和不同的工藝節點,針對不同的封裝形式進行考量,得出結論:對不同的封裝形式進行考量,得出結論:請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 17 of 26 工藝節點越小,芯片面積越大,多芯片集成的工藝節點越小,芯片面積越大,多芯片集成的 Chiplet 方案帶來的方案帶來的好處越大。好處越大。SoC主要的成本增加來源于面積增大后導致的芯片缺陷成本。以 800mm2的 5nm 工藝 SoC 芯片為例,其芯
63、片缺陷成本占總成本超過50%,而 100mm2的芯片中的芯片缺陷成本占比不足 10%。當對此芯片進行芯?;?高密度封裝,芯片缺陷成本減小一半,哪怕疊加 2.5D 封裝帶來的封裝成本,其總成本仍小于 SoC 方案。就 2 Chiplets 組成的 14nm 芯片而言,只有當面積大于 700mm2,SoC 的成本才勉強大于 MCM。而對于 InFO 和 2.5D,哪怕面積大于 900mm2,SoC 方案始終占有成本優勢。就 2 Chiplets 組成的 7nm 芯片而言,當面積大于 500 mm2,SoC 的成本大于 MCM;當面積大于 800 mm2,SoC 的成本才大于 InFO;就 2 Ch
64、iplets 組成的 5nm 芯片而言,當面積大于 300 mm2,SoC 的成本大于 MCM;當面積大于 500 mm2,SoC 的成本大于 InFO;當面積大于700 mm2,SoC 的成本才大于 2.5D 方案;總之,對于任何工藝節點,芯片面積提升帶來的好處,先進工藝節點會總之,對于任何工藝節點,芯片面積提升帶來的好處,先進工藝節點會早于成熟工藝。早于成熟工藝。成熟工藝節點不適合成熟工藝節點不適合高密度高密度 Chiplet 的原因在于的原因在于 14nm工藝較為成熟,良率較高,面積增大帶來的芯片缺陷成本的增加小于工藝較為成熟,良率較高,面積增大帶來的芯片缺陷成本的增加小于D2D以及更高
65、級封裝帶來的成本增加。小面積芯片不適合以及更高級封裝帶來的成本增加。小面積芯片不適合高密度高密度 Chiplet的原因在于芯片缺陷成本太小,封裝類的成本占據主要。的原因在于芯片缺陷成本太小,封裝類的成本占據主要。小芯粒數量的提升,對成本的優化小芯粒數量的提升,對成本的優化具有一定效果具有一定效果。就 5nm,800 mm2的 MCM 芯片而言,從 3 個小芯粒增加到 5 個小芯粒,芯片的缺陷成本的減小約為 10%。圖圖 33:不同工藝節點下,不同芯片集成的歸一化不同工藝節點下,不同芯片集成的歸一化 RE成本比較成本比較 數據來源:Chiplet Actuary:A Quantitative C
66、ost Model and Multi-Chiplet Architecture Exploration 備注:圖片中的成本均為相對于 100平方毫米的 SoC 芯片的成本進行歸一化的結果 二、在二、在 NRE成本方面,成本方面,請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 18 of 26 多芯片多芯片 Chiplet 方案會造成非常高的額外方案會造成非常高的額外 NRE成本,只有當量產數量足成本,只有當量產數量足夠高,才有足夠性價比。夠高,才有足夠性價比。以 14nm 的 800mm2的芯片為例,500k 的銷量,對于成本最高的 2.5D 封裝,D2D 的互連和封裝的成
67、本占比分別小于 2%和 9%,而 Chiplet 1/2 模塊和芯片的成本在 MCM 中占 36%,在 2.5D 中占 31%,占比非常高,不如采用單集成 SoC 方案。而當銷量從 500k 增加到 10M 時,Chiplet 方案的成本將大幅縮減。圖圖 34:多芯片多芯片 Chiplet 方案會造成非常高的額外方案會造成非常高的額外 NRE成本,只有當量產成本,只有當量產數量足夠高,才有足夠性價比數量足夠高,才有足夠性價比 數據來源:Chiplet Actuary:A Quantitative Cost Model and Multi-Chiplet Architecture Explora
68、tion 除了面積、工藝、小芯片的數量以外,除了面積、工藝、小芯片的數量以外,Chiplet 在多芯片架構復用和異在多芯片架構復用和異構方面存構方面存也也在著巨大的成本優勢。在著巨大的成本優勢。多芯片復用架構主要分為三類:SCMS(單芯片多系統);OCME(一中心多拓展);FSMC(固定插座多組合)。SCMS:芯片的復用,使 Chiplet 相較于 SoC 而言節省一次性投入成本。該種方案只需要一個芯片即可,適用于同一產品線不同等級的產品。AMD 和國內最初的產品架構就是采用該方案。OCME:實現了異構工藝,將不同的成熟工藝產品和先進工藝產品進行拼接。諸如 AMD 的 ZEN3 架構采用的就是
69、該方案。FSMC:將復用的可能性最大化,即將可復用的芯片最小化,這樣一次性投入成本攤銷的收益就越大。多芯片集成的 Chiplet 方案的成本優勢將會最大化。圖圖 35:多芯片復用架構主要分為三類,成本效益逐級提高多芯片復用架構主要分為三類,成本效益逐級提高 數據來源:Chiplet Actuary:A Quantitative Cost Model and Multi-Chiplet Architecture 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 19 of 26 Exploration 3.Chiplet 空間:高算力需求打開成長空間空間:高算力需求打開成長空間,
70、封測端,封測端是主要受益點是主要受益點 3.1.AI+AI+數字數字經濟經濟催生高算力需求,催生高算力需求,ChipletChiplet 深度受益深度受益 ChatGPT作為生成式作為生成式 AI的現象級產品,將催生龐大的產業鏈算力需求。的現象級產品,將催生龐大的產業鏈算力需求。ChatGPT 是 OpenAI 開發的聊天機器人,在 2022 年 11 月推出,一經推出,就成為迄今為止用戶量增長最快的消費應用程序,僅用 2 月就積累1 億用戶數量,即使是海外現象級應用 TikTok 也用了 9 個月的時間。未來國內外諸如百度等大模型公司、科大訊飛等應用端公司都在積極參與,帶來龐大的算力需求。圖
71、圖 36:ChatGPT大模型是大模型是 AI 基礎設施,將進一步推動基礎設施,將進一步推動 AIGC 行業發展行業發展 數據來源:甲子光年 數字經濟推動數據中心建設快速發展,帶動計算需求增長。數字經濟推動數據中心建設快速發展,帶動計算需求增長。受益于 5G、人工智能、大數據、云計算等新興產業發展,對海量數據處理的需求不斷提升,數據中心成為數字化發展的重要基礎設施。截止 2021 年底,我國在用數據中心機架規模達到 520 萬架,近五年 CAGR 超過 30%,其中大型以上機架規模達 420 萬架,占比達 80%。進入數字經濟時代,數據量呈指數級增長,對算力提出了巨大需求。據 Cisco 預計
72、,2021 年計算能力更強的超級數據中心將達到 628 座,占數據中心總量的 53%。圖圖 37:中國數據中心機架規??焖僭鲩L(萬架):中國數據中心機架規??焖僭鲩L(萬架)圖圖 38:全球超級數據中心數量快速增長(座):全球超級數據中心數量快速增長(座)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 20 of 26 數據來源:數據中心白皮書(2022 年),國泰君安證券研究 數據來源:Cisco Global Cloud Index,國泰君安證券研究 受益于受益于 AI 和數字經濟的需求,全球和數字經濟的需求,全球 GPU、MPU、AI 芯片等大算力芯芯片等大算力芯片需求大
73、幅提升。片需求大幅提升。2027 年全球 GPU 市場規模預計達到 1853.1 億美元,21-27 年 CAGR 為 33%。2022 年 MPU 的全球市場規模也已經突破 1000億美元。2024 年 AI 的中國市場規模也預計突破 785 億元,21-24 年 CAGR為 46%。圖圖 39:全球:全球 GPU 市場規??焖僭鲩L(億美元)市場規??焖僭鲩L(億美元)圖圖 40:全球全球 MPU 市場規模超過千億美金市場規模超過千億美金 數據來源:VMR,華經產業研究院,國泰君安證券研究 數據來源:IC Insights,國泰君安證券研究 圖圖 41:中國:中國 AI 芯片市場規模及預測(億
74、元)芯片市場規模及預測(億元)數據來源:前瞻產業研究院,海光信息招股說明書,國泰君安證券研究 Chiplet 方案是繼續提升大芯片算力的主要方案之一,將伴隨高性能算方案是繼續提升大芯片算力的主要方案之一,將伴隨高性能算力需求的爆發而強勢增長。力需求的爆發而強勢增長。根據 Yole,2021 年先進封裝市場收入達 37480178290710371278-5%0%5%10%15%20%25%02004006008001000120014002018201920202021E2022E全球MPU市場規模(億美元)YoY(RHS)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 21
75、 of 26 億美元,預計 2027 年將達到 650 億美元,CAGR 為 10%。其中 2.5D/3D的市場規模預計 27 年將達到 150 億美元,21-27 年 CAGR 為 14%。圖圖 42:27 年先進封裝市場空間將突破年先進封裝市場空間將突破 650 億美元。億美元。數據來源:Yole 3.2.產業生態發展早期,封測端是主要受益點產業生態發展早期,封測端是主要受益點 Chiplet 生生態仍處于態仍處于發展發展早期早期,就產業鏈而言,就產業鏈而言,價值量的增長點主要集價值量的增長點主要集中在封測端和中在封測端和材料材料端。端。目前產業仍處于 Chiplet 生態成長期,設計廠商
76、主要采用已有的 EDA 和 IP 針對 Chiplets 進行自重用和自迭代,工藝和互連標準尚未統一。產業鏈中最大的價值量增長源于新的高密度集成的封裝方案帶來的封測端和材料端的應用,未來隨著生態和技術的成熟,EDA 等更上游的價值量也會逐步增加。Chiplet 業務鏈中,晶圓廠和封測廠都逐步向產業鏈下游垂直整合,以業務鏈中,晶圓廠和封測廠都逐步向產業鏈下游垂直整合,以擴大自身的業務空間和利潤增長點。擴大自身的業務空間和利潤增長點。晶圓廠圍繞硅互連技術進行發展,從帶 TSV 的轉接板向 RDL 層、微凸點等領域拓展,自上而下,拓展價值空間。封測廠在爭取從原有的基板、C4 凸點向上游 Chiple
77、t 業務鏈中的 RDL 層、TSV 轉接板、微凸點等方向發展,因為該塊業務精細度不高但有較大業務量。不過,封測廠話語權不如晶圓廠,大多封測廠更多向下游拓展,將更多的元器件、射頻器件、PMIC 等集成到基板中,以期獲得更大的價值量增長。圖圖 43:Chiplet 方案方案整體生態仍處于早期整體生態仍處于早期 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 22 of 26 數據來源:電子技術設計,chiplet 關鍵技術與挑戰,國泰君安證券研究 在封裝端在封裝端,對于封裝廠而言,價值量額外增長主要集中在微凸點、轉接,對于封裝廠而言,價值量額外增長主要集中在微凸點、轉接板、線互連
78、等領域。板、線互連等領域。在MCM的2D領域,只增加了額外的基板內互連,價值量增長最低。在 RDL轉接板的 2.1D 領域(RDL整體較薄,介于 2.5D 和 2D 之間,又可稱為 2.1D),主要為臺積電的 InFO 和長電的 XDFOI 等方案。InFO 方案是 Chipfirst 技術,沒有微凸點,由于該類方案主要由 TSMC主導,下游封測廠話語權較小,價值量仍主要局限于原有封測領域,如 C4 bump 和基板等。XDFOI 方案是 chiplast 方案,存在微凸點,該類方案包含多層 RDL 層、微凸點、互連線等,封測廠可做價值量更大。在硅轉接板的 2.5D 領域,主要為臺積電的 Co
79、WoS 等方案,該方案價值量較多,包括微凸點、RDL、硅轉接板、TSV 等,但同樣受限于 TSMC 等晶圓廠較為強勢的話語權,大多硅轉接板等價值量都被晶圓廠拿走。但是臺積電等晶圓廠開價過高,終端廠等正嘗試分散供應鏈,各環節找不同的廠商,以實現利益最大化。在 3D 領域,如 HBM 方案,由于精細要求較高,這部分基本全部依賴晶圓廠,在晶圓制造領域直接堆疊完成。封裝端受益公司包括通富微電、長電科技、甬矽電子等,相關公司均有封裝端受益公司包括通富微電、長電科技、甬矽電子等,相關公司均有屬于自己的屬于自己的 Chiplet 方案,預計都將批量量產。方案,預計都將批量量產。在全球封測企業中,不止考慮 O
80、SA T,長電科技 2021 年營收排名第四,有 XDFOI 平臺;通富微電 2021 年營收排名第七,有 VISionS 平臺;華天 2021 年營收排名第八,積極布局先進封裝業務;甬矽電子營收排名相對靠后,但業務均是先進封裝業務。圖圖 44:InFO 這類這類 RDL Interposer 封裝的額外價封裝的額外價值量主要在值量主要在 RDL 層等(層等(chip first)圖圖 45:XDFOI 這類這類 RDL Interposer 封裝的額外價值封裝的額外價值量主要在量主要在 RDL 層、微凸點、互連線等(層、微凸點、互連線等(chip last)2025年2023年2022及以前
81、最終格局中間形態當前狀態 Chiplet生態成熟期;真正的IP硬化時代;誕生一批新公司:小芯片設計公司、集成小芯片的大芯片設計公司、有源基板供應商、用于封裝Chiplet的EDA公司等。Chiplet生態成長期;設計商對自家設計的Chiplet進行自重用和自迭代;工藝與互聯標準等生態逐步成型并統一。Chiplet生態早期;設計商發力,把自家的大芯片分析,并尋求先進封裝組合起來;來對產業鏈形成沖擊。EDAIPChiplet設計Chiplet封裝EDAIP大芯片設計制造封測基板有源基板EDAIP制造封測設計自家Chiplets基板有源基板EDAIP設計制造封測基板 請務必閱讀正文之后的免責條款部分
82、請務必閱讀正文之后的免責條款部分 23 of 26 數據來源:TSMC 數據來源:長電科技,國泰君安證券研究 圖圖 46:硅轉接板方案的額外價值量主要在微凸點、硅轉接板、線互連等硅轉接板方案的額外價值量主要在微凸點、硅轉接板、線互連等 數據來源:TSMC 在測試端,受益于小芯粒帶來更多的測試需求以及在測試端,受益于小芯粒帶來更多的測試需求以及 KGSD 帶來更復雜的帶來更復雜的測試要求,相關測試公司和測試設備公司將深度受益。測試要求,相關測試公司和測試設備公司將深度受益。例如偉測科技、長川科技、和林微納等都將較為受益。在材料端,受益于在材料端,受益于 Chiplet 的突的突破和高算力的需求,
83、破和高算力的需求,ABF 膜的需求在不膜的需求在不斷增長,相關基板產業鏈公司將深度受益。斷增長,相關基板產業鏈公司將深度受益。例如生益科技、深南電路等都將較為受益。4.投資建議投資建議與推薦標的與推薦標的 半導體封測半導體封測行業公司行業公司目前整體估值較低,我們認為隨著目前整體估值較低,我們認為隨著 Chiplet 的發展,的發展,以及業績的逐步復蘇,行業將實現業績估值雙增長,維持行業“增持”以及業績的逐步復蘇,行業將實現業績估值雙增長,維持行業“增持”評級。評級。4.1.封測封測 表表 5:重重點封測可比公司盈利預測及估值(股價參考點封測可比公司盈利預測及估值(股價參考 2023 年年 3
84、 月月 23 日收盤價)日收盤價)股票簡稱股票簡稱 收盤價收盤價(元)(元)EPS PE 營收營收(億元)(億元)PS 2022E 2023E 2024E 2022E 2023E 2024E 2022E 2023E 2022E 2023E 長電科技長電科技 33.8 1.83 2.05 2.32 18 17 15 344.7 382.6 1.7 1.6 C4 bump基板封裝BallRDL層C4 bump微bump 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 24 of 26 華天科技華天科技 10.4 0.24 0.32 0.49 43 32 21 120.5 138.
85、3 2.8 2.4 通富微電通富微電 25.2 0.37 0.76 0.84 68 33 30 204.0 248.9 1.9 1.5 甬矽電子甬矽電子 31.6 0.37 0.84 1.30 85 38 24 21.8 32.2 5.9 4.0 晶方科技晶方科技 25.6 0.34 0.47 0.66 75 54 39 10.9 14.0 15.4 11.9 偉測科技偉測科技 108.4 2.82 4.34 5.94 38 25 18 7.4 11.5 12.8 8.2 均值均值 55 33 24 6.7 4.9 數據來源:Wind,國泰君安證券研究 注:上述公司盈利均來自國泰君安證券預測值
86、 估值方面,對于半導體封測公司,我們根據凈利率水平、營收體量、封測技術情況、客戶情況以及產品稀缺性與壁壘等多方面進行考量。通常,半導體先進封裝業務占比越高,布局越全面,估值越高;客戶規模越大,估值越高;Chiplet 放量越大,估值越高??紤]到考慮到封測公司營收和利潤體封測公司營收和利潤體量都較大,行業較為成熟,采用量都較大,行業較為成熟,采用 PE 估值。估值??杀裙究杀裙?24 年估值均值為年估值均值為24 倍倍 PE,相關公司根據上述要素進行差異性估值,重點推薦,相關公司根據上述要素進行差異性估值,重點推薦長電科技、長電科技、通富微電、華天科技、甬矽電子通富微電、華天科技、甬矽電子和
87、和偉測科技。偉測科技。表表 6:半導體封測相關公司估值半導體封測相關公司估值理由理由 股票簡稱股票簡稱 21 年凈利年凈利率(率(%)21 年年營收(億元)營收(億元)封測封測產品布局產品布局 估值理由估值理由 目標價對應估目標價對應估值水平值水平 長電科技長電科技 9.7%305 傳統封裝、FC、晶圓級封裝、2.5D/3D等 公司為 OSAT 行業龍頭,深度受益于先進封裝市場占比逐漸提高。公司 Chiplet 方案XDFOI 及多個項目即將放量,考慮營收體量過大,成長相對有限,謹慎起見,給予其 2024 年 22 倍 PE。給予 24 年 22 倍PE 華天科技華天科技 11.7%121 傳
88、統封裝為主,掌握 FC、SiP、Bumping等工藝 公司積極布局先進封裝技術,有望打開新的成長空間,給予一定的估值溢價,給予其 2024 年 27 倍 PE 給予 24 年 27 倍PE 通富微電通富微電 6.1%158 傳統封裝和先進封裝均較為成熟 公司擁有領先的封裝技術水平和多樣化的產品布局優勢,下游客戶多樣,考慮其VISionS為 2.5D Chiplet 方案,已經為 AMD實現量產,給予一定的估值溢價,給予其2024 年 36 倍 PE 給予 24 年 36 倍PE 甬矽電子甬矽電子 15.7%21 FC、SiP、WLP 等先進封裝 考慮行業景氣度提升帶來的盈利彈性以及公司深度布局
89、 chiplet 底層技術,給予一定的估值溢價,給予其 2024 年 32 倍 PE 給予 24 年 32 倍PE 偉測科技偉測科技 26.8%5 獨立第三方測試 考慮到 Chiplet 封裝模式將帶來更多更嚴苛的測試需求,給予其 2024 年 24 倍 PE 給予 24 年 24 倍PE 數據來源:Wind,國泰君安證券研究 4.2.封測設備零部件封測設備零部件 表表 7:重重點封測設備可比公司盈利預測及估值(股價參考點封測設備可比公司盈利預測及估值(股價參考 2023 年年 3 月月 23 日收盤價)日收盤價)股票簡稱股票簡稱 收盤價收盤價(元)(元)EPS PE 營收營收(億元)(億元)
90、PS 2022E 2023E 2024E 2022E 2023E 2024E 2023E 2024E 2023E 2024E 長川科技長川科技 45.3 0.92 1.41 1.90 49 32 24 40.0 53.2 7 5 華峰測控華峰測控 293.3 5.77 7.20 9.52 51 41 31 13.7 17.7 19 15 富創精密富創精密 118.9 1.04 1.81 2.57 114 66 46 21.8 31.7 11 8 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 25 of 26 和林微納和林微納 88.1 0.45 1.24 1.97 196
91、71 45 4.2 5.7 19 14 均值均值 103 52 36 14 10 數據來源:Wind,國泰君安證券研究 注:上述公司盈利預測除華峰測控來自 Wind,其他均來自國泰君安證券預測值 估值方面,對于半導體封測設備零部件公司,我們根據凈利率水平、營收體量、客戶情況以及產品稀缺性與壁壘等多方面進行考量。通常,半導體業務占比越高,布局越全面,估值越高;客戶規模越大,估值越高??紤]到考慮到設備零部件公司凈利潤體量較小,處于成長期,采用設備零部件公司凈利潤體量較小,處于成長期,采用 PS 估值。估值??杀裙究杀裙?24 年估值均值為年估值均值為 10 倍倍 PS,相關公司根據上述要素進行
92、差異,相關公司根據上述要素進行差異性估值,重點推薦性估值,重點推薦和林微納和林微納。表表 8:半導體封測設備零部件相關公司估值半導體封測設備零部件相關公司估值理由理由 股票簡稱股票簡稱 21 年凈利年凈利率(率(%)21 年年營收(億元)營收(億元)主要主要產品布局產品布局 估值理由估值理由 目標價對應估目標價對應估值水平值水平 和林微納和林微納 27.9 3.7 MEMS零部件、半導體測試探針 公司深耕 MEMS精微零部件領域,快速拓展半導體芯片測試探針業務,考慮行業景氣度提升帶來的盈利彈性以及公司穩定優質的客戶資源,給予一定的估值溢價,給予其 2024 年 15 倍 PS 給予 24 年
93、15 倍PS 數據來源:Wind,國泰君安證券研究 4.3.材料材料 Chiplet 技術趨勢下,配套技術趨勢下,配套 ABF 載板國產化將加速推進。載板國產化將加速推進。ABF 載板具備大尺寸、高密度線路、高散熱性的特點,主要用于 CPU、GPU、FPGA、ASIC 等高性能運算芯片封裝中。由于處理器尺寸較大,所以 Chiplet 技術需要更大的載板面積和層數,進一步加大對 ABF 載板的需求。國內企業積極推進 Chiplet 開發,有望打破海外技術封鎖,從而進一步打開國產ABF 載板空間。據 QYResearch,2023 年全球 ABF 載板市場規模將達49.66 億美元,2028 年將
94、達 65.29 億美元(CAGR 5.6%);中國增長最快(CAGR=9.5%),預計從 2023 年 8.66 億美元增長至 2028 年的 13.64 億美元。國內企業在國內企業在 ABF產業鏈中積極布局,未來將深度受益。產業鏈中積極布局,未來將深度受益。(1)上游材料:ABF 所需的上游薄膜原料由日本味之素完全壟斷(份額 95%以上),此前其擴產意愿不足導致 ABF 產能受限。(2)中游載板:全球 ABF 載板由中國臺灣以及日本廠商主導,欣興作為龍頭份額高達 21.6%、Ibiden達 19.0%、A T&S 為 16.0%、南電為 13.5%、新光電工為 12.1%。國內深南電路、興森
95、科技、珠海越亞等在 ABF 載板中持續進行客戶導入和產品認證。深南在廣州及無錫建設 FC-BGA、FC-CSP 項目將逐步投入生產。興森珠?;匾言嚠a成功,預計 23Q2 啟動客戶認證,有望 23Q3 進入量產。重點推薦重點推薦深南電路深南電路、興森科技興森科技、方邦股份方邦股份和和生益科技生益科技。表表 9:載板相關公司估值載板相關公司估值理由理由 股票簡稱股票簡稱 21 年凈利年凈利率(率(%)21 年年營收(億元)營收(億元)產品布局產品布局 估值理由估值理由 目標價對應估目標價對應估值水平值水平 深南電路深南電路 10.62%139.43 FC-CSP 封裝基板參照行業平均估值(24
96、年 PE20X),并考給予 24 年 30 倍 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 26 of 26 已具備中階產品樣品制造能力,高階產品技術研發按期順利推進 慮公司在 PCB 和基板領域的龍頭地位,給予 24 年 PE30 倍 PE 興森科技興森科技 12.16%50.40 22Q2-Q3 逐步啟動客戶認證,ABF 載板有望年內量產 參照行業估值水平(2024 年 PE20X)并考慮到其高技術壁壘 IC 載板突破后的成長性,給予其 2024 年 28 倍 PE 給予 24 年 28 倍PE 生益科技生益科技 14.43%202.74 用于低階ABF載板的增層材料
97、已出貨;目前高端產品處于認證階段 參照行業估值水平(2024 年 PE20X)并考慮到其在覆銅板中的龍頭地位,給予其2024 年 25 倍 PE 給予 24 年 25 倍PE 方邦股份方邦股份 14.21%2.86 超薄可剝離銅箔正在進行客戶認證,送樣品質穩定,某寬幅產品已通過部分載板廠商的物性、工藝測試,并通過了部分終端的首輪驗證 參照行業平均估值(2024 年 PE20X),并考慮其新品驗證通過后的業績爆發力,給予其 2024 年 PE 23 倍 給予 24 年 23 倍PE 數據來源:Wind,國泰君安證券研究 表表 10:重重點點載板載板公司盈利預測及估值(股價參考公司盈利預測及估值(
98、股價參考 2023 年年 3 月月 23 日收盤價)日收盤價)證券代碼證券代碼 證券簡稱證券簡稱 收盤價收盤價 EPS PE 市凈率市凈率PB(LF)2022E 2023E 2024E 2022E 2023E 2024E 002916.SZ 深南電路 98.86 3.20 3.70 4.37 30.92 26.74 22.64 3.62 002436.SZ 興森科技 13.01 0.31 0.44 0.60 41.97 29.57 21.68 3.25 688020.SH 方邦股份 68.61-0.85 0.72 3.77 -80.72 94.92 18.20 3.45 600183.SH 生
99、益科技 19.61 0.66 0.94 1.15 29.71 20.88 17.12 3.24 平均值平均值 0.04 0.70 1.84 5.47 43.03 19.91 3.31 數據來源:wind,國泰君安證券研究(2022 年 PE 平均值計算時剔除方邦科技)5.風險提示風險提示 大芯片產品迭代不及預期。大芯片產品迭代不及預期。Chiplet 的標準、生態和技術演進取決于爆發性產品的推廣,大客戶牽頭推廣自身 Chiplet 產品,在大規模放量的情況下,將吸引更多的產業鏈供應商加入,有望制定統一的標準和生態。高密度封裝技術迭代不及預期。高密度封裝技術迭代不及預期。高算力大芯片若想進一步延
100、續摩爾定律,則依賴高密度封裝技術的綜合性能優勢。當高密度封裝技術在 PPA 評估中表現出足夠的優于先進工藝的優勢,Chiplet 方案才能進行大規模推廣。請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 Table_MainInfo Table_Title 長電科技長電科技(600584)(600584)封測領域龍頭,封測領域龍頭,Chiplet 構筑第二增長點構筑第二增長點 王聰王聰(分析師分析師)舒迪舒迪(分析師分析師)陳豪杰陳豪杰(研究助理研究助理)021-38676820 021-38676666 021-38038663 證書編號 S0880517010002 S08
101、80521070002 S0880122080153 本報告導讀:本報告導讀:公司為芯片封測領域龍頭廠商,積極布局傳統及先進封裝業務。全球半導體市場規模公司為芯片封測領域龍頭廠商,積極布局傳統及先進封裝業務。全球半導體市場規模高速增長,受益于先進封裝行業需求驅動,公司未來營收有望持續增長。高速增長,受益于先進封裝行業需求驅動,公司未來營收有望持續增長。投資要點:投資要點:Table_Summary 首次覆蓋,給予首次覆蓋,給予“增持”評級,目標價“增持”評級,目標價 51.25 元。元。公司為 OSA T 行業龍頭,深度受益于先進封裝市場占比逐漸提高,業績有望持續增長,給予其 2022-202
102、4 年 EPS 為 1.83/2.05/2.32 元。公司 XDFOI 及多個項目即將放量,24 年半導體封測行業估值為 24 倍 PE,但考慮到公司營收體量過大,成長相對有限,謹慎起見,給予其 2024 年 22 倍 PE,目標價 51.25 元。全球領先的芯片封裝測試廠商,國內委外封測市場份額排名第一。全球領先的芯片封裝測試廠商,國內委外封測市場份額排名第一。根據 ChipInsights 發布的榜單,公司在全球前十大委外測封廠商中排名第三,中國大陸第一。長電科技的產品、服務和技術涵蓋了主流集成電路系統應用,包括網絡通訊、移動終端、高性能計算、車載電子、大數據存儲、人工智能與物聯網、工業智
103、造等領域。積極布局先進封裝技術,積極布局先進封裝技術,XDFOI 平臺打開平臺打開 Chiplet 業務成長空間。業務成長空間。隨著摩爾定律步伐放緩,作為推動半導體發展的關鍵力量,先進封裝的重要性日益提升。根據 Yole 的數據,2026 年先進封裝全球市場規模將達到 475 億美元左右,占比達 50%,將為全球封測市場貢獻主要增量。長電科技近年重點加大系統級(SiP)、晶圓級和 2.5D/3D 等先進封裝技術的研發投入,推出 XDFOI 新平臺,不斷強化長電科技在先進封裝領域的核心競爭力。催化劑。催化劑。Chiplet 新產品落地;消費電子需求逐步復蘇。風險提示。風險提示。設備供應風險;貿易
104、摩擦風險。Table_Finance 財務摘要(百萬元)財務摘要(百萬元)2020A 2021A 2022E 2023E 2024E 營業收入營業收入 26,464 30,502 34,468 38,259 42,850(+/-)%12%15%13%11%12%經營利潤(經營利潤(EBIT)1,877 3,207 3,292 3,615 4,007(+/-)%253%71%3%10%11%凈利潤凈利潤 1,304 2,959 3,260 3,647 4,134(+/-)%1371%127%10%12%13%每股凈收益(元)每股凈收益(元)0.73 1.66 1.83 2.05 2.32 每股股
105、利(元)每股股利(元)0.05 0.20 0.22 0.25 0.28 Table_Profit 利潤率和估值指標利潤率和估值指標 2020A 2021A 2022E 2023E 2024E 經營利潤率經營利潤率(%)7.1%10.5%9.5%9.5%9.4%凈資產收益率凈資產收益率(%)9.7%14.1%13.7%13.5%13.5%投入資本回報率投入資本回報率(%)6.9%10.5%9.8%9.8%9.8%EV/EBITDA 11.78 9.15 9.06 7.72 6.66 市盈率市盈率 44.69 19.70 17.88 15.99 14.10 股息率股息率(%)0.2%0.6%0.7
106、%0.8%0.9%Table_Invest 首次覆蓋首次覆蓋 評級:評級:增持增持 目標價格:目標價格:51.25 當前價格:32.21 2023.03.22 Table_Market 交易數據 52 周內股價區間(元)周內股價區間(元)19.95-32.76 總市值(百萬元)總市值(百萬元)57,319 總股本總股本/流通流通 A股(百萬股)股(百萬股)1,780/1,780 流通流通 B 股股/H股(百萬股)股(百萬股)0/0 流通股比例流通股比例 100%日均成交量(百萬股)日均成交量(百萬股)40.24 日均成交值(百萬元)日均成交值(百萬元)1134.31 Table_Balance
107、 資產負債表摘要 股東權益(百萬元)股東權益(百萬元)23,862 每股凈資產每股凈資產 13.41 市凈率市凈率 2.4 凈負債率凈負債率 3.32%Table_Eps EPS(元)2021A 2022E Q1 0.22 0.48 Q2 0.53 0.38 Q3 0.45 0.51 Q4 0.47 0.45 全年全年 1.66 1.83 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 絕對升幅 12%35%26%相對指數 14%29%26%公司首次覆蓋公司首次覆蓋 -23%-13%-2%8%18%28%2022-032022-072022-112023-0
108、352周內股價走勢圖長電科技上證指數股票研究股票研究 證券研究報告證券研究報告 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 2 Table_Page 長電科技長電科技(600584)(600584)Table_Industry 模型更新時間:2023.03.22 股票研究股票研究 信息科技 電子元器件 Table_Stock 長電科技(600584)Table_Target 首次覆蓋首次覆蓋 評級:評級:增持增持 目標價格:目標價格:51.25 當前價格:32.21 Table_Website 公司網址 Table_Company 公司簡介 公司全球知名的集成電
109、路封裝測試企業。公司面向全球提供封裝設計、產品開發及認證,以及從芯片中測、封裝到成品測試及出貨的全套專業生產服務。Table_PicTrend 絕對價格回報(%)Table_Range 52 周內價格范圍 19.95-32.76 市值(百萬元)57,319 Table_Forcast 財務預測(單位:百萬元)財務預測(單位:百萬元)損益表損益表 2020A 2021A 2022E 2023E 2024E 營業總收入營業總收入 26,464 30,502 34,468 38,259 42,850 營業成本 22,374 24,887 28,264 30,990 34,280 稅金及附加 48 7
110、7 86 96 107 銷售費用 225 195 241 306 386 管理費用 1,037 1,042 1,206 1,148 1,286 EBIT 1,877 3,207 3,292 3,615 4,007 公允價值變動收益 67-12 0 0 0 投資收益 -1 315 345 383 429 財務費用 634 206 103 57-20 營業利潤營業利潤 1,446 3,170 3,468 3,879 4,398 所得稅 125 210 208 233 264 少數股東損益 2 2 0 0 0 凈利潤凈利潤 1,304 2,959 3,260 3,647 4,134 資產負債表資產負
111、債表 貨幣資金、交易性金融資產 2,240 5,431 7,984 12,254 15,383 其他流動資產 149 130 130 130 130 長期投資 949 770 770 770 770 固定資產合計 17,790 18,424 18,269 18,031 17,694 無形及其他資產 527 448 438 448 478 資產合計資產合計 32,328 37,099 40,565 44,843 49,345 流動負債 13,846 11,341 11,939 13,008 13,872 非流動負債 5,073 4,758 4,758 4,758 4,758 股東權益 13,41
112、0 21,000 23,868 27,078 30,715 投入資本投入資本(IC)24,806 28,565 31,433 34,642 38,280 現金流量表現金流量表 NOPLAT 1,713 2,994 3,094 3,399 3,766 折舊與攤銷 3,357 3,686 3,395 3,678 3,977 流動資金增量 -199-2,870-381 932-715 資本支出 -3,013-4,088-3,396-3,612-3,827 自由現金流自由現金流 1,858-278 2,713 4,397 3,201 經營現金流 5,435 7,429 6,147 8,089 7,17
113、7 投資現金流 -2,859-6,316-3,051-3,229-3,399 融資現金流 -2,246-492-544-590-649 現金流凈增加額現金流凈增加額 329 621 2,553 4,270 3,130 財務指標財務指標 成長性成長性 收入增長率 12.5%15.3%13.0%11.0%12.0%EBIT 增長率 252.5%70.8%2.7%9.8%10.8%凈利潤增長率 1371.2%126.8%10.2%11.9%13.4%利潤率 毛利率 15.5%18.4%18.0%19.0%20.0%EBIT 率 7.1%10.5%9.5%9.5%9.4%凈利潤率 4.9%9.7%9.
114、5%9.5%9.6%收益率收益率 凈資產收益率(ROE)9.7%14.1%13.7%13.5%13.5%總資產收益率(ROA)4.0%8.0%8.0%8.1%8.4%投入資本回報率(ROIC)6.9%10.5%9.8%9.8%9.8%運營能力運營能力 存貨周轉天數 45.7 44.4 44.0 43.0 44.0 應收賬款周轉天數 48.9 47.9 47.0 45.0 43.0 總資產周轉周轉天數 448.3 409.7 405.6 401.8 395.7 凈利潤現金含量 4.2 2.5 1.9 2.2 1.7 資本支出/收入 11.4%13.4%9.9%9.4%8.9%償債能力償債能力 資
115、產負債率 58.5%43.4%41.2%39.6%37.8%凈負債率 141.1%76.7%70.0%65.6%60.7%估值比率估值比率 PE 44.69 19.70 17.88 15.99 14.10 PB 3.92 2.78 2.44 2.15 1.90 EV/EBITDA 11.78 9.15 9.06 7.72 6.66 P/S 1.98 1.91 1.69 1.52 1.36 股息率 0.2%0.6%0.7%0.8%0.9%8%12%17%21%26%30%35%39%1m3m12m-10%3%17%30%44%57%-23%-12%-2%8%18%28%2022-032022-0
116、82023-01股票絕對漲幅和相對漲幅長電科技價格漲幅長電科技相對指數漲幅7%9%10%12%14%15%20A21A22E23E24E利潤率趨勢收入增長率(%)EBIT/銷售收入(%)7%8%10%11%13%14%20A21A22E23E24E回報率趨勢凈資產收益率(%)投入資本回報率(%)61%77%93%109%125%141%16107166701723317796183591892220A21A22E23E24E凈資產(現金)/)/凈負債凈負債(現金)(百萬)凈負債/凈資產(%)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 Table_MainInfo Tabl
117、e_Title 通富微電通富微電(002156)(002156)先進封裝技術領先,產品布局持續優化先進封裝技術領先,產品布局持續優化 王聰王聰(分析師分析師)舒迪舒迪(分析師分析師)陳豪杰陳豪杰(研究助理研究助理)021-38676820 021-38676666 021-38038663 證書編號 S0880517010002 S0880521070002 S0880122080153 本報告導讀:本報告導讀:公司擁有先進的封裝技術,產品布局不斷優化,持續開展供應鏈戰略管理,公司擁有先進的封裝技術,產品布局不斷優化,持續開展供應鏈戰略管理,Chiplet技術可以滿足客戶的多樣化需求,未來營收
118、有望持續增長。技術可以滿足客戶的多樣化需求,未來營收有望持續增長。投資要點:投資要點:Table_Summary 首次覆蓋,給予增持評級,目標價首次覆蓋,給予增持評級,目標價 30.4 元。元。公司擁有領先的封裝技術水平和多樣化的產品布局優勢,下游客戶多樣,給予其 2022-2024年 EPS 為 0.37/0.76/0.84 元??紤]其 VISionS 為 2.5D Chiplet 方案,已經為 AMD 實現量產,24 年半導體封測行業平均估值為 24 倍 PE,給予其 2024 年 36 倍 PE,目標價 30.4 元。公司先進封裝技術領先,不斷優化產品布局。公司先進封裝技術領先,不斷優化
119、產品布局。公司已完成 5nm 制程的 FC 技術產品認證,同時再多芯片 MCM 技術方面已確保 9 顆芯片的 MCM 封裝技術能力。在超大尺寸 FCBGA-MCM 高散熱技術也完成了新型散熱片的開發,2.5D/3D 先進封裝平臺也取得了突破性進展。把握行業發展機遇,持續開展供應鏈戰略管理。把握行業發展機遇,持續開展供應鏈戰略管理。公司目前在南通有 3各生產基地,同時在蘇州、檳城、合肥、廈門進行積極布局,爭取更多的資源,帶來規模優勢。并且公司不斷優化供應鏈戰略,有效降低成本,為公司經營穩定增長提供強有力的支撐。后摩爾時代后摩爾時代 Chiplet 需求增大,客戶需求穩步增長。需求增大,客戶需求穩
120、步增長。在 7nm、5nm 的后摩爾時代 Chiplet 技術可以在提升良率的同時進一步降低設計成本和風險。公司通過在多芯片組件、集成扇出封裝、2.5D/3D 等先進封裝技術方面的提前布局,可為客戶提供多樣化的 Chiplet 封裝解決方案,并且已為 AMD 大規模量產 Chiplet 產品,未來業績有望持續增長。風險提示。風險提示。行業景氣度不及預期;技術研發失敗的風險;國際貿易形勢帶來的風險。Table_Finance 財務摘要(百萬元)財務摘要(百萬元)2020A 2021A 2022E 2023E 2024E 營業收入營業收入 10,769 15,812 20,398 24,885 3
121、0,111(+/-)%30%47%29%22%21%經營利潤(經營利潤(EBIT)604 1,171 729 1,347 1,532(+/-)%196%94%-38%85%14%凈利潤凈利潤 338 957 564 1,154 1,272(+/-)%1668%183%-41%105%10%每股凈收益(元)每股凈收益(元)0.22 0.63 0.37 0.76 0.84 每股股利(元)每股股利(元)0.03 0.00 0.01 0.02 0.02 Table_Profit 利潤率和估值指標利潤率和估值指標 2020A 2021A 2022E 2023E 2024E 經營利潤率經營利潤率(%)5.
122、6%7.4%3.6%5.4%5.1%凈資產收益率凈資產收益率(%)3.5%9.2%4.1%7.8%7.9%投入資本回報率投入資本回報率(%)3.8%5.9%2.9%5.1%5.5%EV/EBITDA 15.69 11.35 13.34 10.67 9.01 市盈率市盈率 104.94 37.12 62.98 30.78 27.92 股息率股息率(%)0.1%0.0%0.0%0.1%0.1%Table_Invest 首次覆蓋首次覆蓋 評級:評級:增持增持 目標價格:目標價格:30.40 當前價格:23.47 2023.03.22 Table_Market 交易數據 52 周內股價區間(元)周內股
123、價區間(元)12.60-24.23 總市值(百萬元)總市值(百萬元)35,516 總股本總股本/流通流通 A股(百萬股)股(百萬股)1,513/1,329 流通流通 B 股股/H股(百萬股)股(百萬股)0/0 流通股比例流通股比例 88%日均成交量(百萬股)日均成交量(百萬股)68.30 日均成交值(百萬元)日均成交值(百萬元)1442.65 Table_Balance 資產負債表摘要 股東權益(百萬元)股東權益(百萬元)11,107 每股凈資產每股凈資產 7.34 市凈率市凈率 3.2 凈負債率凈負債率 66.60%Table_Eps EPS(元)2021A 2022E Q1 0.10 0.
124、11 Q2 0.16 0.13 Q3 0.20 0.07 Q4 0.17 0.06 全年全年 0.63 0.37 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 絕對升幅 5%27%33%相對指數 10%22%41%公司首次覆蓋公司首次覆蓋 -28%-15%-2%11%25%38%2022-032022-072022-112023-0352周內股價走勢圖通富微電深證成指股票研究股票研究 證券研究報告證券研究報告 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 2 Table_Page 通富微電通富微電(002156)(002156
125、)Table_Industry 模型更新時間:2023.03.22 股票研究股票研究 信息科技 電子元器件 Table_Stock 通富微電(002156)Table_Target 首次覆蓋首次覆蓋 評級:評級:增持增持 目標價格:目標價格:30.40 當前價格:23.47 Table_Website 公司網址 Table_Company 公司簡介 公司是由南通華達微電子有限公司和富士通(中國)有限公司共同投資、由中方控股的中外合資股份制企業,專業從事集成電路封裝測試。公司目前的封裝技術包括 Bumping、WLCSP、FC、BGA、SiP等先進封測技術,QFN、QFP、SO 等傳統封裝技術以
126、及汽車電子產品、MEMS等封裝技術;測試技術包括圓片測試、系統測試等。Table_PicTrend 絕對價格回報(%)Table_Range 52 周內價格范圍 12.60-24.23 市值(百萬元)35,516 Table_Forcast 財務預測(單位:百萬元)財務預測(單位:百萬元)損益表損益表 2020A 2021A 2022E 2023E 2024E 營業總收入營業總收入 10,769 15,812 20,398 24,885 30,111 營業成本 9,103 13,098 17,125 20,460 24,854 稅金及附加 31 54 71 87 105 銷售費用 53 59
127、126 129 157 管理費用 360 477 714 871 1,054 EBIT 604 1,171 729 1,347 1,532 公允價值變動收益 0 0 0 0 0 投資收益 -3 39 0 0 0 財務費用 240 258 134 124 185 營業利潤營業利潤 361 946 600 1,228 1,353 所得稅 -22-16 36 74 81 少數股東損益 50 10 0 0 0 凈利潤凈利潤 338 957 564 1,154 1,272 資產負債表資產負債表 貨幣資金、交易性金融資產 5,251 4,181 4,715 1,338 2,211 其他流動資產 177 2
128、91 291 291 291 長期投資 159 194 214 234 254 固定資產合計 9,037 13,166 16,455 19,385 22,031 無形及其他資產 565 740 762 784 807 資產合計資產合計 21,231 27,101 32,627 33,863 39,568 流動負債 7,221 10,258 12,554 12,659 17,117 非流動負債 3,994 5,821 5,821 5,821 5,821 股東權益 10,015 11,022 14,253 15,384 16,630 投入資本投入資本(IC)16,774 20,344 23,574
129、 24,705 25,952 現金流量表現金流量表 NOPLAT 640 1,191 686 1,266 1,440 折舊與攤銷 1,552 2,029 2,279 2,730 3,197 流動資金增量 -211 2,750 1,185-985 2,864 資本支出 -3,619-6,329-6,136-6,228-6,409 自由現金流自由現金流 -1,638-359-1,987-3,217 1,093 經營現金流 2,721 2,871 4,232 3,103 7,537 投資現金流 -5,205-4,968-6,156-6,248-6,429 融資現金流 4,039 2,365 2,45
130、8-232-234 現金流凈增加額現金流凈增加額 1,555 268 534-3,377 873 財務指標財務指標 成長性成長性 收入增長率 30.3%46.8%29.0%22.0%21.0%EBIT 增長率 195.6%94.1%-37.7%84.6%13.8%凈利潤增長率 1668.0%182.7%-41.1%104.6%10.2%利潤率 毛利率 15.5%17.2%16.0%17.8%17.5%EBIT 率 5.6%7.4%3.6%5.4%5.1%凈利潤率 3.1%6.1%2.8%4.6%4.2%收益率收益率 凈資產收益率(ROE)3.5%9.2%4.1%7.8%7.9%總資產收益率(R
131、OA)1.6%3.5%1.7%3.4%3.2%投入資本回報率(ROIC)3.8%5.9%2.9%5.1%5.5%運營能力運營能力 存貨周轉天數 66.1 48.9 48.0 48.0 48.0 應收賬款周轉天數 56.9 46.0 46.0 46.0 46.0 總資產周轉周轉天數 624.9 550.2 527.1 480.9 439.0 凈利潤現金含量 8.0 3.0 7.5 2.7 5.9 資本支出/收入 33.6%40.0%30.1%25.0%21.3%償債能力償債能力 資產負債率 52.8%59.3%56.3%54.6%58.0%凈負債率 112.0%145.9%128.9%120.1
132、%137.9%估值比率估值比率 PE 104.94 37.12 62.98 30.78 27.92 PB 3.26 2.99 2.60 2.40 2.21 EV/EBITDA 15.69 11.35 13.34 10.67 9.01 P/S 2.90 1.97 1.74 1.43 1.18 股息率 0.1%0.0%0.0%0.1%0.1%0%5%11%17%22%28%33%39%1m3m12m-10%3%17%30%44%57%-28%-14%1%15%30%45%2022-032022-082023-01股票絕對漲幅和相對漲幅通富微電價格漲幅通富微電相對指數漲幅4%12%21%30%38%
133、47%20A21A22E23E24E利潤率趨勢收入增長率(%)EBIT/銷售收入(%)3%4%5%7%8%9%20A21A22E23E24E回報率趨勢凈資產收益率(%)投入資本回報率(%)112%119%126%132%139%146%11217135601590318246205902293320A21A22E23E24E凈資產(現金)/)/凈負債凈負債(現金)(百萬)凈負債/凈資產(%)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 Table_MainInfo Table_Title 華天科技華天科技(002185)(002185)國內封測領先者,成長空間較高國內封測領
134、先者,成長空間較高 王聰王聰(分析師分析師)舒迪舒迪(分析師分析師)陳豪杰陳豪杰(研究助理研究助理)021-38676820 021-38676666 021-38038663 證書編號 S0880517010002 S0880521070002 S0880122080153 本報告導讀:本報告導讀:公司為國內封測龍頭,現已掌握公司為國內封測龍頭,現已掌握 3D、SiP、FC、TSV、Bumping、Fan-Out、WLP等集成電路先進封裝技術,前景可期。等集成電路先進封裝技術,前景可期。投資要點:投資要點:Table_Summary 首次覆蓋,給予“增持”評級,目標價首次覆蓋,給予“增持”評
135、級,目標價 13.23 元。元。公司為國內傳統封測領先者,加快布局先進封裝,技術水平不斷提高,給予其 2022-2024年 EPS 為 0.24/0.32/0.49 元??紤]到公司積極布局先進封裝技術,有望打開新的成長空間,24 年半導體封測行業平均估值為 24 倍 PE,給予其 2024 年 27 倍 PE,給予目標價 13.23 元,給予“增持”評級。領先的技術研發和持續的產品創新優勢,逐步掌握國際先進的封裝領先的技術研發和持續的產品創新優勢,逐步掌握國際先進的封裝核心技術。核心技術。公司有封裝技術水平及科技研發實力已處于國內同行業領先地位,公司現已掌握了 3D、SiP、FC、TSV、Bu
136、mping、Fan-Out、WLP 等集成電路先進封裝技術。擁有較強的成本管控,盈利能力處于行業領先水平,長期來看有望進一步提升市場份額和盈利能力。國內晶圓廠擴產帶動需封測求增長,公司業績有望持續提升。國內晶圓廠擴產帶動需封測求增長,公司業績有望持續提升。根據JW Insights 數據,2022-2026 年國內預計新增 25 座 12 英寸晶圓廠。晶圓廠的擴產帶動下游集成電路行業封測市場,公司業績有望進一步提升。催化劑。催化劑。Chiplet 新技術推出;消費電子復蘇。風險提示。風險提示。晶圓廠擴產不及預期;行業景氣度下行風險;行業內競爭加劇的風險。Table_Finance 財務摘要(百
137、萬元)財務摘要(百萬元)2020A 2021A 2022E 2023E 2024E 營業收入營業收入 8,382 12,097 12,097 13,911 17,389(+/-)%3%44%0%15%25%經營利潤(經營利潤(EBIT)977 2,053 842 1,107 1,732(+/-)%92%110%-59%32%56%凈利潤凈利潤 702 1,416 769 1,015 1,564(+/-)%145%102%-46%32%54%每股凈收益(元)每股凈收益(元)0.22 0.44 0.24 0.32 0.49 每股股利(元)每股股利(元)0.02 0.05 0.02 0.03 0.0
138、4 Table_Profit 利潤率和估值指標利潤率和估值指標 2020A 2021A 2022E 2023E 2024E 經營利潤率經營利潤率(%)11.7%17.0%7.0%8.0%10.0%凈資產收益率凈資產收益率(%)8.2%9.4%4.9%6.1%8.6%投入資本回報率投入資本回報率(%)5.6%7.7%3.0%3.8%5.7%EV/EBITDA 15.97 10.23 10.91 9.26 7.04 市盈率市盈率 47.49 23.54 43.31 32.84 21.31 股息率股息率(%)0.2%0.4%0.2%0.3%0.4%Table_Invest 首次覆蓋首次覆蓋 評級:評
139、級:增持增持 目標價格:目標價格:13.23 當前價格:10.40 2023.03.22 Table_Market 交易數據 52 周內股價區間(元)周內股價區間(元)7.81-11.19 總市值(百萬元)總市值(百萬元)33,327 總股本總股本/流通流通 A股(百萬股)股(百萬股)3,204/3,204 流通流通 B 股股/H股(百萬股)股(百萬股)0/0 流通股比例流通股比例 100%日均成交量(百萬股)日均成交量(百萬股)36.93 日均成交值(百萬元)日均成交值(百萬元)353.11 Table_Balance 資產負債表摘要 股東權益(百萬元)股東權益(百萬元)15,585 每股凈
140、資產每股凈資產 4.86 市凈率市凈率 2.1 凈負債率凈負債率 5.79%Table_Eps EPS(元)2021A 2022E Q1 0.09 0.06 Q2 0.10 0.10 Q3 0.13 0.06 Q4 0.12 0.02 全年全年 0.44 0.24 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 絕對升幅 9%23%-7%相對指數 12%17%0%公司首次覆蓋公司首次覆蓋 -30%-23%-16%-9%-1%6%2022-032022-072022-112023-0352周內股價走勢圖華天科技深證成指股票研究股票研究 證券研究報告證券研究報告
141、 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 2 Table_Page 華天科技華天科技(002185)(002185)Table_Industry 模型更新時間:2023.03.22 股票研究股票研究 信息科技 電子元器件 Table_Stock 華天科技(002185)Table_Target 首次覆蓋首次覆蓋 評級:評級:增持增持 目標價格:目標價格:13.23 當前價格:10.40 Table_Website 公司網址 www.ht- Table_Company 公司簡介 公司主要從事半導體集成電路、MEMS傳感器、半導體元器件的封裝測試業務。目前公司集
142、成電路封裝產品主要有DIP/SDIP、SOT、SOP、SSOP、TSSOP/ETSSOP、QFP/LQFP/TQFP、QFN/DFN、BGA/LGA、FC、MCM(MCP)、SiP、WLP、TSV、Bumping、MEMS 等多個系列,產品主要應用于計算機、網絡通訊、消費電子及智能移動終端、物聯網、工業自動化控制、汽車電子等電子整機和智能化領。Table_PicTrend 絕對價格回報(%)Table_Range 52 周內價格范圍 7.81-11.19 市值(百萬元)33,327 Table_Forcast 財務預測(單位:百萬元)財務預測(單位:百萬元)損益表損益表 2020A 2021A
143、 2022E 2023E 2024E 營業總收入營業總收入 8,382 12,097 12,097 13,911 17,389 營業成本 6,565 9,120 9,435 10,712 13,042 稅金及附加 53 61 65 75 94 銷售費用 86 105 181 209 261 管理費用 439 547 786 904 1,043 EBIT 977 2,053 842 1,107 1,732 公允價值變動收益 43-36 0 0 0 投資收益 3 27 0 0 0 財務費用 93 121-13-20-5 營業利潤營業利潤 909 1,905 855 1,128 1,737 所得稅
144、88 175 85 113 174 少數股東損益 118 303 0 0 0 凈利潤凈利潤 702 1,416 769 1,015 1,564 資產負債表資產負債表 貨幣資金、交易性金融資產 2,907 7,133 7,529 6,711 7,587 其他流動資產 133 98 98 98 98 長期投資 37 59 79 99 119 固定資產合計 10,685 15,132 17,043 18,906 20,696 無形及其他資產 882 946 958 979 1,004 資產合計資產合計 19,309 29,974 29,847 33,660 34,846 流動負債 5,013 8,1
145、75 7,347 10,236 9,998 非流動負債 2,670 3,835 3,835 3,835 3,835 股東權益 11,626 17,964 18,665 19,589 21,012 投入資本投入資本(IC)15,853 24,274 24,974 25,898 27,322 現金流量表現金流量表 NOPLAT 883 1,863 758 997 1,559 折舊與攤銷 1,442 1,847 2,101 2,450 2,822 流動資金增量 378 1,890 1,738 272 1,408 資本支出 -3,021-5,483-4,124-4,444-4,758 自由現金流自由現
146、金流 -319 117 473-726 1,032 經營現金流 2,058 3,444 4,724 3,852 5,910 投資現金流 -3,058-5,679-4,144-4,464-4,778 融資現金流 1,813 6,471-184-206-255 現金流凈增加額現金流凈增加額 813 4,236 396-818 877 財務指標財務指標 成長性成長性 收入增長率 3.4%44.3%0.0%15.0%25.0%EBIT 增長率 91.7%110.1%-59.0%31.5%56.4%凈利潤增長率 144.7%101.7%-45.6%31.9%54.1%利潤率 毛利率 21.7%24.6%
147、22.0%23.0%25.0%EBIT 率 11.7%17.0%7.0%8.0%10.0%凈利潤率 8.4%11.7%6.4%7.3%9.0%收益率收益率 凈資產收益率(ROE)8.2%9.4%4.9%6.1%8.6%總資產收益率(ROA)3.6%4.7%2.6%3.0%4.5%投入資本回報率(ROIC)5.6%7.7%3.0%3.8%5.7%運營能力運營能力 存貨周轉天數 66.9 69.9 50.0 45.0 40.0 應收賬款周轉天數 58.4 46.6 40.0 35.0 35.0 總資產周轉周轉天數 759.2 733.3 890.1 821.7 709.1 凈利潤現金含量 2.9
148、2.4 6.1 3.8 3.8 資本支出/收入 36.0%45.3%34.1%31.9%27.4%償債能力償債能力 資產負債率 39.8%40.1%37.5%41.8%39.7%凈負債率 66.1%66.9%59.9%71.8%65.8%估值比率估值比率 PE 47.49 23.54 43.31 32.84 21.31 PB 4.39 2.71 2.12 2.00 1.84 EV/EBITDA 15.97 10.23 10.91 9.26 7.04 P/S 3.40 2.75 2.75 2.40 1.92 股息率 0.2%0.4%0.2%0.3%0.4%-13%-7%-1%5%11%17%23
149、%29%1m3m12m-10%3%17%30%44%57%-30%-24%-17%-11%-5%2%2022-032022-082023-01股票絕對漲幅和相對漲幅華天科技價格漲幅華天科技相對指數漲幅0%9%18%27%35%44%20A21A22E23E24E利潤率趨勢收入增長率(%)EBIT/銷售收入(%)3%4%6%7%8%9%20A21A22E23E24E回報率趨勢凈資產收益率(%)投入資本回報率(%)60%62%65%67%69%72%768589611023711513127891406520A21A22E23E24E凈資產(現金)/)/凈負債凈負債(現金)(百萬)凈負債/凈資產(
150、%)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 Table_MainInfo Table_Title 甬矽電子甬矽電子(688362)(688362)深耕先進封裝,聚焦前沿成長深耕先進封裝,聚焦前沿成長 王聰王聰(分析師分析師)舒迪舒迪(分析師分析師)陳豪杰陳豪杰(研究助理研究助理)021-38676820 021-38676666 021-38038663 證書編號 S0880517010002 S0880521070002 S0880122080153 本報告導讀:本報告導讀:封測行業重資產,盈利彈性高,公司有望受益于上行周期的盈利改善;深耕先進封裝封測行業重資產,盈
151、利彈性高,公司有望受益于上行周期的盈利改善;深耕先進封裝領域,有望受益于“后摩爾時代”先進封裝在半導體產品鏈的地位提升領域,有望受益于“后摩爾時代”先進封裝在半導體產品鏈的地位提升 投資要點:投資要點:Table_Summary 首次覆蓋首次覆蓋,給予“增持”評級,基于目標價給予“增持”評級,基于目標價 42 元元。公司深度布局先進封裝產品,主要產品包括:高精密細間距凸點倒裝產品(FC 類),系統級封裝產品(SiP),扁平無引腳封裝產品(QFN/DFN)、微機電系統傳感器(MEMS),預計 2022-2024 年 EPS 為 0.37/0.84/1.30 元??紤]行業景氣度提升帶來的盈利彈性以
152、及公司深度布局 chiplet 底層技術,24 年半導體封測行業平均估值為 24 倍 PE,給予其 2024 年 32倍 PE,給予目標價 42 元。封測行業重資產,公司有望受益于上行周期的盈利改善。封測行業重資產,公司有望受益于上行周期的盈利改善。封測行業需要不斷開支擴產來維持經營,屬于重資產行業,在行業的上行和下行周期均有較高的盈利彈性。下游設計廠商庫存水位于 22H1 處于高位,預計在 2022 年下半年見頂,隨著經濟預期復蘇,2023 年設計廠商會從被動補庫存轉為主動去庫存,庫存水位回落健康水平,封測行業有望迎來上行周期,將給公司帶來盈利的成長性。布局布局 chiplet 底層技術,業
153、績有望進一步突破底層技術,業績有望進一步突破。Chiplet 能夠滿足現今高效能運算處理器的需求,而 SiP 等先進封裝技術是 Chiplet 模式的重要實現基礎。公司布局 SiP 領域擴產,在“后摩爾”時代,先進封裝在產業鏈地位提升的背景之下,有望進一步獲得行業紅利,業績實現突破。員工激勵到位,業績有望進一步實現突破員工激勵到位,業績有望進一步實現突破。我們認為公司歷史實現新產品的快速研發和量產源自于核心人員的行業積累,當前公司重視員工激勵,有望從根本完成新領域的布局。風險提示。風險提示。晶圓廠擴產不及預期;產品驗證不及預期。Table_Finance 財務摘要(百萬元)財務摘要(百萬元)2
154、020A 2021A 2022E 2023E 2024E 營業收入營業收入 748 2,055 2,184 3,223 4,392(+/-)%105%175%6%48%36%經營利潤(經營利潤(EBIT)34 432 215 401 604(+/-)%199%1178%-50%87%51%凈利潤凈利潤 28 322 151 343 529(+/-)%170%1056%-53%128%54%每股凈收益(元)每股凈收益(元)0.07 0.79 0.37 0.84 1.30 每股股利(元)每股股利(元)0.00 0.00 0.04 0.08 0.13 Table_Profit 利潤率和估值指標利潤率
155、和估值指標 2020A 2021A 2022E 2023E 2024E 經營利潤率經營利潤率(%)4.5%21.0%9.8%12.4%13.7%凈資產收益率凈資產收益率(%)9.4%23.5%6.0%12.1%16.0%投入資本回報率投入資本回報率(%)3.0%10.8%4.1%7.2%9.9%EV/EBITDA 87.35 17.56 20.84 14.26 10.34 市盈率市盈率 438.96 37.96 81.22 35.65 23.13 股息率股息率(%)0.0%0.0%0.1%0.3%0.4%Table_Invest 首次覆蓋首次覆蓋 評級:評級:增持增持 目標價格:目標價格:42
156、.00 當前價格:29.99 2023.03.22 Table_Market 交易數據 52 周內股價區間(元)周內股價區間(元)21.79-30.93 總市值(百萬元)總市值(百萬元)12,226 總股本總股本/流通流通 A股(百萬股)股(百萬股)408/46 流通流通 B 股股/H股(百萬股)股(百萬股)0/0 流通股比例流通股比例 11%日均成交量(百萬股)日均成交量(百萬股)5.28 日均成交值(百萬元)日均成交值(百萬元)139.69 Table_Balance 資產負債表摘要 股東權益(百萬元)股東權益(百萬元)1,605 每股凈資產每股凈資產 3.94 市凈率市凈率 7.6 凈負
157、債率凈負債率 140.76%Table_Eps EPS(元)2021A 2022E Q1 0.00-Q2 0.26 0.28 Q3 0.23 0.22 Q4 0.29(0.13)全年全年 0.79 0.37 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 絕對升幅 6%25%相對指數 8%19%-0%公司首次覆蓋公司首次覆蓋 -27%-21%-15%-9%-3%3%2022-112023-012023-0352周內股價走勢圖甬矽電子上證指數股票研究股票研究 證券研究報告證券研究報告 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of
158、2 Table_Page 甬矽電子甬矽電子(688362)(688362)Table_Industry 模型更新時間:2023.03.22 股票研究股票研究 信息科技 電子元器件 Table_Stock 甬矽電子(688362)Table_Target 首次覆蓋首次覆蓋 評級:評級:增持增持 目標價格:目標價格:42.00 當前價格:29.99 Table_Website 公司網址 www.forehope- Table_Company 公司簡介 公司主要從事集成電路的封裝和測試業務,從成立之初即聚焦集成電路封測業務中的先進封裝領域,車間潔凈等級、生產設備、產線布局、工藝路線、技術研發、業務團
159、隊、客戶導入均以先進封裝業務為導向。公司為寧波市高新技術企業,公司 2020 年入選國家第四批“集成電路重大項目企業名單”,“年產 25 億塊通信用高密度集成電路及模塊封裝項目”被評為浙江省重大項目。Table_PicTrend 絕對價格回報(%)Table_Range 52 周內價格范圍 21.79-30.93 市值(百萬元)12,226 Table_Forcast 財務預測(單位:百萬元)財務預測(單位:百萬元)損益表損益表 2020A 2021A 2022E 2023E 2024E 營業總收入營業總收入 748 2,055 2,184 3,223 4,392 營業成本 593 1,392
160、 1,629 2,385 3,281 稅金及附加 3 4 4 6 8 銷售費用 12 22 22 32 44 管理費用 66 117 175 209 264 EBIT 34 432 215 401 604 公允價值變動收益 0 0 0 0 0 投資收益 0 0 0 0 0 財務費用 3 71 50 24 23 營業利潤營業利潤 31 362 165 377 581 所得稅 1 34 15 34 52 少數股東損益 0 0 0 0 0 凈利潤凈利潤 28 322 151 343 529 資產負債表資產負債表 貨幣資金、交易性金融資產 240 295 1,704 1,786 1,949 其他流動資
161、產 0 2 2 2 2 長期投資 0 0 0 0 0 固定資產合計 1,065 3,077 3,491 4,014 4,718 無形及其他資產 81 203 232 261 290 資產合計資產合計 2,666 4,632 6,230 7,441 8,379 流動負債 1,785 2,218 2,671 3,573 4,035 非流動負債 586 1,041 1,041 1,041 1,041 股東權益 296 1,373 2,518 2,826 3,302 投入資本投入資本(IC)1,070 3,616 4,760 5,069 5,544 現金流量表現金流量表 NOPLAT 33 391 1
162、96 365 549 折舊與攤銷 92 272 397 488 607 流動資金增量 867-860 807 425 520 資本支出 -1,223-2,201-940-1,140-1,440 自由現金流自由現金流 -232-2,398 460 138 237 經營現金流 381 819 1,410 1,311 1,711 投資現金流 -1,228-2,200-940-1,140-1,440 融資現金流 961 1,453 939-89-108 現金流凈增加額現金流凈增加額 114 71 1,409 82 163 財務指標財務指標 成長性成長性 收入增長率 104.5%174.7%6.3%47
163、.6%36.3%EBIT 增長率 198.7%1177.8%-50.3%86.5%50.5%凈利潤增長率 170.3%1056.4%-53.3%127.8%54.2%利潤率 毛利率 20.7%32.3%25.4%26.0%25.3%EBIT 率 4.5%21.0%9.8%12.4%13.7%凈利潤率 3.7%15.7%6.9%10.6%12.0%收益率收益率 凈資產收益率(ROE)9.4%23.5%6.0%12.1%16.0%總資產收益率(ROA)1.0%7.0%2.4%4.6%6.3%投入資本回報率(ROIC)3.0%10.8%4.1%7.2%9.9%運營能力運營能力 存貨周轉天數 43.5
164、 48.2 50.0 30.0 30.0 應收賬款周轉天數 63.8 48.6 45.0 40.0 40.0 總資產周轉周轉天數 952.2 639.4 895.1 763.5 648.4 凈利潤現金含量 13.7 2.5 9.4 3.8 3.2 資本支出/收入 163.5%107.1%43.0%35.4%32.8%償債能力償債能力 資產負債率 88.9%70.4%59.6%62.0%60.6%凈負債率 801.6%237.4%147.4%163.3%153.8%估值比率估值比率 PE 438.96 37.96 81.22 35.65 23.13 PB 35.26 7.59 4.86 4.33
165、 3.70 EV/EBITDA 87.35 17.56 20.84 14.26 10.34 P/S 13.94 5.07 5.60 3.79 2.78 股息率 0.0%0.0%0.1%0.3%0.4%3%6%10%14%17%21%25%28%1m3m-10%3%17%30%44%57%-27%-21%-14%-7%0%7%2022-112023-012023-03股票絕對漲幅和相對漲幅甬矽電子價格漲幅甬矽電子相對指數漲幅5%39%73%107%141%175%20A21A22E23E24E利潤率趨勢收入增長率(%)EBIT/銷售收入(%)3%7%11%15%19%24%20A21A22E23
166、E24E回報率趨勢凈資產收益率(%)投入資本回報率(%)147%278%409%540%671%802%23732914345539964537507820A21A22E23E24E凈資產(現金)/)/凈負債凈負債(現金)(百萬)凈負債/凈資產(%)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 Table_MainInfo Table_Title 偉測科技偉測科技(688372)(688372)第三方集成電路測試龍頭,成長性好第三方集成電路測試龍頭,成長性好 王聰王聰(分析師分析師)舒迪舒迪(分析師分析師)陳豪杰陳豪杰(研究助理研究助理)021-38676820 021-3
167、8676666 021-38038663 證書編號 S0880517010002 S0880521070002 S0880122080153 本報告導讀:本報告導讀:公司為國內第三方集成電路測試龍頭,擁有廣泛的客戶資源,市場認可度較高,技術公司為國內第三方集成電路測試龍頭,擁有廣泛的客戶資源,市場認可度較高,技術不斷得到優化,有較高的發展潛力。不斷得到優化,有較高的發展潛力。投資要點:投資要點:Table_Summary 首次覆蓋,給予增持評級,目標價首次覆蓋,給予增持評級,目標價 142.56 元。元。公司為國內第三方集成電路測試龍頭,國內市場前景良好,業績有望持續增長。預計其2022-20
168、24 年 EPS 為 2.82/4.34/5.94 元??紤]到 Chiplet 封裝模式將帶來更多更嚴苛的測試需求,24 年半導體封測行業平均估值為 24 倍PE,給予其 2024 年 24 倍 PE,目標價 142.56 元。公司為國內第三方集成電路測試龍頭,市場發展空間廣闊。公司為國內第三方集成電路測試龍頭,市場發展空間廣闊。公司自成立以來,其集成電路測試技術不斷得到優化,產能規模持續增長,積累了廣泛的客戶資源并在行業內獲得了一致的認可,主要客戶覆蓋了中興微電子、卓勝微、中芯國際等國內外知名廠商。晶圓測試與成品測試協同發展,產能不斷擴充。晶圓測試與成品測試協同發展,產能不斷擴充。公司創始之
169、初就選擇了發展前景良好的晶圓測試,不斷優化布局,逐漸將業務向成品測試環節延伸。2022 年公司上市將資金投入偉測產能建設項目,主要用于設備的購建和廠房的裝修,提高公司的產能和交付能力。獨立第三方測試需求擴大,大陸測試廠商發展機遇良好。獨立第三方測試需求擴大,大陸測試廠商發展機遇良好。中國臺灣地區的獨立第三方測試廠商的發展驗證了該模式的可行性。隨著國內測試市場規模的不斷擴大,為追求更高的測試技術和測試效率以及結果的中立客觀,中國大陸獨立第三方測試廠商發展機遇良好。風險提示。風險提示。行業景氣度下行的風險;行業競爭加劇的風險;國際地緣政治帶來的風險;產品研發不及預期的風險。Table_Financ
170、e 財務摘要(百萬元)財務摘要(百萬元)2020A 2021A 2022E 2023E 2024E 營業收入營業收入 161 493 740 1,154 1,593(+/-)%107%206%50%56%38%經營利潤(經營利潤(EBIT)45 167 278 404 559(+/-)%152%274%67%45%38%凈利潤凈利潤 35 132 246 379 518(+/-)%209%279%86%54%37%每股凈收益(元)每股凈收益(元)0.40 1.52 2.82 4.34 5.94 每股股利(元)每股股利(元)0.00 0.00 0.08 0.13 0.18 Table_Profi
171、t 利潤率和估值指標利潤率和估值指標 2020A 2021A 2022E 2023E 2024E 經營利潤率經營利潤率(%)27.8%33.9%37.6%35.0%35.1%凈資產收益率凈資產收益率(%)6.1%14.7%10.3%13.8%16.0%投入資本回報率投入資本回報率(%)6.1%10.2%8.7%11.1%13.4%EV/EBITDA 86.08 27.48 21.55 15.26 10.90 市盈率市盈率 256.00 67.49 36.30 23.55 17.21 股息率股息率(%)0.0%0.0%0.1%0.1%0.2%Table_Invest 首次覆蓋首次覆蓋 評級:評級
172、:增持增持 目標價格:目標價格:142.56 當前價格:102.29 2023.03.22 Table_Market 交易數據 52 周內股價區間(元)周內股價區間(元)89.98-126.81 總市值(百萬元)總市值(百萬元)8,921 總股本總股本/流通流通 A股(百萬股)股(百萬股)87/18 流通流通 B 股股/H股(百萬股)股(百萬股)0/0 流通股比例流通股比例 21%日均成交量(百萬股)日均成交量(百萬股)1.09 日均成交值(百萬元)日均成交值(百萬元)120.81 Table_Balance 資產負債表摘要 股東權益(百萬元)股東權益(百萬元)1,065 每股凈資產每股凈資產
173、 12.22 市凈率市凈率 8.4 凈負債率凈負債率 51.31%Table_Eps EPS(元)2021A 2022E Q1 0.00-Q2 0.62 1.30 Q3 0.37 0.60 Q4 0.52 0.92 全年全年 1.52 2.82 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 絕對升幅-12%1%相對指數-11%-6%0%公司首次覆蓋公司首次覆蓋 -19%-13%-6%0%7%14%2022-102022-122023-0252周內股價走勢圖偉測科技上證指數股票研究股票研究 證券研究報告證券研究報告 請務必閱讀正文之后的免責條款部分請務必閱讀
174、正文之后的免責條款部分 2 of 2 Table_Page 偉測科技偉測科技(688372)(688372)Table_Industry 模型更新時間:2023.03.22 股票研究股票研究 信息科技 電子元器件 Table_Stock 偉測科技(688372)Table_Target 首次覆蓋首次覆蓋 評級:評級:增持增持 目標價格:目標價格:142.56 當前價格:102.29 Table_Website 公司網址 www.v- Table_Company 公司簡介 公司是國內知名的第三方集成電路測試服務企業,主營業務包括晶圓測試、芯片成品測試以及與集成電路測試相關的配套服務。公司測試的晶
175、圓和成品芯片在類型上涵蓋 CPU、MCU、FPGA、SoC芯片、射頻芯片、存儲芯片、傳感器芯片、功率芯片等芯片種類,在工藝上涵蓋 7nm、14nm 等先進制程和 28nm 以上的成熟制程,在晶圓尺寸上涵蓋12英寸、8 英寸、6 英寸等主流產品,在下游應用上包括通訊、計算機、汽車電子、工業 Table_PicTrend 絕對價格回報(%)Table_Range 52 周內價格范圍 89.98-126.81 市值(百萬元)8,921 Table_Forcast 財務預測(單位:百萬元)財務預測(單位:百萬元)損益表損益表 2020A 2021A 2022E 2023E 2024E 營業總收入營業總
176、收入 161 493 740 1,154 1,593 營業成本 80 244 355 555 756 稅金及附加 0 1 1 2 2 銷售費用 5 11 17 32 44 管理費用 13 22 30 58 88 EBIT 45 167 278 404 559 公允價值變動收益 0 0 0 0 0 投資收益 0 0 0 0 0 財務費用 7 15 5-17-17 營業利潤營業利潤 38 152 273 421 576 所得稅 3 20 27 42 58 少數股東損益 0 0 0 0 0 凈利潤凈利潤 35 132 246 379 518 資產負債表資產負債表 貨幣資金、交易性金融資產 123 1
177、50 1,407 1,402 1,680 其他流動資產 46 76 108 139 170 長期投資 0 0 0 0 0 固定資產合計 487 710 916 1,144 1,361 無形及其他資產 2 40 44 49 54 資產合計資產合計 856 1,569 3,151 3,617 4,242 流動負債 206 395 501 600 723 非流動負債 84 275 275 275 275 股東權益 567 899 2,374 2,742 3,245 投入資本投入資本(IC)673 1,420 2,895 3,263 3,765 現金流量表現金流量表 NOPLAT 41 145 250
178、 363 503 折舊與攤銷 33 90 95 123 153 流動資金增量 0 44 33-101 38 資本支出 -145-672-330-380-400 自由現金流自由現金流 -71-393 48 5 293 經營現金流 75 252 381 409 717 投資現金流 -175-641-345-395-415 融資現金流 169 440 1,222-19-24 現金流凈增加額現金流凈增加額 70 50 1,258-5 278 財務指標財務指標 成長性成長性 收入增長率 106.8%205.9%50.1%55.9%38.0%EBIT 增長率 152.0%273.6%66.5%45.0%3
179、8.4%凈利潤增長率 209.0%279.3%85.9%54.2%36.8%利潤率 毛利率 50.6%50.5%52.0%51.9%52.5%EBIT 率 27.8%33.9%37.6%35.0%35.1%凈利潤率 21.6%26.8%33.2%32.8%32.5%收益率收益率 凈資產收益率(ROE)6.1%14.7%10.3%13.8%16.0%總資產收益率(ROA)4.1%8.4%7.8%10.5%12.2%投入資本回報率(ROIC)6.1%10.2%8.7%11.1%13.4%運營能力運營能力 存貨周轉天數 11.6 7.3 7.3 7.3 7.3 應收賬款周轉天數 106.9 71.2
180、 71.2 71.2 71.2 總資產周轉周轉天數 1,332.4 885.3 1,147.8 1,055.7 888.3 凈利潤現金含量 2.2 1.9 1.6 1.1 1.4 資本支出/收入 90.1%136.2%44.6%33.0%25.1%償債能力償債能力 資產負債率 33.8%42.7%24.6%24.2%23.5%凈負債率 51.0%74.6%32.7%31.9%30.7%估值比率估值比率 PE 256.00 67.49 36.30 23.55 17.21 PB 11.80 7.44 3.76 3.25 2.75 EV/EBITDA 86.08 27.48 21.55 15.26
181、10.90 P/S 41.51 13.57 12.05 7.73 5.60 股息率 0.0%0.0%0.1%0.1%0.2%-15%-12%-10%-7%-4%-2%1%3%1m3m-10%3%17%30%44%57%-19%-13%-6%0%7%14%2022-102022-122023-02股票絕對漲幅和相對漲幅偉測科技價格漲幅偉測科技相對指數漲幅28%63%99%135%170%206%20A21A22E23E24E利潤率趨勢收入增長率(%)EBIT/銷售收入(%)6%8%10%12%14%16%20A21A22E23E24E回報率趨勢凈資產收益率(%)投入資本回報率(%)31%39%4
182、8%57%66%75%28943157271385520A21A22E23E24E凈資產(現金)/)/凈負債凈負債(現金)(百萬)凈負債/凈資產(%)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 Table_MainInfo Table_Title 和林微納和林微納(688661)(688661)精微零部件疊加半導體測試探針,成長空間巨大精微零部件疊加半導體測試探針,成長空間巨大 王聰王聰(分析師分析師)舒迪舒迪(分析師分析師)陳豪杰陳豪杰(研究助理研究助理)021-38676820 021-38676666 021-38038663 證書編號 S0880517010002
183、 S0880521070002 S0880122080153 本報告導讀:本報告導讀:半導體測試探針需求旺盛,公司探針有望再創佳績;蘋果半導體測試探針需求旺盛,公司探針有望再創佳績;蘋果 MR 即將落地,即將落地,MEMS 精精微零部件業務再添核動力;微零部件業務再添核動力;服務國際知名廠商,建成穩定銷售渠道服務國際知名廠商,建成穩定銷售渠道。投資要點:投資要點:Table_Summary 首次覆蓋,給予首次覆蓋,給予“增持”“增持”評級,目標價評級,目標價 97 元。元。公司深耕 MEMS 精微零部件領域,快速拓展半導體芯片測試探針業務,整體發展迅速,預計 2022-2024 年 EPS 為
184、 0.45/1.24/1.97 元,營收為 2.89/4.21/5.72 億元??紤]行業景氣度提升帶來的盈利彈性以及公司穩定優質的客戶資源,24 年半導體封測設備行業估值為 10 倍 PS,給予其 2024 年 15 倍 PS,給予增持評級,目標價 97 元。半導體測試探針需求旺盛,公司探針有望再創佳績。半導體測試探針需求旺盛,公司探針有望再創佳績。公司憑借探針領域核心技術,探針業務發展迅速,獲得英偉達等知名廠商認可。2021年探針收入 1.56 億元,2018-2021 復合增長率高達 137.82%。未來,得益于 ChatGPT 帶動英偉達的芯片用量以及 Chiplet 技術對測試探針的剛
185、需,公司探針業務有望再創佳績。蘋果蘋果 MR 即將落地,即將落地,MEMS 精微零部件業務再添核動力。精微零部件業務再添核動力。精微屏蔽罩是公司 MEMS 精微零部件主要產品,憑借先進的核心技術,公司精微屏蔽罩產品廣泛應用于蘋果、華為、三星等知名消費電子品牌。蘋果 MR 的推出有望復刻 iPhone 成長軌跡,公司作為蘋果產業鏈重要參與者,精微屏蔽罩將受益于蘋果 MR 放量。服務國際知名廠商,建成穩定銷售渠道。服務國際知名廠商,建成穩定銷售渠道。公司下游客戶對供應商的認證程序十分嚴格,一旦達成合作意向后,其與供應商的合作關系通常較為穩定。公司已通過眾多國際領先客戶的合格認證,與意法半導體、英偉
186、達、博世等多家海外客戶建立了穩固的商業合作伙伴關系 風險提示:風險提示:客戶集中度較高;新市場和新領域拓展的不確定性;國際貿易環境的不確定性。Table_Finance 財務摘要(百萬元)財務摘要(百萬元)2020A 2021A 2022E 2023E 2024E 營業收入營業收入 229 370 289 421 572(+/-)%21%61%-22%45%36%經營利潤(經營利潤(EBIT)73 106 45 114 189(+/-)%252%45%-57%151%66%凈利潤凈利潤 61 103 40 111 177(+/-)%373%68%-61%175%59%每股凈收益(元)每股凈收益
187、(元)0.68 1.15 0.45 1.24 1.97 每股股利(元)每股股利(元)0.08 0.51 0.17 0.46 0.73 Table_Profit 利潤率和估值指標利潤率和估值指標 2020A 2021A 2022E 2023E 2024E 經營利潤率經營利潤率(%)31.9%28.7%15.6%27.0%33.0%凈資產收益率凈資產收益率(%)37.8%18.1%3.1%8.2%12.1%投入資本回報率投入資本回報率(%)39.1%15.2%3.0%7.1%10.9%EV/EBITDA 59.60 54.05 114.41 50.28 30.77 市盈率市盈率 117.88 70
188、.03 178.87 65.05 40.85 股息率股息率(%)0.1%0.6%0.2%0.6%0.9%Table_Invest 首次覆蓋首次覆蓋 評級:評級:增持增持 目標價格:目標價格:97.00 當前價格:80.53 2023.03.22 Table_Market 交易數據 52 周內股價區間(元)周內股價區間(元)41.88-90.14 總市值(百萬元)總市值(百萬元)7,238 總股本總股本/流通流通 A股(百萬股)股(百萬股)90/25 流通流通 B 股股/H股(百萬股)股(百萬股)0/0 流通股比例流通股比例 28%日均成交量(百萬股)日均成交量(百萬股)0.70 日均成交值(百
189、萬元)日均成交值(百萬元)50.35 Table_Balance 資產負債表摘要 股東權益(百萬元)股東權益(百萬元)1,264 每股凈資產每股凈資產 14.06 市凈率市凈率 5.7 凈負債率凈負債率-72.75%Table_Eps EPS(元)2021A 2022E Q1 0.38 0.22 Q2 0.23 0.19 Q3 0.32 0.09 Q4 0.22(0.05)全年全年 1.15 0.45 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 絕對升幅 15%26%27%相對指數 17%20%27%公司首次覆蓋公司首次覆蓋 -35%-20%-4%11%
190、26%42%2022-032022-072022-112023-0352周內股價走勢圖和林微納上證指數股票研究股票研究 證券研究報告證券研究報告 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 2 Table_Page 和林微納和林微納(688661)(688661)Table_Industry 模型更新時間:2023.03.22 股票研究股票研究 信息科技 電子元器件 Table_Stock 和林微納(688661)Table_Target 首次覆蓋首次覆蓋 評級:評級:增持增持 目標價格:目標價格:97.00 當前價格:80.53 Table_Website 公
191、司網址 Table_Company 公司簡介 公司是國內先進的精微電子零部件制造企業之一。在微機電(MEMS)精微電子零部件領域,公司國內少數能夠進入國際先進MEMS 廠商供應鏈體系并且參與國際競爭的微型精密制造企業之一,擁有行業內領先的技術實力和優質的客戶資源,尤其在聲學傳感器領域內具有突出的市場地位和市場份額,主營業務為微型精密電子零部件和元器件的研發、設計、生產和銷售,公司主要產品為微機電(MEMS)精微電子零部件系列產品以及 Table_PicTrend 絕對價格回報(%)Table_Range 52 周內價格范圍 41.88-90.14 市值(百萬元)7,238 Table_Forc
192、ast 財務預測(單位:百萬元)財務預測(單位:百萬元)損益表損益表 2020A 2021A 2022E 2023E 2024E 營業總收入營業總收入 229 370 289 421 572 營業成本 126 208 180 240 305 稅金及附加 2 2 2 4 4 銷售費用 5 11 19 19 20 管理費用 10 15 17 17 17 EBIT 73 106 45 114 189 公允價值變動收益 0 1 0 0 0 投資收益 0 3 0 0 0 財務費用 2 0-1-14-15 營業利潤營業利潤 71 111 47 128 204 所得稅 9 14 6 17 26 少數股東損益
193、 0 0 0 0 0 凈利潤凈利潤 61 103 40 111 177 資產負債表資產負債表 貨幣資金、交易性金融資產 27 316 1,037 1,062 1,138 其他流動資產 4 6 6 2 2 長期投資 0 0 0 0 0 固定資產合計 47 74 98 121 143 無形及其他資產 11 122 122 122 122 資產合計資產合計 230 695 1,421 1,574 1,707 流動負債 65 79 90 172 194 非流動負債 3 45 45 45 45 股東權益 162 571 1,286 1,356 1,468 投入資本投入資本(IC)162 614 1,32
194、9 1,399 1,511 現金流量表現金流量表 NOPLAT 63 93 39 99 164 折舊與攤銷 8 12 11 14 17 流動資金增量 -25-215 40-11-2 資本支出 -22-169-41-43-46 自由現金流自由現金流 24-279 50 59 133 經營現金流 51 104 93 115 193 投資現金流 -22-368-46-48-51 融資現金流 -4 350 674-42-66 現金流凈增加額現金流凈增加額 25 85 721 25 76 財務指標財務指標 成長性成長性 收入增長率 21.1%61.3%-21.8%45.5%36.0%EBIT 增長率 2
195、51.8%45.3%-57.4%151.1%66.3%凈利潤增長率 373.4%68.3%-60.8%175.0%59.2%利潤率 毛利率 45.0%43.7%37.8%43.1%46.7%EBIT 率 31.9%28.7%15.6%27.0%33.0%凈利潤率 26.8%27.9%14.0%26.4%31.0%收益率收益率 凈資產收益率(ROE)37.8%18.1%3.1%8.2%12.1%總資產收益率(ROA)26.7%14.9%2.8%7.1%10.4%投入資本回報率(ROIC)39.1%15.2%3.0%7.1%10.9%運營能力運營能力 存貨周轉天數 74.4 61.3 60.0 6
196、0.0 60.0 應收賬款周轉天數 104.2 71.7 90.0 80.0 80.0 總資產周轉周轉天數 303.2 450.0 1,316.8 1,281.0 1,031.8 凈利潤現金含量 0.8 1.0 2.3 1.0 1.1 資本支出/收入 9.6%45.5%14.2%10.3%8.1%償債能力償債能力 資產負債率 29.4%17.9%9.5%13.8%14.0%凈負債率 41.7%21.8%10.5%16.1%16.3%估值比率估值比率 PE 117.88 70.03 178.87 65.05 40.85 PB 29.77 11.28 5.63 5.34 4.93 EV/EBITD
197、A 59.60 54.05 114.41 50.28 30.77 P/S 21.06 17.41 25.02 17.20 12.65 股息率 0.1%0.6%0.2%0.6%0.9%13%15%18%20%22%24%27%29%1m3m12m-10%3%17%30%44%57%-35%-20%-4%11%26%42%2022-032022-082023-01股票絕對漲幅和相對漲幅和林微納價格漲幅和林微納相對指數漲幅-22%-5%11%28%45%61%20A21A22E23E24E利潤率趨勢收入增長率(%)EBIT/銷售收入(%)3%10%17%25%32%39%20A21A22E23E24
198、E回報率趨勢凈資產收益率(%)投入資本回報率(%)11%17%23%29%35%42%6810213617120523920A21A22E23E24E凈資產(現金)/)/凈負債凈負債(現金)(百萬)凈負債/凈資產(%)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 股票研究股票研究 證券研究報告證券研究報告 Table_MainInfo Table_Title 生益科技生益科技(600183)(600183)覆銅板主業迎改善,積極布局覆銅板主業迎改善,積極布局 ABF 載板增層材料載板增層材料 王聰王聰(分析師分析師)文紫妍文紫妍(研究助理研究助理)021-38676820
199、021-38038321 證書編號 S0880517010002 S0880121070034 本報告導讀:本報告導讀:覆銅板景氣度有望邊際改善,公司作為龍頭盈利能力有望率先恢復。覆銅板景氣度有望邊際改善,公司作為龍頭盈利能力有望率先恢復。ABF 載板產業載板產業鏈國產化加速推進,公司積極布局上游增層材料鏈國產化加速推進,公司積極布局上游增層材料,未來增長動力充足,未來增長動力充足。投資要點:投資要點:Table_Summary 維持維持增持評級增持評級,上調,上調目標價目標價至至 28.75 元元。根據公司業績快報,我們下調其 2022 年 EPS 為 0.66 元(前值為 0.68 元),
200、維持其 2023/2024 年EPS 為 0.94/1.15 元,參照行業估值水平(2024 年 PE18X)并考慮到其在覆銅板中的龍頭地位,給予其 2024 年 25 倍 PE,上調目標價至28.75 元,維持增持評級。覆銅板景氣度有望邊際改善,覆銅板景氣度有望邊際改善,公司公司作為作為龍頭盈利能力有望率先龍頭盈利能力有望率先恢復?;謴?。受消費電子需求疲軟以及供給端產能釋放價格競爭激烈的影響,覆銅板行業景氣度下行,公司業績短期承壓。但是未來隨著宏觀經濟邊際改善需求端有望迎來復蘇,疊加上游庫存消化完畢,產品需求以及價格均有望回升。公司份額全球領先,技術積累深厚,同時成本優勢和交付能力優秀。同時
201、其持續進行產品結構升級在 HDI 基板/封裝基板等產品中持續布局,未來盈利水平有望改善。伴隨著未來行業景氣度轉好,公司作為龍頭,業績有望率先迎來拐點。ABF 載板產業鏈國產化加速推進,公司積極布局上游增層材料。載板產業鏈國產化加速推進,公司積極布局上游增層材料。國內企業積極推進 Chiplet 開發,有望打破海外技術封鎖,從而進一步打開國產 ABF 載板空間。ABF 所需的上游薄膜原料由日本味之素完全壟斷(份額 95%以上),此前其擴產意愿不足導致 ABF 產能受限。同時科技摩擦背景及國產化大趨勢下,公司積極針對增層絕緣膜材料進行研發,目前配套客戶驗證進度順利。催化劑。催化劑。覆銅板行業景氣度
202、回升;公司 ABF 增層材料驗證取得進展 風險提示。風險提示。新產品驗證不及預期;中美貿易摩擦的不確定性 Table_Finance 財務摘要(百萬元)財務摘要(百萬元)2020A 2021A 2022E 2023E 2024E 營業收入營業收入 14,687 20,274 18,014 20,367 23,511(+/-)%11%38%-11%13%15%經營利潤(經營利潤(EBIT)2,142 3,200 1,765 2,454 3,068(+/-)%13%49%-45%39%25%凈利潤凈利潤 1,681 2,830 1,532 2,177 2,673(+/-)%16%68%-46%42
203、%23%每股凈收益(元)每股凈收益(元)0.72 1.22 0.66 0.94 1.15 每股股利(元)每股股利(元)0.40 0.60 0.60 0.60 0.60 Table_Profit 利潤率和估值指標利潤率和估值指標 2020A 2021A 2022E 2023E 2024E 經營利潤率經營利潤率(%)14.6%15.8%9.8%12.1%13.1%凈資產收益率凈資產收益率(%)17.0%21.6%10.5%13.0%13.7%投入資本回報率投入資本回報率(%)13.1%15.0%7.8%9.8%11.0%EV/EBITDA 25.12 14.49 18.95 15.52 11.26
204、 市盈率市盈率 27.16 16.13 29.79 20.97 17.08 股息率股息率(%)2.0%3.1%3.1%3.1%3.1%Table_Invest 評級:評級:增持增持 上次評級:增持 目標價格:目標價格:28.75 上次預測:18.80 當前價格:19.61 2023.03.23 Table_Market 交易數據 52 周內股價區間(元)周內股價區間(元)12.70-19.61 總市值(百萬元)總市值(百萬元)45,641 總股本總股本/流通流通 A股(百萬股)股(百萬股)2,327/2,327 流通流通 B 股股/H股(百萬股)股(百萬股)0/0 流通股比例流通股比例 100
205、%日均成交量(百萬股)日均成交量(百萬股)14.44 日均成交值(百萬元)日均成交值(百萬元)251.66 Table_Balance 資產負債表摘要 股東權益(百萬元)股東權益(百萬元)13,188 每股凈資產每股凈資產 5.67 市凈率市凈率 3.5 凈負債率凈負債率 12.94%Table_Eps EPS(元)2021A 2022E Q1 0.23 0.21 Q2 0.37 0.20 Q3 0.40 0.11 Q4 0.21 0.14 全年全年 1.22 0.66 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 絕對升幅 14%34%19%相對指數 1
206、4%26%19%Table_Report 相關報告 龍頭優勢顯著,業績有望逐步迎來拐點2022.12.22 公司更新公司更新 -23%-14%-6%2%11%19%2022-032022-072022-112023-0352周內股價走勢圖生益科技上證指數 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 2 Table_Page 生益科技生益科技(600183)(600183)Table_Industry 模型更新時間:2023.03.23 股票研究股票研究 信息科技 電子元器件 Table_Stock 生益科技(600183)Table_Target 評級:評級:增
207、持增持 上次評級:增持 目標價格:目標價格:28.75 上次預測:18.80 當前價格:19.61 Table_Website 公司網址 Table_Company 公司簡介 公司始終立足于高標準、高品質、高性能、高可靠性,自主生產覆銅板、半固化片、絕緣層壓板、金屬基覆銅箔板、涂樹脂銅箔、覆蓋膜類等高端電子材料。產品主要供制作單、雙面線路板及高多層線路板,廣泛用于家電、手機、汽車、電腦、航空航天工業、通訊設備以及各種中高檔電子產品中。Table_PicTrend 絕對價格回報(%)Table_Range 52 周內價格范圍 12.70-19.61 市值(百萬元)45,641 Table_For
208、cast 財務預測(單位:百萬元)財務預測(單位:百萬元)損益表損益表 2020A 2021A 2022E 2023E 2024E 營業總收入營業總收入 14,687 20,274 18,014 20,367 23,511 營業成本 10,754 14,837 14,231 15,683 17,868 稅金及附加 104 120 117 132 153 銷售費用 179 231 216 224 259 管理費用 720 910 811 917 1,058 EBIT 2,142 3,200 1,765 2,454 3,068 公允價值變動收益 3 50 0 0 0 投資收益 76 36 27 7
209、1 82 財務費用 114 102 100 98 165 營業利潤營業利潤 2,090 3,316 1,782 2,529 3,103 所得稅 283 385 195 277 341 少數股東損益 120 95 47 67 83 凈利潤凈利潤 1,681 2,830 1,532 2,177 2,673 資產負債表資產負債表 貨幣資金、交易性金融資產 973 2,467 6,943 3,069 8,119 其他流動資產 154 80 80 80 80 長期投資 358 456 456 456 456 固定資產合計 6,374 7,071 8,141 9,127 10,081 無形及其他資產 44
210、9 716 717 718 719 資產合計資產合計 18,357 24,431 24,364 28,549 30,265 流動負債 6,804 7,253 5,836 8,007 7,167 非流動負債 910 2,312 2,082 1,852 1,652 股東權益 10,643 14,866 16,446 18,690 21,445 投入資本投入資本(IC)14,130 18,849 20,198 22,213 24,768 現金流量表現金流量表 NOPLAT 1,851 2,827 1,571 2,184 2,731 折舊與攤銷 531 673 485 528 574 流動資金增量 -
211、306-2,654 3,845-5,113 3,324 資本支出 -1,753-1,756-1,120-1,209-1,293 自由現金流自由現金流 323-910 4,780-3,610 5,336 經營現金流 1,758 1,776 5,945-2,373 6,583 投資現金流 -1,833-1,880-1,093-1,137-1,211 融資現金流 -215 1,398-376-364-323 現金流凈增加額現金流凈增加額 -290 1,293 4,476-3,874 5,050 財務指標財務指標 成長性成長性 收入增長率 10.9%38.0%-11.1%13.1%15.4%EBIT
212、增長率 13.1%49.4%-44.8%39.0%25.0%凈利潤增長率 16.0%68.4%-45.9%42.1%22.8%利潤率 毛利率 26.8%26.8%21.0%23.0%24.0%EBIT 率 14.6%15.8%9.8%12.1%13.1%凈利潤率 11.4%14.0%8.5%10.7%11.4%收益率收益率 凈資產收益率(ROE)17.0%21.6%10.5%13.0%13.7%總資產收益率(ROA)9.2%11.6%6.3%7.6%8.8%投入資本回報率(ROIC)13.1%15.0%7.8%9.8%11.0%運營能力運營能力 存貨周轉天數 77.3 85.4 85.4 85
213、.4 85.4 應收賬款周轉天數 116.3 97.7 97.7 97.7 97.7 總資產周轉周轉天數 415.4 379.9 487.6 467.6 450.3 凈利潤現金含量 1.0 0.6 3.9-1.1 2.5 資本支出/收入 11.9%8.7%6.2%5.9%5.5%償債能力償債能力 資產負債率 42.0%39.2%32.5%34.5%29.1%凈負債率 72.5%64.3%48.1%52.7%41.1%估值比率估值比率 PE 27.16 16.13 29.79 20.97 17.08 PB 6.52 4.16 3.12 2.72 2.34 EV/EBITDA 25.12 14.4
214、9 18.95 15.52 11.26 P/S 3.06 2.24 2.53 2.24 1.94 股息率 2.0%3.1%3.1%3.1%3.1%10%14%18%22%26%30%34%38%1m3m12m-10%3%17%30%44%57%-23%-14%-6%2%11%19%2022-032022-082023-01股票絕對漲幅和相對漲幅生益科技價格漲幅生益科技相對指數漲幅-11%-1%9%18%28%38%20A21A22E23E24E利潤率趨勢收入增長率(%)EBIT/銷售收入(%)8%11%13%16%19%22%20A21A22E23E24E回報率趨勢凈資產收益率(%)投入資本回
215、報率(%)41%47%54%60%66%73%77168143857089969423985020A21A22E23E24E凈資產(現金)/)/凈負債凈負債(現金)(百萬)凈負債/凈資產(%)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 Table_MainInfo Table_Title 方邦股份方邦股份(688020)(688020)耕耘高端電子材料,超薄銅箔耕耘高端電子材料,超薄銅箔/FCCL等逐步推進等逐步推進 王聰王聰(分析師分析師)文紫妍文紫妍(研究助理研究助理)021-38676820 021-38038321 證書編號 S0880517010002 S088
216、0121070034 本報告導讀:本報告導讀:公司公司銅箔業務優化產品結構,電磁屏蔽膜發力新客戶銅箔業務優化產品結構,電磁屏蔽膜發力新客戶。其其持續耕耘高端電子材料研發,持續耕耘高端電子材料研發,可剝離可剝離超薄銅箔超薄銅箔/FCCL等逐步推進等逐步推進。投資要點:投資要點:Table_Summary 首次覆蓋,給予增持評級,目標價首次覆蓋,給予增持評級,目標價 86.71 元。元。預計其 2022-2024 年 EPS為-0.85、0.72、3.77 元,參照行業平均估值(2024 年 PE18X),并考慮其新品驗證通過后的業績爆發力,給予其 2024 年 PE23X,對應目標價 86.71
217、 元,給予增持評級 銅箔業務銅箔業務優化產品結構優化產品結構,電磁屏蔽膜發力新客戶。電磁屏蔽膜發力新客戶。在銅箔業務領域,公司 2023 年預計將以標準電子銅箔為主,推動產能、銷售和良率提升,同時大力推動 RTF、VLP 等產品的認證進度及訂單落實,以進一步優化銅箔業務產品結構,提升盈利能力。而在目前主業電磁屏蔽膜領域,公司著力于穩定當前收入利潤規模,同時持續迭代升級產品性能,密切關注新客戶、新領域的技術發展趨勢,以求實現增量突破。持續持續耕耘耕耘高端電子材料研發,高端電子材料研發,可剝離可剝離超薄銅箔超薄銅箔/FCCL 等逐步推進。等逐步推進??蓜冸x超薄銅箔主要應用于 IC 載板,目前公司產
218、品正在進行客戶認證,送樣品質穩定,某寬幅產品已通過部分載板廠商的物性、工藝測試,并通過了部分終端的首輪驗證。公司撓性覆銅板(FCCL)目前正在進行小批量量產工作,常規 FCCL 已在 2022 年三、四季度落實小額訂單。極薄 FCCL 目前處于客戶測試認證階段。公司積極推進高端電子材料研發,未來將進一步加大市場開拓力度,實現訂單逐步爬坡。催化劑。催化劑。新產品客戶驗證通過 風險提示。風險提示。中美貿易摩擦的不確定性;原材料價格劇烈波動 Table_Finance 財務摘要(百萬元)財務摘要(百萬元)2020A 2021A 2022E 2023E 2024E 營業收入營業收入 288 286 3
219、13 556 1,355(+/-)%-1%-1%9%78%144%經營利潤(經營利潤(EBIT)106 26-34 40 291(+/-)%-19%-76%-231%218%632%凈利潤凈利潤 119 35-68 58 302(+/-)%-8%-71%-294%185%421%每股凈收益(元)每股凈收益(元)1.48 0.44-0.85 0.72 3.77 每股股利(元)每股股利(元)0.50 0.19 0.00 0.20 0.20 Table_Profit 利潤率和估值指標利潤率和估值指標 2020A 2021A 2022E 2023E 2024E 經營利潤率經營利潤率(%)36.7%9.
220、0%-10.8%7.1%21.4%凈資產收益率凈資產收益率(%)7.4%2.2%-4.4%3.6%16.1%投入資本回報率投入資本回報率(%)5.5%1.3%-2.1%2.1%13.0%EV/EBITDA 59.58 117.77 256.33 52.37 15.16 市盈率市盈率 46.29 156.92 94.92 18.20 股息率股息率(%)0.7%0.3%0.0%0.3%0.3%Table_Invest 首次覆蓋首次覆蓋 評級:評級:增持增持 目標價格:目標價格:86.71 當前價格:68.61 2023.03.23 Table_Market 交易數據 52 周內股價區間(元)周內股
221、價區間(元)30.97-75.00 總市值(百萬元)總市值(百萬元)5,503 總股本總股本/流通流通 A股(百萬股)股(百萬股)80/80 流通流通 B 股股/H股(百萬股)股(百萬股)0/0 流通股比例流通股比例 100%日均成交量(百萬股)日均成交量(百萬股)1.30 日均成交值(百萬元)日均成交值(百萬元)85.91 Table_Balance 資產負債表摘要 股東權益(百萬元)股東權益(百萬元)1,562 每股凈資產每股凈資產 19.48 市凈率市凈率 3.5 凈負債率凈負債率-27.54%Table_Eps EPS(元)2021A 2022E Q1 0.24-0.16 Q2 0.2
222、2-0.24 Q3 0.13-0.27 Q4-0.16-0.18 全年全年 0.44-0.85 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 絕對升幅 0%31%25%相對指數 0%23%24%公司首次覆蓋公司首次覆蓋 -44%-28%-12%4%20%36%2022-032022-072022-112023-0352周內股價走勢圖方邦股份上證指數股票研究股票研究 證券研究報告證券研究報告 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 2 Table_Page 方邦股份方邦股份(688020)(688020)Table_Ind
223、ustry 模型更新時間:2023.03.23 股票研究股票研究 信息科技 電子元器件 Table_Stock 方邦股份(688020)Table_Target 首次覆蓋首次覆蓋 評級:評級:增持增持 目標價格:目標價格:86.71 當前價格:68.61 Table_Website 公司網址 Table_Company 公司簡介 公司是一家高端電子材料及解決方案供應商,主要產品包括電磁屏蔽膜、導電膠膜、極薄撓性覆銅板及超薄銅箔等,均屬于高技術含量的產品。公司專注于電磁屏蔽膜等高端電子材料的研究和應用,經過多年的技術攻關和研究試驗,已經掌握了聚酰亞胺表面改性處理、精密涂布技術及離型劑配方、聚酰亞
224、胺薄膜離子源處理、卷狀真空濺射、連續卷狀電鍍/解、電沉積加厚和電沉積表面抗高溫氧化處理等技術,并不斷完善原料 Table_PicTrend 絕對價格回報(%)Table_Range 52 周內價格范圍 30.97-75.00 市值(百萬元)5,503 Table_Forcast 財務預測(單位:百萬元)財務預測(單位:百萬元)損益表損益表 2020A 2021A 2022E 2023E 2024E 營業總收入營業總收入 288 286 313 556 1,355 營業成本 97 144 230 331 770 稅金及附加 2 1 2 4 9 銷售費用 11 12 13 22 41 管理費用 3
225、1 41 41 56 75 EBIT 106 26-34 40 291 公允價值變動收益 0 0 0 0 0 投資收益 36 18 13 22 54 財務費用 -1-4-5-4 2 營業利潤營業利潤 142 46-66 66 343 所得稅 17 6 3 7 38 少數股東損益 6 6-1 1 3 凈利潤凈利潤 119 35-68 58 302 資產負債表資產負債表 貨幣資金、交易性金融資產 1,159 623 568 294 258 其他流動資產 21 229 229 229 229 長期投資 0 0 0 0 0 固定資產合計 70 469 644 785 890 無形及其他資產 63 51
226、 51 50 50 資產合計資產合計 1,782 1,927 1,896 2,063 2,905 流動負債 120 256 295 420 973 非流動負債 34 35 34 34 34 股東權益 1,629 1,635 1,566 1,609 1,898 投入資本投入資本(IC)1,675 1,725 1,655 1,697 1,986 現金流量表現金流量表 NOPLAT 93 23-35 35 259 折舊與攤銷 16 34 54 66 75 流動資金增量 306 363 77-240-260 資本支出 -277-381-121-141-141 自由現金流自由現金流 138 38-25-
227、280-67 經營現金流 145 35 53-135 70 投資現金流 31-27-105-119-87 融資現金流 0-22-4-20-19 現金流凈增加額現金流凈增加額 176-14-56-274-36 財務指標財務指標 成長性成長性 收入增長率 -1.1%-0.8%9.2%77.9%143.7%EBIT 增長率 -18.5%-75.7%-231.1%218.0%631.8%凈利潤增長率 -7.6%-70.5%-294.4%185.0%421.4%利潤率 毛利率 66.2%49.8%26.5%40.4%43.2%EBIT 率 36.7%9.0%-10.8%7.1%21.4%凈利潤率 41.
228、2%12.3%-21.8%10.4%22.3%收益率收益率 凈資產收益率(ROE)7.4%2.2%-4.4%3.6%16.1%總資產收益率(ROA)6.7%1.8%-3.6%2.8%10.4%投入資本回報率(ROIC)5.5%1.3%-2.1%2.1%13.0%運營能力運營能力 存貨周轉天數 97.4 96.0 96.0 96.0 96.0 應收賬款周轉天數 147.8 145.1 145.1 145.1 145.1 總資產周轉周轉天數 2,093.1 2,332.9 2,201.2 1,281.4 659.9 凈利潤現金含量 1.2 1.0-0.8-2.3 0.2 資本支出/收入 95.9%
229、133.3%38.7%25.3%10.4%償債能力償債能力 資產負債率 8.6%15.1%17.4%22.0%34.7%凈負債率 9.4%17.8%21.1%28.2%53.0%估值比率估值比率 PE 46.29 156.92 94.92 18.20 PB 4.73 4.49 3.54 3.45 2.92 EV/EBITDA 59.58 117.77 256.33 52.37 15.16 P/S 19.03 19.18 17.60 9.90 4.06 股息率 0.7%0.3%0.0%0.3%0.3%-6%0%6%13%19%25%31%37%1m3m12m-10%3%17%30%44%57%-
230、44%-28%-12%4%20%36%2022-032022-082023-01股票絕對漲幅和相對漲幅方邦股份價格漲幅方邦股份相對指數漲幅-11%20%51%82%113%144%20A21A22E23E24E利潤率趨勢收入增長率(%)EBIT/銷售收入(%)-4%0%4%8%12%16%20A21A22E23E24E回報率趨勢凈資產收益率(%)投入資本回報率(%)9%18%27%36%44%53%153324494665835100620A21A22E23E24E凈資產(現金)/)/凈負債凈負債(現金)(百萬)凈負債/凈資產(%)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部
231、分 Table_MainInfo Table_Title 深南電路深南電路(002916)(002916)訂單結構持續優化,基板新品驗證順利訂單結構持續優化,基板新品驗證順利 王聰王聰(分析師分析師)文紫妍文紫妍(研究助理研究助理)021-38676820 021-38038321 證書編號 S0880517010002 S0880121070034 本報告導讀:本報告導讀:公司公司 PCB 訂單結構持續優化訂單結構持續優化,同時,同時封裝基板交付多家國內外客戶送樣認證,封裝基板交付多家國內外客戶送樣認證,未來爆未來爆發性十足發性十足。投資要點:投資要點:Table_Summary 首次覆蓋給
232、予增持評級,目標價首次覆蓋給予增持評級,目標價 131.1 元。元。預計其 2023-2025 年 EPS為 3.70/4.37/5.06 元,參照行業平均估值(24 年 PE18X),并考慮公司龍頭地位,給予 24 年 PE30X,對應目標價 131.1 元,增持評級。公司公司 PCB訂單結構訂單結構持續優化。持續優化。通信領域,公司憑借行業領先的技術實力與高效優質的服務能力,在國內客戶端份額保持穩定的同時,海外通信業務占比提升。數據中心領域,受產業需求走弱和 Intel Eagle Stream 平臺服務器芯片發布延期的影響,2022 下半年以來,公司數據中心領域訂單短期承壓。目前其已配合
233、主要客戶完成新一代平臺服務器 PCB 研發,現已逐步進入中小批量供應階段,有能力快速滿足客戶后續大批量供應需求。汽車電子領域,公司 22 年全年訂單同比增長超 60%,并持續提升盈利水平。汽車電子專業工廠南通三期產能爬坡穩步推進、技術能力持續提升,22 年底已開始盈利。封裝基板封裝基板交付多家交付多家國內外國內外客戶送樣認證客戶送樣認證,未來爆發性十足。,未來爆發性十足。目前公司 FC-CSP 封裝基板已具備中階產品樣品制造能力,高階產品技術研發按期順利推進。其 FC-CSP 封裝基板產品在 MSAP 和 ETS 工藝方面達到行業先進技術能力。新項目建設方面,廣州封裝基板項目和無錫基板二期項目
234、建設推進順利。無錫基板二期工廠已于 2022 年 9 月下旬連線投產并進入產能爬坡階段。廣州封裝基板項目分兩期建設,目前項目總體進展推進順利,其中一期部分廠房及配套設施主體結構已封頂,預計將于 2023 年第四季度連線投產。催化劑。催化劑。新產品客戶驗證通過 風險提示。風險提示。中美貿易摩擦的不確定性;原材料價格劇烈波動 Table_Finance 財務摘要(百萬元)財務摘要(百萬元)2021A 2022A 2023E 2024E 2025E 營業收入營業收入 13,943 13,992 15,567 17,498 19,523(+/-)%20%0%11%12%12%經營利潤(經營利潤(EBI
235、T)1,724 1,695 1,989 2,376 2,729(+/-)%-4%-2%17%19%15%凈利潤凈利潤 1,481 1,640 1,896 2,239 2,594(+/-)%4%11%16%18%16%每股凈收益(元)每股凈收益(元)2.89 3.20 3.70 4.37 5.06 每股股利(元)每股股利(元)0.95 0.95 0.95 0.95 0.95 Table_Profit 利潤率和估值指標利潤率和估值指標 2021A 2022A 2023E 2024E 2025E 經營利潤率經營利潤率(%)12.4%12.1%12.8%13.6%14.0%凈資產收益率凈資產收益率(%
236、)17.4%13.4%13.9%14.1%14.0%投入資本回報率投入資本回報率(%)14.6%10.8%11.6%12.2%12.2%EV/EBITDA 23.70 13.62 16.03 13.34 11.33 市盈率市盈率 34.24 30.92 26.74 22.64 19.54 股息率股息率(%)1.0%1.0%1.0%1.0%1.0%Table_Invest 首次覆蓋首次覆蓋 評級:評級:增持增持 目標價格:目標價格:131.10 當前價格:98.86 2023.03.23 Table_Market 交易數據 52 周內股價區間(元)周內股價區間(元)72.15-98.86 總市值
237、(百萬元)總市值(百萬元)50,703 總股本總股本/流通流通 A股(百萬股)股(百萬股)513/510 流通流通 B 股股/H股(百萬股)股(百萬股)0/0 流通股比例流通股比例 99%日均成交量(百萬股)日均成交量(百萬股)3.27 日均成交值(百萬元)日均成交值(百萬元)271.59 Table_Balance 資產負債表摘要 股東權益(百萬元)股東權益(百萬元)12,249 每股凈資產每股凈資產 23.88 市凈率市凈率 4.1 凈負債率凈負債率 3.81%Table_Eps EPS(元)2022A 2023E Q1 0.68 0.74 Q2 0.79 0.84 Q3 0.84 1.0
238、3 Q4 0.89 1.09 全年全年 3.20 3.70 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 絕對升幅 21%36%3%相對指數 23%29%9%公司首次覆蓋公司首次覆蓋 -25%-19%-13%-7%-1%5%2022-032022-072022-112023-0352周內股價走勢圖深南電路深證成指股票研究股票研究 證券研究報告證券研究報告 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 2 Table_Page 深南電路深南電路(002916)(002916)Table_Industry 模型更新時間:2023.
239、03.23 股票研究股票研究 信息科技 電子元器件 Table_Stock 深南電路(002916)Table_Target 首次覆蓋首次覆蓋 評級:評級:增持增持 目標價格:目標價格:131.10 當前價格:98.86 Table_Website 公司網址 Table_Company 公司簡介 公司始終專注于電子互聯領域,致力于“打造世界級電子電路技術與解決方案的集成商”,擁有印制電路板、封裝基板及電子裝聯三項業務,形成了業界獨特的“3-In-One”業務布局:即以互聯為核心,在不斷強化印制電路板業務領先地位的同時,大力發展與其“技術同根”的封裝基板業務及“客戶同源”的電子裝聯業務。公司具備
240、提供“樣品中小批量大批量”的綜合制造能力,通過開展方案設計、制造、電子裝聯、微組 Table_PicTrend 絕對價格回報(%)Table_Range 52 周內價格范圍 72.15-98.86 市值(百萬元)50,703 Table_Forcast 財務預測(單位:百萬元)財務預測(單位:百萬元)損益表損益表 2021A 2022A 2023E 2024E 2025E 營業總收入營業總收入 13,943 13,992 15,567 17,498 19,523 營業成本 10,637 10,422 11,551 12,949 14,408 稅金及附加 86 87 97 109 121 銷售費
241、用 233 253 280 315 351 管理費用 543 673 778 875 937 EBIT 1,724 1,695 1,989 2,376 2,729 公允價值變動收益 0 4 0 0 0 投資收益 10 22 31 35 39 財務費用 107-6 22 52 35 營業利潤營業利潤 1,617 1,723 1,998 2,359 2,733 所得稅 128 80 100 118 137 少數股東損益 0 0 0 0 0 凈利潤凈利潤 1,481 1,640 1,896 2,239 2,594 資產負債表資產負債表 貨幣資金、交易性金融資產 620 2,184 2,189 3,0
242、26 4,426 其他流動資產 213 199 199 199 199 長期投資 4 4 4 4 4 固定資產合計 7,789 9,138 10,877 12,347 13,543 無形及其他資產 711 1,027 1,115 1,203 1,291 資產合計資產合計 16,792 20,727 23,377 25,760 29,705 流動負債 5,948 6,708 7,950 8,093 9,444 非流動負債 2,325 1,765 1,765 1,765 1,765 股東權益 8,520 12,253 13,662 15,901 18,495 投入資本投入資本(IC)10,846
243、14,930 16,340 18,579 21,173 現金流量表現金流量表 NOPLAT 1,586 1,616 1,890 2,257 2,593 折舊與攤銷 863 1,079 1,220 1,420 1,613 流動資金增量 -319 1,097-124-173-108 資本支出 -2,653-3,372-2,502-2,652-2,702 自由現金流自由現金流 -524 420 484 852 1,397 經營現金流 2,342 3,180 3,024 3,514 4,124 投資現金流 -2,481-3,614-2,471-2,617-2,663 融資現金流 261 1,697-5
244、48-61-61 現金流凈增加額現金流凈增加額 122 1,263 5 836 1,400 財務指標財務指標 成長性成長性 收入增長率 20.2%0.4%11.3%12.4%11.6%EBIT 增長率 -4.1%-1.7%17.4%19.4%14.9%凈利潤增長率 3.5%10.7%15.7%18.1%15.9%利潤率 毛利率 23.7%25.5%25.8%26.0%26.2%EBIT 率 12.4%12.1%12.8%13.6%14.0%凈利潤率 10.6%11.7%12.2%12.8%13.3%收益率收益率 凈資產收益率(ROE)17.4%13.4%13.9%14.1%14.0%總資產收益
245、率(ROA)8.8%7.9%8.1%8.7%8.7%投入資本回報率(ROIC)14.6%10.8%11.6%12.2%12.2%運營能力運營能力 存貨周轉天數 80.1 84.1 84.1 84.1 84.1 應收賬款周轉天數 61.8 70.8 70.8 70.8 70.8 總資產周轉周轉天數 397.6 482.6 510.0 505.5 511.4 凈利潤現金含量 1.6 1.9 1.6 1.6 1.6 資本支出/收入 19.0%24.1%16.1%15.2%13.8%償債能力償債能力 資產負債率 49.3%40.9%41.6%38.3%37.7%凈負債率 97.1%69.2%71.1%
246、62.0%60.6%估值比率估值比率 PE 34.24 30.92 26.74 22.64 19.54 PB 7.00 3.02 3.71 3.19 2.74 EV/EBITDA 23.70 13.62 16.03 13.34 11.33 P/S 3.47 3.62 3.26 2.90 2.60 股息率 1.0%1.0%1.0%1.0%1.0%-4%3%9%16%23%29%36%43%1m3m12m-10%3%17%30%44%57%-25%-18%-10%-3%5%12%2022-032022-082023-01股票絕對漲幅和相對漲幅深南電路價格漲幅深南電路相對指數漲幅0%4%8%12%1
247、6%20%21A22A23E24E25E利潤率趨勢收入增長率(%)EBIT/銷售收入(%)11%12%13%15%16%17%21A22A23E24E25E回報率趨勢凈資產收益率(%)投入資本回報率(%)61%68%75%83%90%97%82738860944710034106211120821A22A23E24E25E凈資產(現金)/)/凈負債凈負債(現金)(百萬)凈負債/凈資產(%)請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 股票研究股票研究 證券研究報告證券研究報告 Table_MainInfo Table_Title 興森科技興森科技(002436)(00243
248、6)積極布局積極布局 ABF 載板,未來增長動力充足載板,未來增長動力充足 王聰王聰(分析師分析師)文紫妍文紫妍(研究助理研究助理)021-38676820 021-38038321 證書編號 S0880517010002 S0880121070034 本報告導讀:本報告導讀:公司持續發力公司持續發力 IC 封裝基板,封裝基板,ABF 載板載板打開成長空間打開成長空間。同時公司。同時公司產品技術布局全面,產品技術布局全面,積極拓展客戶合作。積極拓展客戶合作。投資要點:投資要點:Table_Summary 維持維持增持評級,增持評級,上調上調目標價目標價至至 16.8 元。元。參考 2022 年
249、業績預告以及考慮 ABF載板的高投入,我們下調其2022-2024年 EPS為0.31/0.44/0.60元(前值為 0.36/0.47/0.63 元),參照行業估值水平(2024 年 PE18X)并考慮到其高技術壁壘 IC 載板突破后的成長性,給予其 2024 年28PE,上調目標價至 16.8 元,維持增持評級。公司公司持續發力持續發力 IC 封裝基板,封裝基板,ABF 載板載板打開成長空間。打開成長空間。公司現有 CSP封裝基板產能為 3.5 萬平方米/月,其中廣州基地(2 萬平/月)盈利能力穩定;珠海目前量產爬坡預計后續逐步扭虧。同時公司發力 ABF載板新業務,目前進展順利,客戶認證成
250、功后有望為公司成長再添增長動力。產品技術布局全面,積極拓展客戶合作產品技術布局全面,積極拓展客戶合作。公司實現在高多層 PCB、Anylayer HDI、類載板、CSP 封裝基板和 FCBGA 封裝基板的全領域產品布局,實現減成法(Tenting)、半加成法(mSAP)、加成法(SAP)等全技術領域的全面覆蓋。收購揖斐電將進一步強化公司在 HDI 板、類載板、封裝基板等高端產品的產能和技術優勢。同時收購之后將有助于公司進入高端智能手機市場,并有望打開 CSP 封裝基板和 FCBGA 封裝基板業務與頭部消費電子行業客戶的合作空間。催化劑。催化劑。公司 ABF 載板產品驗證通過 風險提示。風險提示
251、。新產品驗證進度不及預期;中美貿易摩擦的不確定性 Table_Finance 財務摘要(百萬元)財務摘要(百萬元)2020A 2021A 2022E 2023E 2024E 營業收入營業收入 4,035 5,040 5,492 6,821 8,234(+/-)%6%25%9%24%21%經營利潤(經營利潤(EBIT)486 735 522 826 1,145(+/-)%18%51%-29%58%39%凈利潤凈利潤 522 621 526 736 1,007(+/-)%79%19%-15%40%37%每股凈收益(元)每股凈收益(元)0.31 0.37 0.31 0.44 0.60 每股股利(元)
252、每股股利(元)0.08 0.10 0.10 0.10 0.10 Table_Profit 利潤率和估值指標利潤率和估值指標 2020A 2021A 2022E 2023E 2024E 經營利潤率經營利潤率(%)12.0%14.6%9.5%12.1%13.9%凈資產收益率凈資產收益率(%)15.9%16.5%8.4%10.5%12.6%投入資本回報率投入資本回報率(%)8.5%9.8%5.1%7.5%9.5%EV/EBITDA 20.98 22.68 29.04 20.41 14.73 市盈率市盈率 42.15 35.37 41.76 29.87 21.83 股息率股息率(%)0.6%0.8%0
253、.8%0.8%0.8%Table_Invest 評級:評級:增持增持 上次評級:增持 目標價格:目標價格:16.80 上次預測:14.10 當前價格:13.01 2023.03.23 Table_Market 交易數據 52 周內股價區間(元)周內股價區間(元)7.48-15.39 總市值(百萬元)總市值(百萬元)21,981 總股本總股本/流通流通 A股(百萬股)股(百萬股)1,690/1,500 流通流通 B 股股/H股(百萬股)股(百萬股)0/0 流通股比例流通股比例 89%日均成交量(百萬股)日均成交量(百萬股)40.79 日均成交值(百萬元)日均成交值(百萬元)466.31 Tabl
254、e_Balance 資產負債表摘要 股東權益(百萬元)股東權益(百萬元)6,457 每股凈資產每股凈資產 3.82 市凈率市凈率 3.4 凈負債率凈負債率 13.14%Table_Eps EPS(元)2021A 2022E Q1 0.06 0.14 Q2 0.11 0.11 Q3 0.12 0.09 Q4 0.08-0.03 全年全年 0.37 0.31 Table_PicQuote Table_Trend 升幅(%)1M 3M 12M 絕對升幅 11%31%21%相對指數 13%24%28%Table_Report 相關報告 持續發力 IC 載板,未來成長空間廣闊2022.12.22 公司更
255、新公司更新 -31%-16%-1%14%29%43%2022-032022-072022-112023-0352周內股價走勢圖興森科技深證成指 請務必閱讀正文之后的免責條款部分請務必閱讀正文之后的免責條款部分 2 of 2 Table_Page 興森科技興森科技(002436)(002436)Table_Industry 模型更新時間:2023.03.23 股票研究股票研究 信息科技 電子元器件 Table_Stock 興森科技(002436)Table_Target 評級:評級:增持增持 上次評級:增持 目標價格:目標價格:16.80 上次預測:14.10 當前價格:13.01 Table_
256、Website 公司網址 Table_Company 公司簡介 公司是國內最大的印制電路樣板小批量板快件制造商,一直致力于為國內外高科技電子企業和科研單位服務,產品廣泛運用于通信、網絡、工業控制、計算機應用、國防軍工、航天、醫療等行業領域。公司先后成為華為、中興核心快件樣板供應商,并與超過五千多家海內外知名品牌公司及電子研發類企業建立了良好的合作關系。Table_PicTrend 絕對價格回報(%)Table_Range 52 周內價格范圍 7.48-15.39 市值(百萬元)21,981 Table_Forcast 財務預測(單位:百萬元)財務預測(單位:百萬元)損益表損益表 2020A 2
257、021A 2022E 2023E 2024E 營業總收入營業總收入 4,035 5,040 5,492 6,821 8,234 營業成本 2,787 3,419 3,927 4,747 5,649 稅金及附加 22 22 27 33 40 銷售費用 154 172 220 273 247 管理費用 333 400 439 546 659 EBIT 486 735 522 826 1,145 公允價值變動收益 0 0 0 0 0 投資收益 229 9 110 14 16 財務費用 101 79 70 51 81 營業利潤營業利潤 611 668 570 798 1,093 所得稅 62 57 4
258、6 64 88 少數股東損益 25-9 0 0 0 凈利潤凈利潤 522 621 526 736 1,007 資產負債表資產負債表 貨幣資金、交易性金融資產 902 1,244 3,657 3,192 4,070 其他流動資產 37 97 97 97 97 長期投資 340 285 285 285 285 固定資產合計 1,738 1,982 2,436 2,853 3,256 無形及其他資產 262 661 669 677 685 資產合計資產合計 6,164 8,302 10,766 12,085 13,137 流動負債 1,831 2,959 2,918 3,501 3,546 非流動負
259、債 754 1,057 1,057 1,057 1,057 股東權益 3,579 4,286 6,791 7,527 8,534 投入資本投入資本(IC)5,132 6,874 9,379 10,114 11,121 現金流量表現金流量表 NOPLAT 437 673 480 760 1,054 折舊與攤銷 218 248 202 227 254 流動資金增量 -157-268 252-868 228 資本支出 -468-1,069-500-548-597 自由現金流自由現金流 30-417 434-430 939 經營現金流 408 580 953 162 1,551 投資現金流 -445-
260、1,232-427-535-580 融資現金流 369 800 1,886-92-92 現金流凈增加額現金流凈增加額 332 148 2,413-465 878 財務指標財務指標 成長性成長性 收入增長率 6.1%24.9%9.0%24.2%20.7%EBIT 增長率 17.6%51.2%-28.9%58.2%38.7%凈利潤增長率 78.7%19.2%-15.3%39.8%36.9%利潤率 毛利率 30.9%32.2%28.5%30.4%31.4%EBIT 率 12.0%14.6%9.5%12.1%13.9%凈利潤率 12.9%12.3%9.6%10.8%12.2%收益率收益率 凈資產收益率
261、(ROE)15.9%16.5%8.4%10.5%12.6%總資產收益率(ROA)8.5%7.5%4.9%6.1%7.7%投入資本回報率(ROIC)8.5%9.8%5.1%7.5%9.5%運營能力運營能力 存貨周轉天數 50.4 56.4 56.4 56.4 56.4 應收賬款周轉天數 99.6 97.5 97.5 97.5 97.5 總資產周轉周轉天數 507.0 516.6 624.9 603.0 551.4 凈利潤現金含量 0.8 0.9 1.8 0.2 1.5 資本支出/收入 11.6%21.2%9.1%8.0%7.2%償債能力償債能力 資產負債率 41.9%48.4%36.9%37.7
262、%35.0%凈負債率 72.2%93.7%58.5%60.6%53.9%估值比率估值比率 PE 42.15 35.37 41.76 29.87 21.83 PB 4.28 5.54 3.51 3.14 2.74 EV/EBITDA 20.98 22.68 29.04 20.41 14.73 P/S 4.80 3.84 4.00 3.22 2.67 股息率 0.6%0.8%0.8%0.8%0.8%7%11%15%19%23%27%31%35%1m3m12m-10%3%17%30%44%57%-31%-16%-1%15%30%45%2022-032022-082023-01股票絕對漲幅和相對漲幅興
263、森科技價格漲幅興森科技相對指數漲幅6%10%14%17%21%25%20A21A22E23E24E利潤率趨勢收入增長率(%)EBIT/銷售收入(%)5%7%10%12%14%17%20A21A22E23E24E回報率趨勢凈資產收益率(%)投入資本回報率(%)54%62%70%78%86%94%25842987339037944197460020A21A22E23E24E凈資產(現金)/)/凈負債凈負債(現金)(百萬)凈負債/凈資產(%)本公司具有中國證監會核準的證券投資咨詢業務資格本公司具有中國證監會核準的證券投資咨詢業務資格 分析師聲明分析師聲明 作者具有中國證券業協會授予的證券投資咨詢執業
264、資格或相當的專業勝任能力,保證報告所采用的數據均來自合規渠道,分析邏輯基于作者的職業理解,本報告清晰準確地反映了作者的研究觀點,力求獨立、客觀和公正,結論不受任何第三方的授意或影響,特此聲明。免責聲明免責聲明 本報告僅供國泰君安證券股份有限公司(以下簡稱“本公司”)的客戶使用。本公司不會因接收人收到本報告而視其為本公司的當然客戶。本報告僅在相關法律許可的情況下發放,并僅為提供信息而發放,概不構成任何廣告。本報告的信息來源于已公開的資料,本公司對該等信息的準確性、完整性或可靠性不作任何保證。本報告所載的資料、意見及推測僅反映本公司于發布本報告當日的判斷,本報告所指的證券或投資標的的價格、價值及投
265、資收入可升可跌。過往表現不應作為日后的表現依據。在不同時期,本公司可發出與本報告所載資料、意見及推測不一致的報告。本公司不保證本報告所含信息保持在最新狀態。同時,本公司對本報告所含信息可在不發出通知的情形下做出修改,投資者應當自行關注相應的更新或修改。本報告中所指的投資及服務可能不適合個別客戶,不構成客戶私人咨詢建議。在任何情況下,本報告中的信息或所表述的意見均不構成對任何人的投資建議。在任何情況下,本公司、本公司員工或者關聯機構不承諾投資者一定獲利,不與投資者分享投資收益,也不對任何人因使用本報告中的任何內容所引致的任何損失負任何責任。投資者務必注意,其據此做出的任何投資決策與本公司、本公司
266、員工或者關聯機構無關。本公司利用信息隔離墻控制內部一個或多個領域、部門或關聯機構之間的信息流動。因此,投資者應注意,在法律許可的情況下,本公司及其所屬關聯機構可能會持有報告中提到的公司所發行的證券或期權并進行證券或期權交易,也可能為這些公司提供或者爭取提供投資銀行、財務顧問或者金融產品等相關服務。在法律許可的情況下,本公司的員工可能擔任本報告所提到的公司的董事。市場有風險,投資需謹慎。投資者不應將本報告為作出投資決策的惟一參考因素,亦不應認為本報告可以取代自己的判斷。在決定投資前,如有需要,投資者務必向專業人士咨詢并謹慎決策。本報告版權僅為本公司所有,未經書面許可,任何機構和個人不得以任何形式
267、翻版、復制、發表或引用。如征得本公司同意進行引用、刊發的,需在允許的范圍內使用,并注明出處為“國泰君安證券研究”,且不得對本報告進行任何有悖原意的引用、刪節和修改。若本公司以外的其他機構(以下簡稱“該機構”)發送本報告,則由該機構獨自為此發送行為負責。通過此途徑獲得本報告的投資者應自行聯系該機構以要求獲悉更詳細信息或進而交易本報告中提及的證券。本報告不構成本公司向該機構之客戶提供的投資建議,本公司、本公司員工或者關聯機構亦不為該機構之客戶因使用本報告或報告所載內容引起的任何損失承擔任何責任。評級說明評級說明 評級評級 說明說明 1.投資建議的比較標準投資建議的比較標準 投資評級分為股票評級和行
268、業評級。以報告發布后的 12 個月內的市場表現為比較標準,報告發布日后的 12 個月內的公司股價(或行業指數)的漲跌幅相對同期的滬深 300 指數漲跌幅為基準。股票投資評級股票投資評級 增持 相對滬深 300 指數漲幅 15%以上 謹慎增持 相對滬深 300 指數漲幅介于 5%15%之間 中性 相對滬深 300 指數漲幅介于-5%5%減持 相對滬深 300 指數下跌 5%以上 2.投資建議的評級標準投資建議的評級標準 報告發布日后的 12 個月內的公司股價(或行業指數)的漲跌幅相對同期的滬深 300 指數的漲跌幅。行業投資評級行業投資評級 增持 明顯強于滬深 300 指數 中性 基本與滬深 300 指數持平 減持 明顯弱于滬深 300 指數 國泰君安證券研究國泰君安證券研究 上海上海 深圳深圳 北京北京 地址 上海市浦東新區銀城中路 168 號上海銀行大廈 29 層 深圳市福田區益田路 6003 號榮超商務中心 B 棟 27 層 北京市西城區金融大街 28 號盈泰中心 2 號樓 10 層 郵編 200120 518026 100140 電話(021)38676666(0755)23976888(010)59312799 E-mail: