《2020中國電子晶圓制造產業代工市場半導體需求行業機遇研究報告(52頁).docx》由會員分享,可在線閱讀,更多相關《2020中國電子晶圓制造產業代工市場半導體需求行業機遇研究報告(52頁).docx(52頁珍藏版)》請在三個皮匠報告上搜索。
1、2020 年深度行業分析研究報告內容目錄市場空間:先進制程比重不斷提升5晶圓代工市場保持增長,先進制程占比在提升5半導體硅含量持續提升,12 寸硅晶圓保持快速增長9摩爾定律:先進制程成為晶圓制造的分水嶺11摩爾定律沒有失效,但資本壁壘迅速提升11晶圓制造行業技術復雜度不斷提升16護城河加深,行業高壁壘、高集中、少進入者18半導體需求三駕馬車共振,國產替代迎來機遇22數據中心:數據中心回暖,受益于 5G 持續發展23手機:5G 放量“前夜”,單機硅含量提升27通訊:5G 基站建設進入放量期31國產替代:歷史性機遇開啟,晶圓代工訂單轉移33行業近況:景氣上行,新一輪資本開支啟動34臺積電:全球晶圓
2、代工龍頭廠商,增加資本開支推進先進制程34中芯國際:先進制程追趕加速,14nm 進展超預期38華虹半導體:8 寸晶圓高度景氣43聯電:產能利用率提升,資本開支增加46財報分析:戰略選擇與投資回報率,追趕者的黎明48圖表目錄圖表 1:晶圓代工市場占半導體市場約 15%5圖表 2:晶圓代工創造半導體行業分工模式5圖表 3:IC 設計廠與 IDM 的半導體業務收入(十億美元)6圖表 4:全球晶圓代工行業收入(億美元)6圖表 5:全球晶圓代工行業產能(等價 8 寸片;千片)7圖表 6:2019 年全球晶圓代工行業收入分布7圖表 7:2019 年全球晶圓代工行業產能分布7圖表 8:先進制程占比不斷提高8
3、圖表 9:全球晶圓代工區域占比(20192023 年為預測數據)8圖表 10:中國大陸集成電路市場規模(億元)9圖表 11:中國大陸集成電路市場結構(億元)9圖表 12:半導體市場規模9圖表 13:全球硅片需求預測10圖表 14:全球 12 寸硅片供需預測(千片/月)10圖表 15:全球 12 寸硅片需求側拆分(千片/月)11圖表 16:制程升級放緩11圖表 17:IMEC 半導體技術藍圖已經規劃到 1nm12圖表 18:過去十年半導體性能提升速度12圖表 19:未來十年半導體性能提升速度13圖表 20:250mm Die Siz 的成本倍數迅速提升13圖表 21:CPU/GPU 芯片 Die
4、 Size 呈現上升趨勢13圖表 22:蘋果手機處理器制程及尺寸14圖表 23:2019 年單片晶圓價格預估(等價 8 寸片計價,美元)14圖表 24:設計成本:先進 IC 設計成本快速增加14圖表 25:投資金額:100K 產能對應投資額要求(億美元)15圖表 26:工藝成本:7nm 之后單位芯片工藝成本每代增加 30%15圖表 27:臺積電不同制程對應應用(2019-06)15圖表 28:新產品從成熟制程往先進制程遷移15圖表 29:7nm 及以下先進制程應用:智能手機、HPC、AIoT16圖表 30:ASML 預測半導體制程升級規劃16圖表 31:先進制程設備端布局17圖表 32:晶體管
5、結構變化17圖表 33:下一代晶體管結構17圖表 34:臺積電先進封裝技術一覽18圖表 35:臺積電布局 3D integration 封裝技術18圖表 36: 三星布局先進封裝技術18圖表 37:臺積電、三星、英特爾均是堆疊封裝技術的主要參與者18圖表 38:晶圓廠制程升級規劃19圖表 39:晶圓代工行業前十名收入(百萬美元)19圖表 40:先進制程產能分布20圖表 41:不同制程節點晶體管密度(標準化工藝節點以 intel 10nm 為參考節點)20圖表 42:臺積電制程升級路徑21圖表 43:臺積電歷代制程 PPA(power、performance、Are reduction)環比提升
6、幅度21圖表 44:19872019 英特爾制程升級路徑(縱坐標為 nm 數)21圖表 45:英特爾未來制程升級規劃21圖表 46:英特爾服務 CPU 產品路線22圖表 47:三星電子晶圓代工制程發展路徑22圖表 48:全球服務器年出貨量統計23圖表 49:IDC 服務器裝機量增長趨勢(千臺)24圖表 50:云計算資本開支金額(百萬 USD)24圖表 51:全球服務器自 2019 年后的出貨量預測(萬臺)24圖表 52:中國 X86 服務器出貨量及預測25圖表 53:中國 X86 服務器市場規模25圖表 54:數據中心的新 SSD 儲存需求(ZB/年)25圖表 55:數據中心對 300mm 硅
7、片的需求(千片每月)25圖表 56:不同類型服務器出貨量預測(萬臺)26圖表 57:服務器合計出貨量預測(萬臺)26圖表 58:全球服務器用 DRAM、CPU、GPU 測算26圖表 59:全球智能手機出貨量(百萬臺)27圖表 60:全球智能手機按品牌出貨量(百萬臺)28圖表 61:5G 芯片備貨量(百萬顆)28圖表 62:移動通訊技術的變革路線圖29圖表 63:全球射頻前端市場規模預測(億美元)29圖表 64:全球手機攝像頭模組消費量(億顆)30圖表 65:國內手機攝像頭模組產量(億顆)30圖表 66:6P 鏡頭滲透率30圖表 67:中國手機廠商像素升級過程30圖表 68:5G 手機規格升級3
8、1圖表 69:5G 手機升級帶來硅含量提升31圖表 70:宏基站年建設數量預測31圖表 71:4G 與 5G 基站區別對比32圖表 72:國內四大運營商 5G 商用推動情況32圖表 73:國產替代空間測算33圖表 74:華為替代鏈示意圖34圖表 75:4Q19 綜合損益表35圖表 76:臺積電營收及增長率35圖表 77:臺積電凈利潤及增長率36圖表 78:臺積電資本性支出(億美元)36圖表 79:臺積電二十年復盤圖37圖表 80:臺積電工藝平臺37圖表 81:公司發展階段38圖表 82:中芯國際制程應用分布(棕色表示中芯國際量產制程,截止于 2017.08)39圖表 83:中芯國際與臺積電量產
9、制程代際差40圖表 84: “大基金一期”參與的中芯國際投資與合作40圖表 85:中芯國際現有產能(產能單位為萬片/月)41圖表 86:中芯國際季度收入(百萬美元)42圖表 87:中芯國際季度產能利用率和單價(美元)42圖表 88:中芯國際季度晶圓出貨量(萬片,等效八寸片)42圖表 89:中芯國際季度收入按應用劃分42圖表 90:中芯國際季度收入按區域劃分43圖表 91:中芯國際季度收入按制程劃分43圖表 92:華虹半導體發展歷程43圖表 93:華虹半導體技術平臺43圖表 94:公司技術平臺&各產品應用領域技44圖表 95:華虹半導體營業收入(千美元)45圖表 96:華虹半導體出貨量(千片,等
10、價八寸片)及單價(美元)45圖表 97:華虹半導體產能利用率46圖表 98:華虹半導體收入按制程劃分46圖表 99:華虹半導體收入按下游應用劃分46圖表 100:華虹半導體收入按區域分化46圖表 101:聯電晶圓廠季度產能(千片)47圖表 102:聯電季度收入及單價47圖表 103:聯電季度出貨量及產能利用率47圖表 104:聯電季度收入按制程劃分48圖表 105:聯電季度收入按下游應用劃分48圖表 106:晶圓代工企業產能利用率預估48圖表 107:晶圓代工企業資本開支49圖表 108:晶圓代工企業出貨量(千片,等價 12 寸片)49圖表 109:晶圓代工企業均價(美元,等價 12 寸片)5
11、0圖表 110:晶圓代工企業營業收入(百萬美元)50圖表 111:晶圓代工企業毛利率51圖表 112:晶圓代工企業折舊占營業成本比重51圖表 113:晶圓代工企業毛利率52圖表 114:晶圓代工企業 ROE52市場空間:先進制程比重不斷提升晶圓代工市場保持增長,先進制程占比在提升根據 gartner 預測,2019 年全球晶圓代工市場約 627 億美元,占全球半導體市場約 15%。 預計 20182023 年晶圓代工市場復合增速為 4.9%。圖表 1:晶圓代工市場占半導體市場約 15%資料來源:gartner、研究所開創專業分工模式,晶圓代工廠在半導體產業鏈中越來越重要。臺積電開創了晶圓代工+
12、IC 設計的模式。隨著半導體制造規模效應的凸顯,以及技術和資金壁壘的提升,IDM 模式下的廠商擴張難度加大,沉沒成本提高。目前垂直分工模式成為了行業的發展趨勢, 半導體新進入者大多采用 Fabless 模式,同時有更多的 IDM 公司如 AMD、NXP、TI 等都將走向 Fabless 或 Fablite 模式。圖表 2:晶圓代工創造半導體行業分工模式資料來源:臺積電官網、研究所在晶圓代工的支持下,IC 設計廠迅速崛起。根據 IC Insight 數據,20092019 年 IC 設計行業的收入復合增速為 8%,IDM 行業的收入復合增速為 5%。IC 設計的繁榮興起與 先進制程的資本、技術密
13、度提升,使得以臺積電為代表的晶圓代工廠(Foundry)在半導 體產業鏈中扮演越來越重要的角色。圖表 3:IC 設計廠與 IDM 的半導體業務收入(十億美元)資料來源:IC insight、研究所2020 年晶圓代工市場重返增長,0.016micron、0.032micron 為當前收入占比最高的節點。根據 Gartner,2019 年全球晶圓代工收入 627 億美元,增速為-0.2%。預計 2020年增速回到 8%。結構上,收入貢獻最大的為 0.016micron(12/14/16nm),達到 97 億 美元;其次為 0.032micron(22/28/32nm),達到 86 億美元。10n
14、m 預計 26 億美元, 7nm 預計 85 億美元。臺積電 2019 年收入為 346 億美元,占比達 55%。圖表 4:全球晶圓代工行業收入(億美元)資料來源:gartner、研究所根據 Gartner,從產能分布角度而言,2019 年全球晶圓代工等效 8 寸片年產能為 7838 萬片,其中 0.18micro 達到 1363 萬片,其次 65nm 達到 982 萬片,45nm 達到 882 萬 片,32nm 達到 80 萬片。根據臺積電財報,臺積電 2019 年等效 8 寸片產能超過 2700 萬片,占比約 34%。根據拓璞產業研究,2019 年,28nm 以下制程的營收在前五大廠商(臺
15、積電、三星、格芯、聯電、中芯國際)在的合計營收中占比約 44%。圖表 5:全球晶圓代工行業產能(等價 8 寸片;千片)資料來源:gartner、研究所圖表 6:2019 年全球晶圓代工行業收入分布圖表 7:2019 年全球晶圓代工行業產能分布資料來源:gartner、研究所資料來源:gartner、研究所先進制程比重快速提升。根據 ASML 在 2018 年底的預測,先進制程的占比會迅速提高,其中部分現有制程的產線通過設備升級成先進制程產線。ASML 預測 2025 年 12 寸晶圓 的先進制程占比會達到 2/3。圖表 8:先進制程占比不斷提高資料來源:ASML 官網、研究所全球晶圓代工市場以
16、晶圓廠所在地劃分,全球晶圓代工前三大區域分別為中國臺灣、中 國大陸、韓國。臺灣占比達到 66%左右,并在先進制程導入和新型產業趨勢下引領行業 發展。大陸處于追趕角色,比重正在持續提升,從 2017 年的 9.0%提升至 2023 年的12.9%。韓國三星持續加大投資,因此韓國的份額也保持略有增長。圖表 9:全球晶圓代工區域占比(20192023 年為預測數據)資料來源:gartner、研究所2019 年中國大陸晶圓代工市場約 2149 億元,大陸集成電路向“大設計-中制造-中封 測”轉型,大陸的設計、制造將起航。2018 年中國大陸集成電路產業繼續保持快速增長, 規模達到 6531.4 億元,
17、同比增長 20.7%,預計到 2020 年突破 9000 億。中國大陸集成電路產業結構將繼續由“小設計-小制造-大封測”向“大設計-中制造-中封測”轉型,產 業鏈逐漸從低端向高端延伸,產業結構更趨于合理。圖表 10:中國大陸集成電路市場規模(億元)圖表 11:中國大陸集成電路市場結構(億元)資料來源:賽迪顧問、研究所資料來源:賽迪顧問、研究所半導體硅含量持續提升,12 寸硅晶圓保持快速增長長期維度下電子化趨勢推進,硅含量不斷提升。半導體硅含量代表電子系統中半導體集 成電路芯片總價值占電子系統價值的百分比,可用來衡量半導體的滲透率。如果從下游 需求分析,硅含量就是下游需求中半導體芯片的滲透率。從
18、長期的維度上來看,電子化 是不斷推進的趨勢,而各類電子產品中的半導體含量過去 20 年來都在不斷上升,簡稱 “硅含量”提升圖表 12:半導體市場規模資料來源:WTST、研究所硅片/硅晶圓是制造芯片的核心基礎材料,高純度要求下工序流程復雜、設備參數要求 高。Raw wafer 在整體成本中的占比并不高(不到 10%,芯片制程越先進占比越?。?,但 是,硅晶圓作為芯片制造的基礎核心材料能夠從量上直接觀測行業芯片的產出、先進制 程升級的節奏。硅片/晶圓供給的主要增長來自于 12 寸(300mm),8 寸片以存量產能為主。根據硅片龍頭 Sumco 在 2019Q3 的指引,20182022 年 12 寸
19、硅片需求數量復合增長率預期為4.1%;12 寸硅片供給數量復合增長率預期為 3.9%,供給增速低于需求增速。從需求側 分拆,硅片幾大需求包括 Nand、Dram、Logic 和其他。圖表 13:全球硅片需求預測資料來源:sumco 官網、研究所圖表 14:全球 12 寸硅片供需預測(千片/月)資料來源:sumco 官網、研究所圖表 15:全球 12 寸硅片需求側拆分(千片/月)資料來源:sumco 官網、研究所摩爾定律:先進制程成為晶圓制造的分水嶺摩爾定律沒有失效,但資本壁壘迅速提升摩爾定律:當價格不變時,集成電路上可容納的元器件的數目,約每隔 1824 個月便 會增加一倍,性能也將提升一倍。
20、英偉達的黃仁勛認為嚴格意義上的摩爾定律已經失效,IMEC(比利時微電子研究中心)、ASML 等機構為半導體產業規劃的藍圖里摩爾定律持續 演進。摩爾定律沒有失效,但是制程之外的設計與工藝扮演越來越重要的角色,同時資 本密集度的迅速提升使得行業壁壘發生變化。圖表 16:制程升級放緩資料來源:AMD 官網、研究所圖表 17:IMEC 半導體技術藍圖已經規劃到 1nm資料來源:IMEC 官網、研究所摩爾定律推進,但制程提升貢獻比例在下降。根據 AMD 數據,過去十年制程升級帶來更高性能、更低功耗,制程升級為半導體性能提升貢獻 40%。根據 ASML 預測,20182028 年的未來十年半導體性能提升進
21、一步加速,制程提升的貢獻為 30%左右,剩下增長來自 于諸如 3D Stacking、多核架構、內存整合、軟件系統、電源管理等多方面的升級。因此,在未來的芯片性能提升中,架構、系統、軟件將扮演越來越重要的角色。圖表 18:過去十年半導體性能提升速度資料來源:AMD 官網、研究所圖表 19:未來十年半導體性能提升速度資料來源:ASML 官網、研究所晶圓制造行業發展趨勢面臨 Die Size 限制和成本限制。過去十年,CPU 及 GPU 的 DieSize 呈現上升趨勢,但受制于 12 寸晶圓產線,Die Size 的增長是不可持續的。同時,相 同 Die Size 的晶圓產線,單位面積成本也不斷
22、攀升,5nm 的單位面積成本是 45nm 的 5 倍。圖表 20:250mm Die Siz 的成本倍數迅速提升資料來源:AMD 官網、研究所圖表 21:CPU/GPU 芯片 Die Size 呈現上升趨勢資料來源:AMD 官網、研究所圖表 22:蘋果手機處理器制程及尺寸2010201120122013201420152016201720182019處 理 器A4A5A6A7A8A9A10FusionA11BionicA12BionicA13 Bio nic代 工 廠三星三星三星三星臺積電三星 / 臺 積電臺積電臺積電臺積電臺積電制程45nm45nm32nm(HKMG)28nm(HKMG)20
23、nm(HKMG)14/16n m(FinFE T)16nm(Fi nFET+)10nm(Fi nFET)7nm(Fin FET)7nm N7PCPUCore1222 ( 64-bit)2 ( 64-bit)2 ( 64-bit)4 ( 64-bit)6 ( 64-bit)6 ( 64-bit; neural engine)6 ( 64-bit; neural engine)GPUCore1234466344尺 寸(mm)5312296.7102.98996/104.512587.78098.48資料來源:蘋果官網、研究所先進制程的持續升級帶來巨額的成本。根據 IBS,3nm 芯片的設計費用約
24、515 億美元,工藝開發費用約 4050 億美元,興建一條 3nm 產線的成本約 150200 億美元。3nm 芯 片僅比 5nm 芯片提升 15%性能、降低 25%功耗。根據 IMEC 論文,7nm 以后,每一代 升級單個晶圓的工藝成本(Process Cost)提升幅度達到 30%。同樣面積的硅晶圓,即 使通過微縮增加了晶體管的數量,生產成本也會相應增加。圖表 23:2019 年單片晶圓價格預估(等價 8 寸片計價,美元)圖表 24:設計成本:先進 IC 設計成本快速增加資料來源:gartner、研究所資料來源:IBS、研究所圖表 25:投資金額:100K 產能對應投資額要求(億美元)圖表
25、 26:工藝成本:7nm 之后單位芯片工藝成本每代增加 30%資料來源:gartner、研究所資料來源:IMEC 官網、研究所資金、技術壁壘提升,先進制程的供給端向寡頭壟斷發展,先進制程供不應求。創新推動先進制程需求。根據臺積電的產品組合,最先進制程主要是為 logic 和部分 RF 提供,主流的成熟制程能覆蓋大部分其他應用領域。隨著創新不斷升級,5G、AI、物聯 網等需求提升,創新導入時使用的制程工藝從成熟向先進工藝升級。供給受限于有限的產能。目前先進制程的供給端只有臺積電、三星、英特爾。英特爾為 IDM,自家消費級 10nm 產品產能不足、市場缺貨。受益于 5G、智能手機、HPC、AIoT
26、 等需求,7nm 及以下先進制程需求旺盛。臺積電為先進制程的核心晶圓代工廠,目前 10nm 工藝客戶已經超過 10 家,7nm EUV 客戶至少 5 家(蘋果、海思、高通、三星、 AMD),6nm 客戶除了 7nm EUV 的 5 家還多了博通、聯發科。臺積電 7nm 產品持續滿 產,多個客戶爭搶產能,由臺積電進行產能配置。圖表 27:臺積電不同制程對應應用(2019-06)圖表 28:新產品從成熟制程往先進制程遷移資料來源:臺積電官網、研究所資料來源:ASML 官網、研究所圖表 29:7nm 及以下先進制程應用:智能手機、HPC、AIoT資料來源:拓璞產業研究、研究所晶圓制造行業技術復雜度不
27、斷提升摩爾定律引領半導體產業,實現產業持續升級需要貫穿整條產業鏈,包括上游(設備如 光刻機廠商 ASML)、晶圓制造(臺積電、英特爾、三星)以及下游(IC 設計如蘋果、AMD、 海思、高通、聯發科等)等環節的廠商協同。光刻機從 DUV 到浸入式 DUV,再升級成 EUV,成為推進摩爾定律的重要環節。根據 ASML 預測,晶圓代工領域節點會持續升級;內存 DRAM 領域也將使用 EUV;閃存 Nand 等向 3D 堆疊發展,不需要用 EUV 升級。其他設備龍頭廠商如 AMAT、Lam Research、 KLA Tencor 等也紛紛布局先進制程節點相關設備。圖表 30:ASML 預測半導體制程
28、升級規劃資料來源:ASML 官網、研究所圖表 31:先進制程設備端布局資料來源:ASML 官網、研究所晶體管結構創新,形態更加復雜。2011 年,英特爾在 22nm 時引入 FinFET,減少橫向尺寸,增加單位面積設備密度,同時增加鰭的高度。三星計劃于 20212022 引入 GAA, 應用于其 3nm 制程。臺積電除了 GAA 晶體管結構之外,也進行其他方向布局。圖表 32:晶體管結構變化圖表 33:下一代晶體管結構資料來源:ASML 官網、研究所資料來源:IMEC、研究所先進封裝技術是高性能芯片的重要基礎之一。硅通孔(TSV)的三維封裝技術在超越摩爾定律中扮演重要角色。先進封裝技術提升了互
29、聯密度和信號傳輸速率。在已經量產的 2.5D IC 領域,臺積電主推 CoWoS 工藝,英特爾主推 EMIB 工藝,三星主推 FOPLP。未 來通過難度更高的 TSV 技術,臺積電將進一步量產 SoIC、WoW 等 3D IC,英特爾推出 Foveros 技術,三星推出 3D SiC。圖表 34:臺積電先進封裝技術一覽先進封裝技術InFOCoWoSSoICWoW技術名稱整合扇出型封裝基板上晶圓上晶 片封裝系統整合晶片封 裝晶圓堆疊晶圓封 裝封裝結構分類2.5D IC2.5D IC3D IC3D IC制程內容概述晶圓級封裝(InFO-PoP、 InFO_oS、 InFO_MS、 InFO_AIP
30、 等)將先進制程邏輯 IC 及 HBM/HBM2 整合封裝在第一晶 片不同制程晶片與 晶圓透過 TSV 堆疊封裝兩片相同制程晶 圓直接透過 TSV 堆疊封裝量產時間已量產已量產2021 年后2021 年后資料來源:臺積電、研究所圖表 35:臺積電布局 3D integration 封裝技術圖表 36: 三星布局先進封裝技術資料來源:臺積電官網、研究所資料來源:三星官網、研究所圖表 37:臺積電、三星、英特爾均是堆疊封裝技術的主要參與者資料來源:Yole、研究所護城河加深,行業高壁壘、高集中、少進入者先進制程呈現資金、技術壁壘不斷提高的趨勢,行業格局逐漸出清。從制造環節而言, 行業資金、技術壁壘
31、極高,不僅十多年來沒出現新的競爭玩家,而且隨著制程分水嶺的出現,越來越多的參與者從先進制程中“出局”。格羅方德在 2018 年宣布放棄 7nm 研發,聯電在 2018 年宣布放棄 12nm 以下(即 7nm 及以下)的先進制程投資,因此保持 先進制程研發的玩家僅剩行業龍頭臺積電、三星、英特爾等,以及處于技術追趕的中芯 國際。圖表 38:晶圓廠制程升級規劃資料來源:各廠商、拓璞產業研究、研究所高資金壁壘和技術壁壘,行業十多年沒有新的競爭者出現且越來越多現有玩家放棄先進 制程追趕。龐大的資金投入使得中小行業玩家望而卻步,復雜越來越高的工藝和技術成為行業固有護城河,并且隨著“摩爾定律”推進,每一個制
32、程節點都舉步維艱,擁有高 端制程能力的公司屈指可數。行業呈現寡頭壟斷,臺積電強者愈強。根據拓璞產業研究,2019 年全球十大晶圓代工廠 分別為:臺積電、三星、格芯、聯電、中芯國際、TowerJazz、H-Grace、VIS、PSC、 DongbuHiTek。臺積電市占率超過 50%,在整個晶圓代工行業,臺積電不管是技術領先 性還是優質客戶和訂單的選擇,都是保持比較大的優勢。圖表 39:晶圓代工行業前十名收入(百萬美元)公司19Q1Q318Q1Q3YOYTSMC2424624887-2.60%Samsung92969559-2.80%GlobalFoundries41394636-10.70%U
33、MC34303850-10.90%SMIC22762573-11.50%TowerJazz928970-4.40%H-Grace6906811.30%VIS676705-4.20%PSC5651014-44.30%DongbuHiTek433443-2.30%Top 104667949320-5.40%資料來源:各公司官網、拓璞產業研究、研究所目前能夠提供 7nm 及 7nm 以下先進制程工藝(對應英特爾 10nm)的廠商僅有臺積電、 英特爾和三星。根據拓璞產業研究,2019 年臺積電先進制程市場份額為 52%,英特爾 約 25%,三星約 23%。圖表 40:先進制程產能分布資料來源:拓璞產業
34、研究、研究所晶體管密度不斷提升,但不同廠商命名規格有區別。英特爾的 10nm 工藝晶體管密度介于臺積電 7nm 和 7nm EUV 之間。2019 年,臺積電 7nm 制程投資大概 100110K 產能, 客戶較多。三星 7nm LPP(EUV)工藝產能大概 10K,三星的晶圓代工業務客戶主要是 三星、高通、IBM。根據拓璞產業研究,2020 年臺積電 5nm 產能預計 6070K,同時三 星預計也會推出其 5nm 工藝。圖表 41:不同制程節點晶體管密度(標準化工藝節點以 intel 10nm 為參考節點)工藝密度(MTr)標準化節點(nm)標準化級數狀態Intel 7nm201.67.11
35、3.0In ResearchSamsung 3GAE195.07.212.9In ResearchTSMC N5173.77.612.4In DevelopmentSamsung 4LPE145.88.311.6In ResearchSamsung 5LPE126.78.911.0In ResearchTSMC N6114.29.410.5In DevelopmentTSMC N7+113.99.410.5HVMSamsung 6LPP112.89.510.5In ResearchIntel 10nm100.810.010.0LVMTSMC N7/N7P96.510.29.8HVMSamsun
36、g 7LPP95.310.39.8LVMSamsung 8LPP61.212.87.8HVMTSMC N1060.312.97.8HVMSamsung 10LPP51.813.97.1HVMIntel 14nm43.515.26.4HVMTSMC N1236.716.65.6HVMSamsung 14LPP32.917.55.2HVMTSMC N1628.218.94.5HVMIntel 22nm15.325.71.8HVM資料來源:MebiuW、研究所臺積電積極推動先進制程,引領全行業。根據制程性能提升幅度上看,28nm、16nm、7nm 等具有顯著提升幅度的節點,一般具有相對較長的壽命;而
37、提升幅度較少的節點一 般為過渡節點。臺積電 6nm 預計在 2020Q1 進行風險試產,預計 2020 年年底量產;5nm進入爬坡提升良率階段,預計 2020 年 3 月開始量產。臺積電的 5nm 邏輯密度將是之前 7nm 的 1.8 倍,SRAM 密度是 7nm 的 1.35 倍,可以帶來 15%的性能提升,以及 30%的 功耗降低。圖表 42:臺積電制程升級路徑資料來源:臺積電官網、研究所圖表 43:臺積電歷代制程 PPA(power、performance、Are reduction)環比提升幅度16FF+ VS 20SOC10FF VS 16FF+7FF VS 16FF+7FF VS
38、10FF7FF+ VS 7FF5FF VS 7FF功率60%40%60%50%70%37%17%45%資料來源:臺積電官網、研究所英特爾在 10nm 制程上稍微落后,隨后 7nm 預計在 2021 年量產,并持續進行優化。英特爾制程升級歷史一般是兩年一次,但從 2014 年的 14nm 到 2019 年的 10nm,出現 明顯的升級放緩。目前,英特爾的 10nm 工藝已經量產,但存在缺貨問題。英特爾預計 2020 年推出 10nm+,2021 年推出 7nm 及 10nm+,2022 年推出 7nm+,2023 年推 出 7nm+。英特爾的晶圓廠主要用于生產自家 CPU。英特爾 2020 年
39、capex 提升至 170 億美元,其中一半用于 7/5nm 和擴大 Fab 工廠。英特 爾的 10nm 還是采用浸入式 DUV 設備,7nm 才開始導入 EUV 設備。英特爾的芯片從 2018H2 開始就供不應求,因此 2019 年 capex 為 162 億美元,產能增長 25%。圖表 44:19872019 英特爾制程升級路徑(縱坐標為制程 nm 數)圖表 45:英特爾未來制程升級規劃資料來源:英特爾官網、研究所資料來源:英特爾官網、研究所圖表 46:英特爾服務 CPU 產品路線2014201520162017201820192020CPUHaswellBroadwellSkylakeC
40、ascade LakeCooper LakeIce Lake制程22nm14nm14nm+14nm+14nm+10nmchannel446688資料來源:英特爾官網、研究所三星積極投入晶圓代工領域,加快制程升級。三星在 2017 年將晶圓代工業務部門從系統 LSI 中獨立出來,主要為全球客戶制造非存儲芯片。截止 2019 年底,三星晶圓代工專 屬線包括 6 條 12 寸線和 3 條 8 寸線。提供包括 65 納米、45 納米、32/28 納米 HKMG、 14 納米 FinFET、10 納米 FinFET、7 納米 FinFET EUV 工藝,客戶包括蘋果、高通、超微 半導體、賽靈思、英偉達、
41、恩智浦(NXP)以及韓國本土公司 Telechips 等。三星計劃在 2020 年底試產 3nm 工藝,并專用 GAA MCFET 工藝技術。三星計劃在未來十年(至 2030 年)共投資約 1150 億美元,用于爭取晶圓代工行業主導權。圖表 47:三星電子晶圓代工制程發展路徑資料來源:芯思想研究院、研究所半導體需求三駕馬車共振,國產替代迎來機遇創新趨勢不變:創新是決定電子行業的估值與持續成長的核心邏輯,本輪創新由 5G 驅 動的數據中心、手機、通訊等歷史上第一次共振。中期供需仍緊張:全球半導體投資關注中期供需的核心變量需求與資本開支,疫情 對短期需求會有一定擾動,但中期三大需求不受本質影響,而
42、全球資本開支截止 2019Q3 末還沒有全面啟動,并有部分企業由于疫情再次遞延資本開支,中期供需缺口有望繼續 放大。供給方面,全球資本開支除龍頭臺積電外尚未全面啟動,我們預計本次疫情中部分企業將再度進行資本開支遞延,中期供需缺口有望進一步放大,中期景氣度有望繼續保持向 上趨勢!國產替代歷史性機遇開啟,2019 年正式從主題概念到業績兌現,2020 年有望繼續加 速。逆勢方顯優質公司本色,這是 19 年行業下行周期中 A 股半導體公司迭超預期,優 質標的國產替代、結構改善逐步兌現至報表是核心原因。進入 2020 年,我們預計在國產化加速疊加行業周期景氣上行之下,A 股半導體龍頭公司們有望延續高增長表現。數據中心:數據中心回暖,受益于 5G 持續發展在目前服務器均價已經企穩的同時,我們認為在未來隨著 5G 對其的拉動,內部升級將 不間斷,5G 網絡帶來的傳輸速度將會較 4G 有質的提升,服務器將會在應對存儲方面 呈幾何倍數增長的需求的同時,還需要保持高帶寬、低時延、高穩定性的要求,對于服 務器而言無疑是在性能方面提出了更高的要求。服務器或有望在未來實現更進一步的價 值量的提高,達到價量齊升的平臺。全球服務器行業已經歷經多年,其出貨量從 2013 年至 2018 年也經歷了起起伏伏。2018 年,全球服務器市場出貨量