《CSET:2024極紫外光刻(EUV)技術的興起及其對未來新興技術的啟示示研究報告(英文版)(47頁).pdf》由會員分享,可在線閱讀,更多相關《CSET:2024極紫外光刻(EUV)技術的興起及其對未來新興技術的啟示示研究報告(英文版)(47頁).pdf(47頁珍藏版)》請在三個皮匠報告上搜索。
1、Policy BriefJuly 2024Tracing the Emergence of Extreme Ultraviolet LithographyLessons for Identifying,Protecting,and Promoting theNext Emerging TechnologyAuthorJohn VerWeyCenter for Security and Emerging Technology|1 Executive Summary This paper presents a case study on the most important technology
2、to have emerged in the past decade:extreme ultraviolet(EUV)lithography.In 2019,when the first commercial electronics enabled by EUV were released,the technology was hailed as“the machine that saved Moores Law.”1 All of todays most advanced artificial intelligence(AI)chips,smartphones,autonomous driv
3、ing systems,and high-performance computers contain semiconductors fabricated using EUV lithography.The Dutch company ASML has emerged as the sole supplier of EUV machines,winning a 30-year race that granted the company a monopoly on selling the tool essential for fabricating leading-edge semiconduct
4、ors.2 However,while ASML gets well-deserved praise for developing and commercializing EUV,this papers focus is on the research community that supported EUV from the beginning:the academics in Japan,the United States,and Europe;the public-private partnerships;the conferences;and the industry collabor
5、ation that laid the groundwork for EUV in the 1980s and 1990s.Without this community,“the most technically advanced tool of any kind thats ever been made”would not have been possible.3 This paper traces the academic,government,and industry actors involved in a multi-decade moon-shot project that ult
6、imately saw EUV ascend from a speculative emerging technology to the mechanism that makes Nvidias leading-edge AI training chips and Apples latest smartphone possible.Careful study of the research community that supported EUV development is particularly relevant for policymakers and the semiconducto
7、r industry today.EUV research began in the 1980s,when the U.S.semiconductor industry was trying to fend off ascendent Japanese firms amid significant government intervention on both sides.At the same time,the industry recognized that a new generation of lithographic light sources would be necessary
8、to fabricate future advanced chips to maintain Moores law.Similar circumstances exist today,with policymakers in the United States,Europe,and Asia engaged in once-in-a-generation efforts to protect and promote their respective semiconductor industries,all while ascendent Chinese firms attempt to cha
9、llenge industry leaders.Meanwhile,the entire semiconductor industry recognizes a slow-moving existential crisis:rapid advances in AI must be sustained by correspondingly rapid advances in computational power.However,the end of Moores law is in sight,and not even EUV can save it.4 The development of
10、EUV reflects many of the emerging technology themes observed in previous CSET analyses.5 Research collaboration among academia,industry,and government has occurred for decades,making progress on associated technologies in Center for Security and Emerging Technology|2 fields such as materials science
11、,plasma physics,and chemistry.This progress has been documented in the form of journal article publications,patent filings,and conference proceedings.Over time,specific technologies would transition from government labs to the private sector,frequently through public-private partnerships and consort
12、ia formed to address and overcome technical hurdles.Eventually a private firm would assess a market opportunity,make investments to increase the technologys maturity,collaborate with customers,and commercialize the technology.Government support has always been essential at various points along the w
13、ay.Bibliometric study of the EUV research community during the period when EUV was still“emerging”offers important lessons for policymakers interested in identifying promising technologies today.It shows how academic research translates into scientific advances,the role of government and corporate l
14、abs,how international research collaborations accelerate innovation,the power of public-private partnerships,and the need for large amounts of patient private-sector capital.This paper catalogs this multi-decade path of innovation,identifying inflection points,signals,and sub-innovations along the w
15、ay.Based on these findings,this paper concludes by introducing a set of criteria policymakers could use when attempting to identify future emerging technologies.That a Dutch company,ASML,commercialized a technology pioneered in Americas national laboratory ecosystem and largely funded by Intel also
16、has important lessons for policymakers interested in protecting and promoting the next emerging technology.6 International collaboration on emerging technologies is inevitable,and guardrails on this collaboration come with trade-offs.Investments are an important source of funding for innovation,yet
17、strategic acquisitions can fundamentally alter competitive dynamics in heavily consolidated industries.Finally,emerging technology supply chains evolve and mature in the private sector,whose incentives are profit and reliability,not geostrategic competitiveness.EUV tools are monopolized,but the EUV
18、research community and underlying supply chain is globalized.Center for Security and Emerging Technology|3 Table of Contents Executive Summary.1 Table of Contents.3 Introduction.4 Background on Lithography and EUV.4 The Development of EUV.7 EUV Origins.9 Fundamental Research and Technology Demonstra
19、tion(19811992).10 Applied Research and Technology Development(19931997).11 Consortia and Industry-Led Technology Maturation(19972009).12 Collaboration:Consortium Formation and the Global Research Agenda.12 Competition:Emerging EUV Leadership.15 Commercialization and High-Volume Manufacturing(2010Pre
20、sent).19 The EUV Research Community:Characterizing Signals of Emergence.21 Research Community Composition and Participation.21 Research Community Publications and Technical Areas of Focus.22 Identifying,Protecting,and Promoting the Next Emerging Technology.24 Identifying the Next Emerging Technology
21、.24 Protecting and Promoting the Next Emerging Technology.26 Promoting Emerging Technology.27 Protecting Emerging Technology.28 Conclusion.30 Author.31 Acknowledgments.31 Appendix 1.Emerging Technology Attributes.32 Appendix 2.Next-Generation Lithography and Light Sources.33 Appendix 3.Comparing EUV
22、 Publication Intensity between SPIE and Scopus/Web of Science,19942017.36 Endnotes.37 Center for Security and Emerging Technology|4 Introduction Background on Lithography and EUV Extreme ultraviolet(EUV)lithography is the latest innovation in a long line of technical accomplishments that have suppor
23、ted the semiconductor industrys adherence to Moores law from the 1960s to present.7 In semiconductor fabrication,lithography is the process of imprinting circuitry on silicon wafers using light-sensitive chemicals.The technology functions much like the process of developing a photograph:Light is fil
24、tered through an image(a“mask”in industry jargon)containing a circuit pattern.That pattern is projected onto the wafer,which is covered in light-sensitive chemicals(“photoresist”).The light interacts with the materials on the wafer surface,depositing the pattern as desired.This process is repeated d
25、ozens of times before the desired circuit pattern is fabricated on the wafer(Figure 1).Figure 1.The Photolithography Process Source:Samsung,“Part 4,Drawing Structures in Nano-Scale,”September 22,2017,https:/ for Security and Emerging Technology|5 This lithographic process was first developed for use
26、 in the semiconductor industry at Fairchild Semiconductor and Texas Instruments in the late 1950s.8 Over time,as circuits shrank to the nanometer level,the industry was forced to adopt unique lithographic-specific light sources and associated technologies,because the visible spectrum of light exceed
27、ed the width of the desired circuit patterns.Specialty light sources were introduced,allowing for deep ultraviolet(DUV)lithography.However,the limits of DUV technology were well understood as early as the 1980s.9 Knowing the complexity of the task at hand,the semiconductor industry began to explore
28、the scientific and technical merits of next-generation lithography(NGL)for fabricating advanced microelectronics decades before it would be necessary.EUV lithography represents a departure from earlier photolithography approaches used by the semiconductor industry in several important respects,parti
29、cularly the light source.EUV lithography functions by using high-powered lasers to incinerate 50,000 tin drops(each of which measures 30 micrometers,or 30-millionths of a meter)per second to generate extreme ultraviolet light.10 Because EUV light is absorbed by both air and glass,special mirrors,amo
30、ng the most flawless(as in,blemish-free)materials ever produced,then guide this light through masks and onto wafers in a vacuum chamber.11 Ultimately,this process imparts the ultrafine circuitry necessary for todays most advanced semiconductors(Figure 2).Center for Security and Emerging Technology|6
31、 Figure 2.A Cross-Section Representation of an EUV Machine Source:Maarten Steinbuch,Tom Oomen,and Hans Vermeulen,“Motion Control,Mechatronics Design,and Moores Law,”IEEJ Journal of Industry Applications 12,no.2(2022),www.jstage.jst.go.jp/article/ieejjia/11/2/11_21006010/_article.Five thousand suppli
32、ers provide 100,000 parts,3,000 cables,40,000 bolts,and two kilometers of hosing to make an EUV tool.The tool weighs about 180,000 kilograms(200 tons),and ships in 40 containers spread over 20 trucks and three cargo planes.12 ASML reportedly only makes 15 percent of the EUV tool in-house,partnering
33、strategically with firms worldwide to source the highest quality components.13 This has resulted in a uniquely international product that is Dutch in name only.Though ASML does not talk in detail publicly about its suppliers and the EUV supply chain,Table 1 presents a list of recent known suppliers
34、of specific EUV subcomponents.Center for Security and Emerging Technology|7 Table 1.Summary of Notable EUV Subcomponents and Suppliers Component Subcomponent Supplier(s)Mask Blanks Deposition Veeco(U.S.),Applied Materials(U.S.)Inspection KLA(U.S.),Lasertec(Japan)Blanks AGC(Japan),Hoya Group(Japan)Ma
35、sk Patterning Patterning IMS Nanofabrication(Austria),NuFlare Technology(Japan),JEOL(Japan)Etching Applied Materials(U.S.),Tokyo Electron(Japan)Cleaning SUSS MicroTec(Germany),Applied Materials(U.S.),SCREEN(Japan)Inspection KLA(U.S.),HMI(U.S.,owned by ASML),NuFlare Technology(Japan),Lasertec(Japan)D
36、efect Review Lasertec(Japan),Zeiss(Germany)Repair Zeiss(Germany),RAVE(U.S.,owned by Bruker),Hitachi(Japan)Mask Handling Pellicles ASML(Netherlands),Teledyne DALSA(Canada),S&S Tech(South Korea)Mask Pod Entegris(U.S.),Gudeng Precision(Taiwan)Coaters and Developers Tokyo Electron(Japan),Lam Research(U.
37、S.)Photoresist Photoresist JSR(Japan),Shin-Etsu Chemical(Japan),Tokyo Ohka Kogyo(Japan),Inpria(U.S.,owned by JSR),DuPont(U.S.),Lam Research(U.S.),Gelest(U.S.)Optics Mirrors Zeiss(Germany),Berliner Glas(Germany,owned by ASML)Light Source Laser Cymer(U.S.,owned by ASML),TRUMPF(Germany)Vessel VDL Enabl
38、ing Technologies(Netherlands)Wafer Handling Wafer Handling VDL Enabling Technologies(Netherlands),Berliner Glas(Germany,owned by ASML)Metrology HENSOLDT(Germany),ASML(Netherlands)Source:Authors compilation.14 EUV tools are so essential to the semiconductor industry that ASML has become a technology
39、industry leader:companies that can afford an EUV tool,which reportedly costs$350 million as of 2023,are able to make the most advanced chips in the world.15 Companies that cannot afford EUV tools(or must wait while the back orders are cleared)settle for making second-best chips.This role has also pu
40、t ASML in the middle of geopolitical tensions.Chinese firms,eager to make the worlds most advanced electronics,are currently blocked from purchasing EUV tools on national security grounds by the Netherlands.16 The Development of EUV The following sections describe the development of EUV from chronol
41、ogical and bibliometric perspectives.EUV development occurred in academic labs,corporate Center for Security and Emerging Technology|8 research and development(R&D)facilities,private firms,and public-private partnerships over the course of 30 years.First,the chronological history of the EUV developm
42、ent program is presented,highlighting key contributions from academia,government,and industry,as well as the collective technical obstacles they worked to overcome.Second is a bibliometric analysis of the EUV research agenda over the course of 30 years.Much of EUV lithographys development occurred i
43、n the public record in the form of publications,conference proceedings,and patent filings.The analysis is derived from a book by the Society of Photo-Optical Instrumentation Engineers(SPIE),EUV Lithography,published in 2008 and revised in 2018.17 This manual presents a history of EUVs development fr
44、om a technical perspective and was largely written by the key contributors active in the research community from the 1980s to the present.Importantly,it provides a comprehensive list of the consequential publications,actors,and narrative history.This bibliometric analysis focuses on the EUV research
45、 communitys accomplishments during the 30-year period when EUV was an emerging technology.The analysis demonstrates specific inflection points and accomplishments along the way that can be used as signals to identify other technologies that may be emerging today.It also reviews policymaker options f
46、or protecting and promoting emerging technologies at these inflection points.Center for Security and Emerging Technology|9 EUV Origins The first period of EUV research was focused on demonstrating the technical promise and feasibility of using soft X-ray projection lithography(SXPL)in semiconductor
47、fabrication.The next period focused on developing the imaging systems and system integration,and identifying technical barriers and potential“showstoppers.”From the late 1990s through 2010,industry stepped in and focused the EUV research agenda on system development in preparation for high-volume ma
48、nufacturing(Figure 3).From 2010 to the present,ASML has emerged as the sole supplier of EUV systems,successfully shipping its first allegedly“production-ready”EUV lithography tools in 2013.However,it was not until 2019 that the first electronic devices containing EUV-enabled semiconductors became av
49、ailable.Figure 3.Timeline of EUV Development and Notable Actors Source:Nelson M.Felix and David T.Attwood Jr.,“EUV Lithography Perspective:From the Beginning to HVM(Conference Presentation),”SPIE Advanced Lithography,San Jose,California,2020,video,75:00,April 28,2020,www.spiedigitallibrary.org/confe
50、rence-proceedings-of-spie/11323/2572271/EUV-Lithography-Perspective-from-the-beginning-to-HVM-Conference/10.1117/12.2572271.full#_=_.Center for Security and Emerging Technology|10 Fundamental Research and Technology Demonstration(19811992)Soft X-ray reduction imaging,the technology that would later
51、become EUV,was an idea ahead of its time.When,in 1981,researchers in the United States first started investigating whether soft X-rays could be used to impart images,their efforts focused on applications related to X-ray microscopes and X-ray telescopes.18 In fact,some of the very first tests evalua
52、ting soft X-rays for imaging were conducted at the Marshall Space Flight Center in Huntsville,Alabama.19 At this time,the leading NGL technology was X-ray proximity lithography(XPL).IBM in the United States and NTT in Japan were actively evaluating XPL for semiconductor device production,though both
53、 companies would later abandon the technology once manufacturing problems with XPL masks were deemed unresolvable.20 Hiroo Kinoshita,an employee at NTT who emerged as a leading figure in the EUV lithography community,first began considering the applicability of this pioneering research for semicondu
54、ctor device fabrication in 1984.21 Calling his approach X-ray reduction lithography,Kinoshita presented his initial findings at the Japan Society of Applied Physics in 1986 to little acclaim:the audience“seemed unwilling to believe that an image had actually been made by bending x rays.”22 Researche
55、rs in the United States experienced similarly negative feedback initially.Employees at AT&T Bell Laboratories approached the U.S.government in 1986,suggesting that soft X-ray lasers,paired with multilayer reflectors,could serve as a practical NGL tool.The proposal they prepared for the U.S.governmen
56、t received an“extremely negative”review,with the reviewers going so far as to say,“The printing of 0.1 micron featureswould never be needed for silicon integrated circuits.”23 By 1988,researchers at Lawrence Livermore National Laboratory(LLNL),part of the U.S.Department of Energy(DOE)national labora
57、tory system,had picked up on Kinoshitas research and proposed the first SXPL system.24 These researchers,all of whom were affiliated with LLNLs laser fusion program,took this pioneering research one step further,fabricating components and developing techniques to conduct diagnostics to verify SXPLs
58、promise.25 Kinoshitas research aligned with these efforts,and in 1989 he published a paper that proposed optimal SXPL exposure wavelengths,photomasks,and photoresist.26 By the early 1990s,researchers at Sandia National Laboratories(SNL),another of the DOEs national labs,had partnered with AT&T Bell
59、Labs to demonstrate the first SXPL system with a laser plasma source.Momentum in the United States continued to grow as the U.S.Defense Advanced Research Projects Agency(DARPA)kicked off its advanced lithography program in 1991.27 Soon thereafter,Kinoshita and several Japanese colleagues presented a
60、 refined,and Center for Security and Emerging Technology|11 technically promising,two-mirror imaging system using SXPL in partnership with Tinsley Laboratories,a U.S.firm responsible for the optics used in the Hubble Space Telescope.28 Finally,in 1992,Intel CEO Andy Grove approved a$200 million comp
61、any-internal R&D investment into EUV lithography.29 Applied Research and Technology Development(19931997)The second phase of R&D began with a name change:in 1993 the SXPL research community decided to coalesce on the term“extreme ultraviolet lithography.”This decision had several motivations.First,t
62、he term EUV distinguished this approach from the XPL research still being explored by IBM and NTT at the time.30 Additionally,EUV sounded like an extension of DUV,the most common form of optical lithography then in use(Appendix 2 has more information on each of these lithographic approaches).31 The
63、adoption of the term also served to remove the association with X-ray lithography(which didnt work)and create an association with DUV lithography(which did work).32 The U.S.National EUV Lithography Program emerged in 1994.Consisting of researchers from LLNL,SNL,Lawrence Berkeley National Laboratory(
64、LBNL),and AT&T Bell Labs,this program was funded by DOE and steered by a technical advisory group with DARPA,DOE,and industry representatives.Teams at LLNL and SNL began developing imaging systems and the first tool leveraging EUV technology capable of precise overlay.33 Development of mirror imagin
65、g systems(the“optics”)was a major focus of research during this period,with teams at SNL,Tropel Corporation,AT&T Bell Labs,and Silicon Valley Group(SVG)Lithography Systems all contributing to advances in the United States.34 Related research in Japan continued to be led by NTT,with increasing partic
66、ipation from Hitachi and Nikon.35 European research into EUV lithography also began in earnest during this period.Notably,an EUV research program called EXULTwhich counted among its members ASM Lithography,the FOM Institute for Atomic and Molecular Physics,Sopra,Carl Zeiss AG,and Delft University of
67、 Technologybegan a research agenda focused on“high-power debris-free light sources,precision optics fabrication and metrology,and defect-free masks.”36 Europes first EUV workshop was organized and hosted by Zeiss in 1995.37 The concentration of EUV research in the Netherlands at this time was notabl
68、e.The Netherlands was seen by both the U.S.and Japanese semiconductor industries,which were at the time engaged in fierce competition,as neutral ground.38 As a result,companies in Japan and the United States collaborated closely with Center for Security and Emerging Technology|12 European firms.This
69、 head start would serve as a boost to an emerging Dutch company then known as ASM Lithography(later ASML).Consortia and Industry-Led Technology Maturation(19972009)By the mid-1990s,the International Technology Roadmap for Semiconductors forecast that a new lithography technology would need to be rea
70、dy for high-volume manufacturing by 2005 if the industry was to keep pace with Moores law.39 However,despite years of exploratory work into XPL(led by IBM in the United States),projection electron and ion beams(led by AT&T Bell Labs in the United States),and shorter DUV wavelengths,no promising cand
71、idate technology had emerged.40 At this inflection point,DOEs funding for the National EUV Lithography Program ended.This decision,the result of broader DOE budget reductions in the 1990s,occurred at a time when it was clear to industry that,if anything,the EUV lithography research agenda required e
72、ver greater funding.The decision to cease funding the National EUV Lithography Program prompted Intel to step in and provide“bridge funding”to keep the U.S.EUV R&D community together until a more extensive program could be developed.41 In 1997,EUV LLC,led by Intel,was formed to push this research ag
73、enda forward in the United States.EUV LLC quickly established a contract with DOE through a novel national virtual laboratory(NVL)structure to ensure close alignment with the research teams at LLNL,LBNL,and SNL already engaged in EUV research.42 Following the creation of EUV LLC in the United States
74、,related consortia in Japan and Europe soon appeared.In 1998,the Japanese Association of Super-Advanced Electronics Technologies(ASET)established an EUV research agenda,and Europes Extreme UV Concept Lithography Development System(EUCLIDES)was formed.43 Unlike the U.S.government,the Japanese governm
75、ent and the European Commission provided direct financial support to these EUV research agendas.44 Collaboration:Consortium Formation and the Global Research Agenda With the creation of these public-private consortia in the United States,Europe,and Japan in 1997 and 1998,the semiconductor industry b
76、egan to pursue EUV research in a much more concerted manner.Each of these consortia competed and collaborated in their efforts to commercialize EUV lithography systems.Recognizing the magnitude of the tasks at hand,the consortia established multiphase research agendas.While there was substantial ove
77、rlap among the technical research agendas of the three consortia,Center for Security and Emerging Technology|13 each of them expected that production-ready tools would not be available until the mid-2000s at the earliest.Beginning in 1999,an annual international EUV workshop was held,rotating betwee
78、n the United States,Japan,and Europe.The function of this workshop,as well as other industry conferences and exchanges,served to advance overall progress on EUV research by sharing the results of their Phase I efforts(described below).Each consortium expected that Phase I would take three years and
79、follow-on efforts aimed at developing a commercial tool would take a minimum of another three years,with EUV LLC aiming for a fab-ready system“on the floor in 2004.”Japan,ASET,and EUVDA Japans EUV research program at ASET conceived of a nine-year research agenda culminating with commercial tools rea
80、dy for use in the mid-2000s.The program focused on three lines of effort in Phase I:the exposure system(including mirrors,the light source,and the mechanical system),multilayer masks(including mask patterning and defect detection),and photoresist development.ASETs research agenda was coordinated by
81、Hiroo Kinoshita(who by this time had departed NTT for the Himeji Institute of Technology),with participation from 10 semiconductor manufacturers and two equipment suppliers.45 In some respects,Japan had a head start in NGL research:Japanese firms Canon and Nikon,who led in worldwide lithography sale
82、s in the mid-1990s,were pursuing company-internal NGL development efforts as well.46 Canon had invested heavily into XPL,while Nikon had bet on electron-projection lithography(EPL).However,by late 2000,Canon had announced it would cease its XPL research in 2001.47 The company argued that this line o
83、f research could be repurposed,giving it a head start in EUV work,while Nikon continued investigating EPL and EUV simultaneously.48 Japanese firms and consortia continued to experience halting progress in EUV and other NGL technologies,which ultimately encouraged closer collaboration and technical e
84、xchanges between ASET and EUV LLC in 2001.49 The United States and EUV LLC The EUV LLC research agenda was the most well funded and ambitious of the three consortia.In Phase I,EUV LLC identified EUV optics,multilayer coating,metrology,masks,light source,photoresist,and development of an engineering
85、test stand(a prototype EUV system)as“major tasks.”More important than funding was the level of participation from industry during this period:in addition to the aforementioned DOE Center for Security and Emerging Technology|14 labs and Intel,ASML,Motorola,AMD,Micron,Infineon Technologies AG,and IBM
86、ultimately joined EUV LLC(Figure 4).50 No company was more committed to EUV research during this period than Intel.Preliminary estimates from Intel and the national lab partners in EUV LLC put an annual budget at around$60 million,a number that would swell into the billions in the coming decade as I
87、ntel committed“what felt like infinite money for solving an impossible problem.”51 Figure 4.EUV LLC Structure Source:Felix and Attwood,“EUV Lithography Perspective,”37:30.Intel and its EUV LLC partners ended up committing$250 million to the DOE labs in 1997.Remarkably,Intel agreed to pay 100 percent
88、 of the national labs EUV research costs through a Cooperative Research and Development Agreement(CRADA)with the NVL that was hailed by the then secretary of energy as“the biggest investment the private sector has ever made in the Department of Energy.”52 Intels return on this investment was access
89、to the 100-plus researchers in the DOE national labs engaged in EUV work,the rights to all EUV-affiliated intellectual property(IP)generated under the auspices of EUV LLC,and the(hopeful)continuation of Moores law.53 DOE retained royalty-free right to use EUV-affiliated technologies generated by EUV
90、 LLC for defense applications.54 Center for Security and Emerging Technology|15 Europe,ASML,and EUCLIDES Led by ASML in partnership with Carl Zeiss AG and Oxford Instruments,Europes EUCLIDES program focused its research efforts on mirror substrates,high-reflectivity multilayer coatings,and vacuum st
91、ages,while also evaluating plasma and synchrotron light sources.55 EUCLIDES progress in Phase I required access to industry know-how,applied R&D,and government user facilities and test beds.ASML chose to partner with TNO TPD,a Dutch institute for applied R&D;FOM Rijnhuizen,a Dutch research institute
92、 for plasma physics;PTB,the German metrology institute;and Fraunhofer IWS,a German institute for applied R&D focused on materials science and lasers.56 The European research agenda into EUV was relatively small compared to the U.S.and Japanese efforts,yet it showed early signs of progress.Notably,Ze
93、iss(the firm that today supplies all of the optics for ASMLs EUV tools),in collaboration with Fraunhofer IWS,started to see early breakthroughs on the multilayer coating challenges in 2000.57 Early on,ASML recognized it would need to rely on an extensive supply chain for many of the components and s
94、ubcomponents as opposed to doing everything in-house.58 Based on EUCLIDES initial progress,in 2001 ASML“assigned a small group of people and modest resources to build a prototype EUV system.”59 This goal,which took five more years to realize,was aided by ASMLs partnership with EUV LLC beginning in 1
95、999.Competition:Emerging EUV Leadership During this period,in addition to the cooperative research agenda outlined in the previous section,there remained intense competition between countries,NGL technologies,and the companies championing them.Competing Countries The first question the secretary of
96、energy fielded after announcing the formation of EUV LLC in 1997 was from a reporter who asked what steps the DOE was taking to ensure“American equipment manufacturers will benefit from this program and that it wont serve to perpetuate the dominance of Japanese equipment manufacturers in lithography
97、 technology.”60 At the time,the Japanese semiconductor industry was ascendent,and U.S.semiconductor firms were keen to maintain(and in some cases regain)their leading position.The U.S.government had anticipated this potentially controversial point and included an article in the agreement governing E
98、UV LLC that established a“net U.S.benefit”clause,essentially requiring that participants commit to using EUV LLC-derived IP in support of U.S.manufacturing.Writing retrospectively,Center for Security and Emerging Technology|16 the former head of EUV LLC stated,“The early failure to recognize that th
99、e U.S.government would prevent the EUV LLC from licensing lithography suppliers in Japan prevented the development of a robust competitive environment for EUV.”61 Nevertheless,the industry recognized that the scale of the technical challenges necessitated closer international coordination.With Japan
100、ese collaboration politically unpalatable,EUV LLC looked to European partners.In 1999,EUV LLC entered into an agreement with ASML that formalized the companys participation in the consortium,closely aligning the work of EUCLIDES with U.S.efforts.62 Inclusion of this non-U.S.member firm was motivated
101、 by Intel,which had pushed for ASMLs participation as a means of accelerating research progress.63 ASMLs inclusion in EUV LLC came over objections from some in the U.S.government who expressed concern that including non-U.S.partners in the LLC could result in the transfer of taxpayer-funded IP to a
102、non-U.S.company.In exchange for membership in EUV LLC,ASML promised to build a factory in the United States comparable in scale to its Netherlands facility,agreed that 55 percent of the components in its future commercial EUV systems would be sourced from U.S.suppliers,and committed to sharing EUV t
103、echnologies derived from the EUCLIDES program.64 Soon thereafter,German firm Infineon Technologies AG also negotiated access to EUV LLC,offering to pay$10 million,over objections from the U.S.Department of Commerce.65 Competing Technologies At least four NGL technologies were seriously considered by
104、 the semiconductor industry and championed by specific firms before EUV emerged as the clear winner(see Appendix 2 for more information).Each of these NGL approaches faced technical and economic obstacles.A complete lithography system requires(1)the exposure tool;(2)mask making,mask equipment,and ma
105、sk materials;(3)the photoresist materials and processing equipment;and(4)metrology equipment for critical dimension and overlay.66 Significant technical innovation was required for each of these subcomponents and functions.In almost all cases,though,economic considerations dictated the rise and fall
106、 of different NGL approaches.Ultimately,these tools needed to be able to conduct hundreds of wafer exposures per hour(referred to as“throughput”),with as little downtime as possible,for years at a time.NGL technologies that lacked throughput and reliability did not prove economically viable.SEMATECH
107、,a nonprofit consortium founded in 1987 to sustain U.S.semiconductor industry leadership,convened an NGL task force in 1997 that identified XPL,EPL,and ion projection lithography as the most likely candidates(each are described further in Appendix 2).67 EUV came in fourth place.Nevertheless,ASMLs pa
108、rticipation in EUV Center for Security and Emerging Technology|17 LLC in 1999 accelerated enthusiasm for EUV lithography at the expense of the other lithographic approaches then under consideration.Less than two years later,in early 2001,ASML dissolved eLith LLC,a joint venture with U.S.firm Applied
109、 Materials that had been focused on developing a next-generation EPL tool derived from research at AT&T Bell Labs.68 In making the decision to abandon EPL,ASML cited EUVs promise as a“more extensible”technologyoffering manufacturing capabilities for multiple generations of processor technologyas wel
110、l as EPLs relatively lower throughput.69 In 2002,ASET reported an alpha prototype EUV tool capable of fabricating chips at the 35nm node.70 That same year,Japanese firms,with the support of Japans Ministry of Economy,Trade,and Industry,established the Extreme Ultraviolet Lithography System Developme
111、nt Association(EUVA).71 Membership in EUVA consisted of all the leading Japanese semiconductor firms:Canon and Nikon;light-source manufacturers Komatsu,Ushio,and Gigaphoton;and device manufacturers Fujitsu,NEC,Renesas Technology,and Toshiba.72 ASET focused on developing mask and resist technology,wh
112、ile EUVA and its leading members Nikon and Canon focused on optics and exposure tool(“scanner”)development.73 Despite making progress in these areas,by 2003 Japans efforts in EUV were already being characterized as“playing catch up.”74 Part of the reason Japanese firms were perceived to be falling b
113、ehind in EUV was the success of U.S.efforts under EUV LLC.The consortium,which ceased operations in 2004,resolved many of the major tasks initially identified in 1997 and delivered the first prototype EUV lithography tool,referred to as the engineering test stand.Its six-year research effort is gene
114、rally regarded as a success.Yet,substantial technical hurdles remained by the time of EUV LLCs dissolution,and its expected delivery of an alpha tool“on the floor in 2004”was now delayed several years further.At this point,SEMATECH stepped into the void left by EUV LLC.SEMATECH focused on EUV commer
115、cialization efforts,building infrastructure to support production of EUV mask blanks and photoresist,providing light-source data,and characterizing optics lifetime and contamination.75 This delay did not cause an end to Moores law as the International Technology Roadmap for Semiconductors had earlie
116、r predicted,primarily because of successful technical innovations that extended DUV lithography to fabricate all the way down to the 45nm node and below.76 Using DUV lithography for more advanced device fabrication is slower and more resource intensive(more masks and photoresist are required,increas
117、ing costs and the possibility of defects)but technically viable.ASML now estimates that,while it takes only nine EUV lithography steps to fabricate a 7nm chip,DUV lithography tools can accomplish the same task in 34 steps.77 Center for Security and Emerging Technology|18 As early as 1999,the industr
118、y recognized that DUV extension could be viable,and by the early 2000s DUV lithographys successful extension actually reduced the urgency of the EUV research agenda.78 By the mid-2000s,only hard problems remained:it had become apparent to the industry that EUV technology would not be ready until the
119、 end of the decade,if ever.Key technical issues were not being resolved in a timely manner,delaying the project writ large.These issues included“light source output power and lifetime;availability of defect-free masks;reticle protection during storage,handling and use;projection and illuminator opti
120、cs lifetime;resist resolution,sensitivity and line width reduction;and optical quality for the 32-nm node.”79 In 2005,these ongoing technical hurdles led several researchers to declare that EUV lithography was“headed for failure,”with one keynote speaker predicting,“No one will ever print a wafer fo
121、r profit with EUV.”80 This same year,DARPA ended funding for its advanced lithography program,estimating it had spent$1 billion over the programs 14-year existence.81 Competing Companies In 2000,ASML,then the second largest lithography company in the world,made an offer to acquire SVG Lithography,th
122、e fourth largest.The acquisitionwhich was approved in 2001 after an extensive national security review process in the United States that forced ASML to divest SVGs Tinsley Labs businessmade ASML the largest lithography vendor in the world,putting it ahead of Canon and Nikon.82 Importantly,the purcha
123、se of SVG also gave ASML the inside track to win future business from Intel,which had historically relied on SVG for lithography tools.83 With SVGs acquisition,however,the last American lithography-tool supplier ceased to exist.84 Not long after,Northrop Grumman made an offer for TRW,a firm supporti
124、ng EUV LLCs work on light sources,and,subsequent to the closing of the transaction,exited light-source development.Northrop Grumman ended up donating all of its EUV-affiliated IP to the University of Central Florida in 2004.85 These acquisitions came at a fraught time for the semiconductor industry.
125、Global semiconductor sales fell 32 percent following the dot-com crash in 2001 and recovered slowly from 2002 to 2005,hurting firm profitability and thus R&D budgets.86 One bright spot was Intel,whose personal computer business proved resilient and exceedingly profitable.Intel used these profits cre
126、atively during the downturn,funding ongoing research into EUV at a time others could not afford to.In 2003,Intel purchased$90 million worth of Nikon bonds,“partly to ensure Nikon climbed fully on board the EUV bandwagon.”87 It invested another$20 million in a San Diegobased developer of EUV light so
127、urces called Cymer to sustain its operations.88 Center for Security and Emerging Technology|19 ASML and Nikon competed head-to-head for lithography market share in the mid-2000s,simultaneously pursuing different NGL technologies.89 Adding to this competition,Nikon sued ASML for patent infringement i
128、n 2001 and reached a settlement three years later,with ASML and Zeiss paying$87 million and$58 million to Nikon respectively.90 In spite of this win for Nikon,that same year it became increasingly apparent that its big bet on EPL was never going to reach the throughput necessary to be competitive wi
129、th EUV.In 2005,it ceased efforts to commercialize EPL.91 ASML,Nikon,and Canon continued to guarantee EUV alpha tools to customers by the mid-2000s,with production-ready tools by 2009.92 In 2006,ASML shipped its first EUV prototype tools to Belgiums Interuniversity Microelectronics Centre(IMEC)and th
130、e College of Nanotechnology,Science,and Engineering(CNSE)in New York State.93 In 2007,Nikon reported that its first two EUV tools would be shipped to Intel and Selete(a Japanese joint venture).94 In 2008,CNSE successfully used ASMLs demo tool to produce the worlds first EUV test chips.95 Canon conti
131、nued to struggle with EUV and by 2009 had decided to exit the race,leaving only ASML and Nikon as viable suppliers to the industry.96 That same year,it was rumored that Nikons EUV program was experiencing further delays.97 Commercialization and High-Volume Manufacturing(2010Present)It was time to ma
132、ke money.ASML and Nikon had been taking orders for their long-delayed EUV tools for years,citing per-tool prices between$15 million and$20 million in 2001.98 But in 2010,ASMLs first preproduction EUV system was finally shipped to a Samsung research facility in South Korea,and the cost had risen to$1
133、20 million per tool.99 The costs of developing EUV had escalated to the point that Nikon elected to exit EUV lithography system development altogether in 2011,leaving ASML as the sole firm pursuing EUV.100 Yet getting to this point had cost ASML tremendously,and it found itself strapped for cash jus
134、t as the first EUV tools were being evaluated by its customers.In response,ASML announced a 2012“customer co-investment program,”in which its most important customersSamsung,Intel,and TSMCwould fund further EUV research and rollout in exchange for equity in ASML.101 Intel committed$4 billion,while S
135、amsung and TSMC each committed$1 billion.102 With this assurance,ASML doubled down on its efforts to commercialize EUV tools.The last major technical hurdle to be addressed had to do with the light source.In late 2012,ASML made an offer to acquire Cymer,the San Diegobased DUV and EUV Center for Secu
136、rity and Emerging Technology|20 light-source developer,for$2.5 billion to vertically integrate its supply chain further and accelerate progress.103 From this point forward,the story of EUVs emergence becomes much more well-known.The light-source challenges were resolved by ASML and Cymer,with critic
137、al help from the German laser manufacturer TRUMPF.In 2013,ASML shipped its first EUV production system,officially signaling the“emergence”of the technology,though it would not be ready for high-volume manufacturing in a leading-edge fab until Samsung and TSMC started shipping the first EUV-enabled p
138、roducts in 2019.104 ASMLs ascendence in the lithography world coincided with the decline of Japanese lithography firms,who today maintain about 10 percent of the worldwide lithography market,with ASML holding roughly 90 percent market share(and,of course,for EUV,100 percent market share).105 Center
139、for Security and Emerging Technology|21 The EUV Research Community:Characterizing Signals of Emergence Throughout the timeline of events outlined in the previous section,the research community engaged in EUV commercialization was actively publishing,patenting,investing,and partnering on affiliated t
140、opics.This corpus of work provides a rich case study for researchers interested in identifying emerging technologies.Importantly,much of this information has already been collected and organized thanks to SPIEs definitive EUV Lithography volume.Using this book,it is possible to define the members of
141、 the research community and the discrete tasks on which they worked and to conduct analysis of this output over time to look for signals of emergence.The analysis provided in this section is derived from SPIEs EUV Lithography.SPIE conferences were among the most important for the EUV research commun
142、ity in the 1990s and mid-2000s and provided a forum for researchers to share findings internationally.This volume,first published in 2008 and revised and republished in 2018,provides a comprehensive history of EUV development and an overview of each major subsystem,along with the technical hurdles o
143、vercome.The narrative of events,actors involved,and publications cited in the section that follow are extracted from this volume.Research Community Composition and Participation Writing his history of EUV development from the 1980s through 1996,Hiroo Kinoshita lists about 300 researchers by name as
144、having made“important contributions”to EUV lithography during this period.106 This group,though not an exhaustive list,likely represents the most comprehensive snapshot of the EUV research community from the 1980s through mid-1990s.The United States(about 225 researchers),Japan(about 45 researchers)
145、,and Europe(about 30 researchers)were home to this research community.107 Roughly 10 percent of researchers were affiliated with a university,30 percent were affiliated with a government-supported research institution(i.e.,a national laboratory),and the remaining 60 percent were employed in the semi
146、conductor industry.108 With the formation of the consortia in the late 1990s,the composition of this research community shifted decisively from academia to industry.The overall number of participants in Japans ASET and EUVA research programs and in Europes EUCLIDES program is more difficult to ascer
147、tain.However,over 2,800 individuals are listed as a coauthor on one or more publications in the SPIEs history of EUV,indicating the research community grew dramatically from the mid-1990s onward.109 This number is likely a conservative representation of worldwide participation in EUV research,as Cen
148、ter for Security and Emerging Technology|22 many key contributors were employed by the industry at the time and not publishing on their work.Research Community Publications and Technical Areas of Focus This research community publicly reported on progress and obstacles in the form of academic public
149、ations from the 1980s through the 2010s.Of the 1,035 distinct EUV-affiliated publications cited in the SPIEs volume,the vast majority of them were published from 1997 onward(Figure 5).110 Interestingly,there are two observable spikes in EUV-affiliated publications around 1993(when the research agend
150、a for EUV became more formalized)and again around 2006(when ASML shipped its first alpha tools to IMEC and CNSE).Each of these periods was subsequently followed by a steep decline in publications,an EUV winter of sorts,when interest in(and perhaps enthusiasm for)the technology waned in the face of t
151、echnical and economic obstacles.111 Figure 5.Number of EUV-Affiliated Publications Annually,19812017 Source:Authors compilation,derived from Hiroo Kinoshita and Obert Wood,“EUV Lithography:An Historical Perspective,”in EUV Lithography,ed.Vivek Bakshi(Bellingham,WA;SPIE,2008),www.spiedigitallibrary.o
152、rg/ebooks/PM/EUV-Lithography/1/EUV-Lithography-An-Historical-Perspective/10.1117/3.769214.ch1.01020304050607080Light SourceMaskMetrologyMultilayer CoatingPhotoresistScannerOpticsCenter for Security and Emerging Technology|23 Among the technical areas that saw the most sustained research and publicat
153、ion output over time,photomasks,photoresist,and optics stand out.Each of these areas presented significant scientific and technical obstacles to the overall research agenda.Interestingly,the EUV light source,which was the last and most difficult obstacle to overcome,has a comparatively small publica
154、tion footprint.112 This may be because the companies developing EUV light sources chose to keep that information as a trade secret during the period of development.This may also explain why EUV-affiliated metrology publications,a similarly remarkable technical accomplishment,are underrepresented.The
155、 1,035 publications cited in the SPIE volume represent a lower bound of the total EUV-affiliated publications from the 1980s to present.A simple query of“EUV lithography”in Scopus and Web of Science indicates a similar spike in interest from the 1997 period onward.However,Web of Science indicates an
156、 average of 248 EUV-affiliated publications per year(and a median of 267)from 1997 through 2017.This suggests that thousands of additional papers dealing with topics related to EUV lithography exist(see Appendix 3 for more information).Center for Security and Emerging Technology|24 Identifying,Prote
157、cting,and Promoting the Next Emerging Technology The story of EUV shows that early government interventions matter most with respect to promoting emerging technologies,while government interventions to protect such technologies either come too late or lack relevance due to a limited tool kit.Interes
158、tingly,the moments when governments can make the most difference in promoting an emerging technology are the same moments when governments are most powerless to protect it.Conversely,by the time a technology is mature or“ready”enough to merit protection,there is very little policymakers can do to co
159、ntinue to promote its development,as it will most likely have“emerged”in the hands of industry.Government efforts to identify emerging technologies are necessary but insufficient to protect and promote them.Though it may have been possible for policymakers to spot the emergence of EUV using some of
160、these signals,the simple reality is that emerging technologies start out as arcane ideas championed by a small cohort of intensely passionate researchers.In general,policymakers lack the technical literacy,resources,and patience necessary to characterize and track a technologys emergence.Nonetheless
161、,policymakers can use the template introduced in the next section to assist in identifying emerging technologies based on bibliometric and economic signals:publications and investments.Identifying the Next Emerging Technology Previous CSET research has described the challenges and opportunities poli
162、cymakers face when attempting to identify emerging technologies.113 By definition,emerging technologies are new and rapidly changing,making them hard to track.Because these technologies are inherently immature,the underlying chain of people,processes,products,services,information,and resources that
163、supports the technology is difficult to define.Additionally,technology development is diffuse and occurs in universities,firms,and government labs,often simultaneously and across borders.“Emerging technology”as a concept also lacks an agreed-upon definition.For the purposes of this paper,an emerging
164、 technology is“a relatively fast growing and radically novel technology characterized by a certain degree of coherence persisting over time and with the potential to exert a considerable impact on socio-economic domain(s).”114 Using publication data and investment trends described earlier in the pap
165、er,Table 2 presents a template researchers and policymakers could use to identify other emerging technologies by quantitatively assessing coherence and growth and Center for Security and Emerging Technology|25 qualitatively assessing impact and novelty(more context on each of these attributes is in
166、Appendix 1).Table 2.Signals of Emerging Technology Coherence,Growth,Impact,and Novelty Coherence Growth Impact Novelty Publications 100 contributors(representing 25+academic,industry,and government institutions)A total of 50+publications annually for a five-year period Number of contributors doubles
167、 in a five-year period,exceeding 500 individuals Number of publications increases 100%year over year for a five-year period,exceeding 100 publications annually Leading researchers are listed as inventors on patent filings A new annual conference series or journal emerges and remains in operation for
168、 five-plus years Investment(R&D,capital expenditure,mergers and acquisitions,venture capital)$100 million cumulatively invested in affiliated technologies Value of investments doubles every other year(or year over year)for a five-year period,cumulatively exceeding$250 million Investments drive conso
169、lidation or vertical integration Incumbent firms make substantial($100 million+)investments in the technology Government and industry coinvest to form public-private partnerships that explore technology maturation and commercialization Source:Authors creation.Center for Security and Emerging Technol
170、ogy|26 The development of EUV met these conditions at different points in time:Novelty and coherence:The EUV community exhibited nascent signals of coherence early on,during the 1989 to 1993 period.While only about 15 EUV-affiliated publications appeared annually on average during this time,Intel co
171、mmitted$200 million to EUV research in 1992,the research community harmonized on the term“EUV”in 1993 at a conference convened specifically for the topic,and the research community actually exceeded 300 contributors by the mid-1990s.Governments also formed the first EUV-focused public-private partne
172、rships to explore the technology in earnest during this time,notably the U.S.National EUV Lithography Program and Europes EXULT program.Growth and impact:The EUV communitys rate of publication and investment demonstrated significant growth from 1997 to 2003.The number of named contributors on papers
173、,a proxy for the size of the research community,doubled during this period.Likewise,the overall number of EUV-affiliated publications increased by 100 percent year over year,breaking 50 publications for the first time in 1998 according to Web of Science data.EUV-affiliated investments by major actor
174、swhich include mergers and acquisitions as well as R&Dalso grew dramatically.ASML purchased SVG Lithography during this period.Protecting and Promoting the Next Emerging Technology How did the most important emerging technology of the past 10 years,a technology that was largely pioneered in U.S.gove
175、rnment labs and funded by Intel,end up monopolized by a Dutch company and sold to Intels largest competitors first?The short answer to this question is that ASML had first-mover advantages,pursued strategic acquisitions,was able to weather cyclical downturns,enjoyed remarkable direct financial suppo
176、rt from key customers,made a high-risk bet on an unproven technology(unlike its more conservative Japanese competitors),benefited from bad bets and competition among its rivals,and remained focused on its customers.Additionally,Intel stumbled.115 Policy interventions had little to no impact on the t
177、imeline or maturation of EUV from roughly 2006 onward.Answering this question more broadly has important implications for policymakers.Previous CSET research has detailed the trade-offs policymakers face when pursuing strategic technology and national security goals,especially with respect to drivin
178、g technological innovation and impeding adversaries progress(Figure 6).116 The Center for Security and Emerging Technology|27 development of EUV has several lessons for policymakers interested in promoting and protecting emerging technologies.Figure 6.Policy Instruments and Enabling Levers Source:Ja
179、ck Corrigan,Melissa Flagg,and Dewey Murdick,“The Policy Playbook:Building a Systems-Oriented Approach to Technology and National Security Policy”(CSET,June 2023),https:/cset.georgetown.edu/publication/the-policy-playbook/.Promoting Emerging Technology The story of EUVs emergence contains themes shar
180、ed with other research agendas.Technologies often take decades to“emerge.”These technologies grow,change,and mature in unexpected ways at a pace that either exceeds policymakers abilities to productively intervene or is so slow that policymakers lose interest.International collaboration is inevitabl
181、e(and desirable)when advancing complex,multifaceted research agendas.Government supportincluding direct financial support for public-private partnerships,indirect financial support,and funding basic science user facilitiesis essential.Equally essential is partnership with the private sector,whose Ce
182、nter for Security and Emerging Technology|28 support(in the form of large amounts of patient capital,technical know-how,and supplier-customer feedback loops)is necessary for commercializing an emerging technology.Collaborative development(and licensing)of IP can accelerate technology timelines,as ca
183、n competition among private-sector actors.The history of EUV suggests government intervention to promote emerging technologies is most valuable at early stages.This intervention can take several useful forms:retaining subject matter experts at national research facilities,endowing scientific user fa
184、cilities with the resources necessary to pursue speculative high-risk high-reward research agendas,ensuring these facilities are open to international collaboration(either through direct access or the licensing of IP),direct financial support,creating public-private partnerships,facilitating informa
185、tion sharing,and convening otherwise-disparate research communities around specific topics for conferences or road mapping exercises.With the benefit of hindsight,the single best policy the U.S.government pursued to promote EUV development was allowing national laboratory researchers engaged in EUV
186、research to work with EUV LLC under the auspices of the virtual national lab from 1997 to 2003.However,EUV LLC only came into existence because Intel could afford to foot the bill at the time.Sustained government investment in basic and applied science user facilities also promoted EUV research.Impo
187、rtantly,these facilities convene academia and industry,remain open to worldwide collaboration,and offer no-cost joint development projects to startups with strict IP protections and rights afforded to all participants.Finally,progress on large-scale scientific research agendas(such as those scoped b
188、y the National EUV Lithography Program,ASET,EUCLIDES,and EUV LLC)work best when given large amounts of patient capital:$200 million to$300 million per year for a five-to-ten-year period.117 Protecting Emerging Technology The primary mechanisms any government may use to protect a technology(research
189、security,investment screening,export controls,and supply-chain security)are challenged by the nature of emerging technologies.Though governments can limit a technologys spread through guardrails that inhibit international collaboration or access to IP,stipulate who can and cannot invest in emerging
190、technology firms,stop or interdict the international transfer of a technology,and assure strategically advantageous technology supply chains,emerging technologies are not so easily protected.Government technology protection regimes generally focus on ensuring economic or national security,and emergi
191、ng technologies rarely present clear implications for these in their initial stages.Center for Security and Emerging Technology|29 In some respects,the U.S.government made two key choices to“protect”EUV at a relatively early stage in its development via EUV LLC.First,the U.S.government effectively b
192、locked Japanese lithography firms from direct participation in EUV LLC.Second,it permitted ASMLs participation in EUV LLC,pursuant to provisions stipulating that ASML guarantee a certain amount of U.S.-based manufacturing and U.S.-origin content in its EUV tools.This latter requirement in particular
193、 guaranteed that the tools would contain enough U.S.-origin content to meet U.S.export-control thresholds.However,both of these decisions were not made by the U.S.government with explicit technology protection in mind but were rather a function of political pressure to ensure that taxpayer dollars w
194、ould not be used to develop a technology that would ultimately be commercialized overseas.The history of EUV shows that international collaboration and investment are essential and the ability to transfer technologies across borders is necessary for commercial sales as well as to source the highest
195、quality components.Government efforts to protect emerging technologies run the risk of slowing down research and limiting firm profitability(and thus companies ability to reinvest those profits in future R&D)at a time when a technology does not yet present clear economic or national security implica
196、tions.Because nearly every emerging technology today is“dual use”(that is,it has commercial and potential military applications),efforts to protect these technologies run counter to the desires of the companies actively developing them in response to a perceived commercial opportunity.This was parti
197、cularly true in the case of EUV.Policymaker efforts to protect U.S.-origin innovations around EUV would have hampered overall development and disadvantaged U.S.firms such as Intel who were depending on the innovation.In fact,the primary reason ASML ended up in a monopoly position with respect to EUV
198、 technology is because of Intel.Intel assessed that SVG Lithography could not be relied on to supply an EUV system and therefore lobbied for its acquisition by ASML.Intel also argued for ASMLs inclusion in EUV LLC.And Intel bet on ASML over its Japanese lithography rivals.U.S.efforts to protect EUV
199、as an emerging technology would have run counter to Intels stated goal:whoever could get EUV to market fastest was its preferred supplier,and only ASML fit the bill.Unfortunately,by the time EUV was ready for high-volume manufacturing,Intels fabrication stumbles in transitioning from 14nm to 10nm an
200、d then 7nm semiconductors meant that EUV adoption actually added to its challenges rather than solved them.Only in 2023,five years after EUVs introduction in high-volume semiconductor manufacturing,did Intel starting to make use of the technology it first bet on 30 years ago.118 Center for Security
201、and Emerging Technology|30 Conclusion Like many other emerging technologies,EUV development required persistent collaboration and innovation between academia,industry,and government.This process is inherently global,resulting in a constant tension between government efforts to cooperatively promote
202、and competitively protect a high-value technology.Promoting a technology requires early and sustained intervention and necessitates creative partnerships with industry.Protecting an emerging technology from specific competitor countries risks undermining innovation and frequently runs counter to the
203、 goals of the companies tasked with commercialization.As a technology matures,government and industry priorities inevitably diverge:industry-led technology commercialization results in global supply chains and customer relationships that prioritize profit and reliability.Government efforts to contro
204、l emergent technologies run risks ranging from ineffectual interventions to slowing innovation.The case of EUV highlights that there are no solutions,only trade-offs,for policymakers who want to identify,protect,and promote the next emerging technology.Center for Security and Emerging Technology|31
205、Author John VerWey is a nonresident fellow at CSET and an adviser at Pacific Northwest National Laboratory.Acknowledgments For helpful feedback and suggestions,the author would like to thank Igor Mikolic-Torreira,Melissa Flagg,Autumn Toney,Taylore Roth,Kevin Boyack,Richard Klavans,Caleb Smith,Chris
206、Miller,Chris Mack,James Dunham,and Rebecca Gelles.He would also like to thank Dale Brauner,Jahnavi Mukul,Shelton Fitch,Owen Daniels,and Liz Dana for editorial support.2024 by the Center for Security and Emerging Technology.This work is licensed under a Creative Commons Attribution-Non Commercial 4.0
207、 International License.To view a copy of this license,visit https:/creativecommons.org/licenses/by-nc/4.0/.Document Identifier:doi:10.51593/20240003 Center for Security and Emerging Technology|32 Appendix 1.Emerging Technology Attributes119 Characteristic Concept Example Radical novelty Innovation t
208、hat is clearly differentiated from past technologies Wireless communication technologies Fast growth An increase in the number of actors,funding,or outputs Quantum computing Coherence Convergence of previously separated research streams CRISPR-based gene editing Prominent impact Potential to create
209、an entirely new industry or fundamentally change an existing one Googles PageRank algorithm Uncertainty or ambiguity A variety of potential applications,but high-confidence future predictions are difficult Biofuels Center for Security and Emerging Technology|33 Appendix 2.Next-Generation Lithography
210、 and Light Sources Todays most advanced microelectronics have nearly atomic-level features.The ability to fabricate these microelectronics is a credit to EUV,and especially to the light source used in the system.The smaller the wavelength of light used to fabricate a chip,the smaller the features of
211、 that chip.As a result,the light source emerged as the single most challenging and consequential aspect of the entire NGL research agenda.This appendix summarizes the types of NGL technologies that were considered by industry from the nineties to the aughts,as well as the types of lithography light
212、sources used by industry from the 1970s to present.As discussed earlier in this paper,developing EUV required overcoming technical and economic obstacles.With respect to the light source used in EUV,this meant that not only did a new way of generating EUV light need to be invented,but the technology
213、 also needed to produce chips at volumes that made it profitable.This more or less doomed each of the other competing NGL technologies and light sources under consideration,as they were too expensive,too slow,or too complicated to operate reliably(Table 3).Center for Security and Emerging Technology
214、|34 Table 3.Next-Generation Lithography Approaches and Challenges120 NGL Challenge(s)Electron-beam lithography(a.k.a.e-beam direct-write lithography)Masks:Electrons absorbed in the mask deposit energy,causing it to heat up and distort,resulting in overlay errors.The scattering with angular limitatio
215、n projection electron-beam lithography program attempted to mitigate this,without success.Exposure tool:Throughput(wafers processed per hour)is limited due to beam current.Extreme ultraviolet lithography Optics:Figure and finish(shape and purity)requirements of mirrors are in the angstrom to sub-ang
216、strom range.121 Essentially,the mirrors need to be atomically precise.Mask:Extremely limited defect tolerance.Atomic-level precision(30 angstrom)for multilayer coatings used to make masks.Light source:EUV light is difficult to generate reliably and absorbed by nearly all standard materials and envir
217、onments(including glass and air).Ion projection lithography Light source:Reliable ion sources are large and expensive.Exposure Tool:Throughput(wafers processed per hour)is limited due to beam current.X-ray proximity lithography Optics:Lack of optics means changing image size to match previously prin
218、ted layers is challenging.Mask patterning:Mask features must be the same size as the image being printed on wafer.Exposure tool:Sub-100nm manufacturing results in diffraction effects.Mitigation requires extremely precise management of mask-to-wafer gap(the mask and wafer can only be a few microns ap
219、art)and pre-distortion of mask patterns.Source:Authors compilation.The semiconductor industry made continuous progress on the light sources used in lithography as ever-diminishing feature size necessitated light sources capable of generating shorter wavelengths(Figure 7).Center for Security and Emer
220、ging Technology|35 Figure 7.Visible Light Spectrum Source:ASML,“Light and Lasers,”accessed June 26,2024, 4 summarizes the commercial light sources and others that were considered for EUV lithography but ultimately abandoned in favor of the Cymer-developed carbon dioxide laser-produced plasma sources
221、.Table 4.Generations of Lithography Light Sources122 Light Source Light Wavelength Chip Feature Size Commercial Use Mercury arc lamps G-line or“blue light”(436nm)1 micron(1,000nm)I-line or invisible ultraviolet light,(365nm)1 micron220nm Excimer lasers Krypton-fluoride based(248nm 150nm)220nm80nm Ar
222、gon-fluoride based(193nm)80nm38nm Carbon-dioxide laser Tin-based plasma(13.5nm)38nm2nm(or lower)R&D Use Free electron laser 1mm.01nm N/A(not used in chip fabrication)Steady-state microbunching Unknown N/A(not used in chip fabrication)Compact synchrotron laser source Unknown N/A(not used in chip fabr
223、ication)Source:Authors compilation.Center for Security and Emerging Technology|36 Appendix 3.Comparing EUV Publication Intensity between SPIE and Scopus/Web of Science,19942017 050100150200250300350400450199419951996199719981999200020012002200320042005200620072008200920102011201220132014201520162017
224、EUV PublicationsSPIE EUV LithographyScopus/Web of ScienceCenter for Security and Emerging Technology|37 Endnotes 1 Clive Thompson,“Inside the Machine that Saved Moores Law,”MIT Technology Review,October 27,2021, Hofman,“Making EUV:From Lab to Fab,”ASML,March 30,2022, Tech Stock Pros,“The Holy Trinit
225、y of Semis:ASML Leading Market Recovery,”Seeking Alpha,December 14,2022,https:/ Frank Rohmund,“Light of the Future:How Does EUV Lithography Work?,”SMT Magazine,December 6,2022, First posited by Gordon Moore in 1965,Moores law correctly predicted that transistor densities would double every 18 to 24
226、months at stable to decreasing costs.Intel,“Moores Law,”news release,September 18,2023, John VerWey,“Through a Glass,Darkly:Mapping Emerging Technologies and Their Supply Chains,”(CSET,August 2023),https:/cset.georgetown.edu/publication/through-a-glass-darkly-mapping-emerging-technologies-and-their-
227、supply-chains/.6 This insight is derived from,and best articulated by,Chris Miller,“Chapter 39:EUV,”in Chip War:The Fight for the Worlds Most Critical Technology(New York:Scribner,2022),230.7 Intel,“Moores Law.”The description of lithography in this paragraph is derived from Saif M.Khan,“The Semicon
228、ductor Supply Chain”(CSET,January 2021),https:/cset.georgetown.edu/publication/the-semiconductor-supply-chain/.8 Miller,Chip War,2328.9 Miller,Chip War,183189.10 Miller,Chip War,26.11 Rohmund,“Light of the Future.”12 ASML,“A Backgrounder on Extreme Ultraviolet(EUV)Lithography,”Medium,January 18,2017
229、,https:/web.archive.org/web/20230323224024/https:/ Miller,Chip War,229.14 Andreas Thoss,“EUV Lithography Revisited,”Laser Focus World,August 29,2019, for Security and Emerging Technology|38 lithography-work;Conard Holton and Andreas Thoss,“Market Insights:TRUMPF Is Riding the Tiger,”Laser Focus Worl
230、d,September 13,2017, Fouquet,“EUV Products and Business Opportunity”(ASML,2018), Fouquet“EUV Products and Business Opportunity”(ASML,2021), Keyoung Yoon,“S&S Tech Develops EUV Pellicle with 90%Transmittance,”The Elec,October 6,2021, Tetra EUV Advanced Reticle Etch,”Applied Materials,accessed June 26
231、,2024, Lithography Optics from ZEISS,”accessed June 26,2024, Series,”accessed June 26,2024, Ion Beam Deposition System Chosen by Mask Blank Supplier for EUV Mask Blanks,”news release,February 10,2022, Research,“Lam Research,Entegris,Gelest Team Up to Advance EUV Dry Resist Technology Ecosystem,”news
232、 release,July 12,2022,https:/ ETG Builds Complex Frames for Zeiss,”news release,March 22,2019, Snaps Up Berliner Glas as EUV Orders Near 10BN,”Optics.org,July 15,2020,https:/optics.org/news/11/7/27;Marco Pieters,“EUV:Catching the Wave of the Next Decade of Moores Law”(ASML,January 2020),www.semi.org
233、/sites/semi.org/files/2020-01/Marco%20Pieters_ASML_PDF.pdf;Dylan Patel,“Lam Research,Tokyo Electron,JSR Battle It Out in The$5B+EUV Photoresist,Coater,and Developer Market-CAR vs MOR vs Dry Resist,”Semi Analysis,November 18,2021, Patel,“Austrias Silent Monopolies on Advanced Semiconductor Manufactur
234、ing EV Group and IMS Nanofabrication,”Semi Analysis,August 24,2022, Toby Sterling,“ASMLs Next Chip Challenge:Rollout of Its New$350 Mln High NA EUV Machine,”Reuters,February 9,2024, Toby Sterling and Philip Blenkinsop,“ASML,China Customers Haunted by Uncertainty on New Dutch Chip Export Rules,”Reute
235、rs,March 9,2023,https:/ Vivek Bakshi,ed.,EUV Lithography(Bellingham,WA:SPIE,2008),www.spiedigitallibrary.org/eBooks/PM/euv-lithography/eISBN-9780819480705/10.1117/3.769214.18 J.Henry,E.Spiller,and M.Weisskopf,“Imaging Performance of a Normal Incidence X-Ray Telescope Measured at 0.18 KeV,”Proc.SPIE
236、316,166168(1981)doi:10.1117/12.933150;I.Lovas,W.Stanty,E.Spiller,R.Tibbetts,and J.Wilczynski,“Design and Assembly of a High Resolution Schwarzschild Microscope for Soft X Rays,”SPIE Proceedings 316(1981):9097,doi:10.1117/12.933138;J.H.Center for Security and Emerging Technology|39 Underwood and T.W.
237、Barbee Jr.,“Soft X-Ray Imaging with a Normal Incidence Mirror,”Nature 294(1981):429431.19 Hiroo Kinoshita and Obert Wood,“EUV Lithography:An Historical Perspective,”in EUV Lithography,ed.Vivek Bakshi(Bellingham,WA;SPIE,2008)5,https:/www.spiedigitallibrary.org/ebooks/PM/EUV-Lithography/1/EUV-Lithogra
238、phy-An-Historical-Perspective/10.1117/3.769214.ch1.20 Kinoshita and Wood,“An Historical Perspective,”5.21 Kinoshita and Wood,“An Historical Perspective,”5.22 Kinoshita and Wood,“An Historical Perspective,”6.23 Note:Given that todays most advanced microelectronics have feature sizes below 0.01 micron
239、s,the semiconductor industry is fortunate these researchers were not dissuaded by the early negative feedback.Kinoshita and Wood,“An Historical Perspective,”7.24 A.M.Hawryluk and L.G.Seppala,“Soft X-Ray Projection Lithography Using an X-Ray Reduction Camera,”Journal of Vacuum Science and Technology
240、B 6(1988):21622166.25 Kinoshita and Wood,“An Historical Perspective,”8.26 H.Kinoshita et al.,“Soft-X-Ray Reduction Lithography Using Multilayer Mirrors,”Journal of Vacuum Science and Technology B 7(1989):16481651.27“Darpa Ends Litho Aid at Critical Juncture for Maskless,”EE Times,February 28,2005, G
241、.D.Kubiak et al.,“Diffraction-Limited Soft-X-Ray Projection Lithography with a Laser Plasma Source,”Journal of Vacuum Science and Technology B 9(1991):31843188;H.Kinoshita et al.,“Soft-X-Ray Reduction Lithography Using a Reflection Mask,”OSA Proceedings on Soft-X-Ray Projection Lithography 12(1991):
242、1115;Kinoshita and Wood,“An Historical Perspective,”28.29 Miller,Chip War,183.30 Kinoshita and Wood,“An Historical Perspective,”12.31 Kinoshita and Wood,“An Historical Perspective,”12.32 This observation is owed to one of the papers reviewers,Chris Mack.33 B.La Fontaine et al.,“Performance of a Two-
243、Mirror,Four-Reflection Ring-Field Optical System Operating at l13 nm,”OSA Trends in Optics and Photonics 4(1996):186191;D.A.Tichenor et al.,“Recent Results in the Development of an Integrated EUVL Laboratory Tool,”SPIE Proceedings 2437(1995):292307,doi:10.1117/12.209167.Center for Security and Emerg
244、ing Technology|40 34 Kinoshita and Wood,“An Historical Perspective,”1617.35 Kinoshita and Wood,“An Historical Perspective,”1920.36 Kinoshita and Wood,“An Historical Perspective,”20.37 Bakshi,EUV Lithography,229.38 Miller,Chip War,186.39 Chuck Gwyn and Stefan Wurm,“EUV LLC:An Historical Perspective,”
245、in EUV Lithography,ed.Vivek Bakshi(Bellingham,WA:SPIE,2008),60,www.spiedigitallibrary.org/ebooks/PM/EUV-Lithography/2/EUV-LLC-An-Historical-Perspective/10.1117/3.769214.ch2.40 Gwyn and Wurm,“EUV LLC,”60;Gordon Moore and Federico Pena,“Extreme Ultraviolet Lithography Conference Call,”Intel,September
246、11,1997, Gwyn and Wurm,“EUV LLC,”58.42 Gwyn and Wurm,“EUV LLC,”58.43 Kinoshita and Wood,“An Historical Perspective,”21.44 Shinji Okazaki,“EUV Lithography Research Program at ASET,”abstract,Astrophysics Data System,June 1999,https:/ui.adsabs.harvard.edu/abs/1999SPIE.3676.238O/abstract;Jos P.H.Benscho
247、p et al.,“EUCLIDES:European EUVL Program,”Journal of Vacuum Science and Technology B 17,no.6(November 1999),https:/pubs.aip.org/avs/jvb/article-split/17/6/2978/583550/EUCLIDES-European-EUVL-Program.45 Shinji Okazaki,“EUV Lithography Research Program at ASET,”SPIE Proceedings 3676(1999)www.spiedigita
248、llibrary.org/conference-proceedings-of-spie/3676/1/EUV-lithography-research-program-at-ASET/10.1117/12.351095.full?SSO=1.46 Noreen Harned et al.,“Progress Report:Engineers Take the EUV Lithography Challenge,”SPIE,February 1,2003,https:/spie.org/news/progress-report-engineers-take-the-euv-lithography
249、-challenge?SSO=1.47 Jack Robertson,“Japans X-Ray Lithography Project Will Be Shut Down,Says Official,”EE Times,December 7,2000, Harned et al.“Progress Report.”49 Paul Kallender,“EUV Deadlines Bring Rivals Closer,”EE Times,May 24,2001, for Security and Emerging Technology|41 50 Gwyn and Wurm,“EUV LLC
250、,”61.51 Miller,Chip War,183.52 Moore and Pena,“Extreme Ultraviolet Lithography Conference Call.”53 Moore and Pena,“Extreme Ultraviolet Lithography Conference Call.”54 Gwyn and Wurm,“EUV LLC,”61.55 Benschop et al.,“EUCLIDES.”56 Benschop et al.,“EUCLIDES.”57 Fraunhofer Institute for Material and Beam
251、Technology IWS,“EUV Lithography Opened the Door to Digital Age,”news release,November 24,2020,www.iws.fraunhofer.de/en/newsandmedia/news/2020-11-24_news_euv_zukunftspreis.html.58 Miller,Chip War,185.59 Hofman,“Making EUV.”60 Moore and Pena,“Extreme Ultraviolet Lithography Conference Call.”61 Gwyn an
252、d Wurm,“EUV LLC,”68.62 David Lammers,“U.S.Gives OK to ASML on EUV Effort,”EE Times,February 24,1999, Lammers,“U.S.Gives OK.”64 Lammers,“U.S.Gives OK”;George Leopold and David Lammers,“U.S.Official Raps German Role in Lithography Group,”EE Times,June 2,2000, Leopold and Lammers,“U.S.Official Raps Ger
253、man Role.”66 Karen H.Brown,“Next Generation Lithographythe Real Challenge,”AIP Conference Proceedings 449(November 1998),https:/pubs.aip.org/aip/acp/article-pdf/449/1/481/11535910/481_1_online.pdf;L.R.Harriott,“Next Generation Lithography,”Materials Today 2,no.2(1999), Gwyn and Wurm,“EUV LLC,”92.68
254、David Lammers,“EUV Gains as Venture Ends E-beam Litho Work,”EE Times,January 5,2001, for Security and Emerging Technology|42 69 Lammers,“EUV Gains.”70 Mark LaPedus,“Japans ASET Group Discloses EUV Tool for 35-nm Processes,”EE Times,March 6,2002, Yoshiko Hara,“Japan Plays Catch-Up on EUV Lithography,
255、”EE Times,September 2,2003, Hara,“Japan Plays Catch-Up.”73 Peter Clarke,“EUV Litho on Course but a Challenge,Confab Concludes,”EE Times,October 10,2003, Hara,“Japan Plays Catch-Up.”75 Stefan Wurm,Chan-Uk Jeon,and Michael Lercel,“Sematechs EUV Program:A Key Enabler for EUVL Introduction,”SPIE Proceed
256、ings 6517(2007),www.spiedigitallibrary.org/conference-proceedings-of-spie/6517/1/SEMATECHs-EUV-program-a-key-enabler-for-EUVL-introduction/10.1117/12.717756.full#_=_.76 Gwyn and Wurm,“EUV LLC,”84.77 Qianer Liu,“How Huawei Made a Cutting-Edge Chip in China and Surprised the US,”Ars Technica,November
257、30,2023,https:/ J.Robert Lineback,“Sematech No Longer Tries to Pick Single Winner in Post-Optical Lithography,”EE Times,December 15,1999, Clarke,“EUV Litho on Course.”80 Mark LaPedus,“EUV Litho Headed for Failure,Say SPIE Keynoters,”EE Times,March 1,2005, Ends Litho Aid.”82“ASML Gets U.S.Approval of
258、 SVG Purchase,Agrees to Put Tinsley Unit up for Sale,”EE Times,May 3,2001, Will Wade,“ASML Acquires SVG,Becomes Largest Litho Supplier,”EE Times,October 2,2000, for Security and Emerging Technology|43 84 Craig Addison,“Losing Lithography:How the US Invented,Then Lost,a Critical Chipmaking Process,”S
259、emiWiki,October 31,2021,https:/ Northrop Grumman,“Northrop Grumman Proposes to Acquire TRW,”news release,February 22,2002,https:/ Grumman,“Northrop Grumman Donates EUV Lithography Property to University of Central Floridas College of Optics,”news release,August 17,2004,https:/lpl.creol.ucf.edu/press
260、/2004%20-%20NGC%20Donation%20-%20IRConnect.pdf;Gwyn and Wurm,“EUV LLC,”87.86 Bolaji Ojo,“Semiconductor Sales Suffered Worst Decline Ever in 2001,”EE Times,February 4,2002, Schwartz,“Semiconductor Sales Point to Slow Recovery,”New York Times,August 3,2002, David Lammers,“Litho Shaky on Next Step,”EE
261、Times,February 2,2004, Lammers,“Litho Shaky on Next Step.”89 Mark LaPedus,“ASML,Nikon Tie in Litho Share Race,”EE Times,December 12,2005, Bloomberg News,“Technology Briefing|Hardware:ASML and Zeiss to Pay Nikon$145 Million to Settle Suit,”New York Times,September 30,2004, Linda Geppert,“Loser:A Prom
262、ising Lithography Gets Stuck,”IEEE Spectrum,January 1,2004,https:/spectrum.ieee.org/loser-a-promising-lithography-gets-stuck;Chris Mack,“Milestones in Optical Lithography Tool Suppliers,”LithoG,2005, Mark LaPedus,“Intel Pushes Out EUV Lithography,”EE Times,February 20,2006, Hofman,“Making EUV.”94 To
263、shiaki Masuhara,“Current Japanese Partnerships:Selete and ASET,”in Securing the Future:Regional and National Programs to Support the Semiconductor Industry(Washington,DC:National Academies Press,2003),https:/nap.nationalacademies.org/read/10677/chapter/7;Mark LaPedus,“Nikon Aims EUV Tools to Intel,S
264、elete,”EE Times,February 26,2007, Hofman,“Making EUV.”Center for Security and Emerging Technology|44 96 Mark LaPedus,“Canon Struggles in Litho as Tool Prices Soar,”EE Times,September 18,2009, Said to Be Delaying EUV Lithography Development,”EE Times,May 15,2009, Mark LaPedus,“EUV Tool Will Cost$15-t
265、o-$20 millionNot$40 million,Claim EUV Backers,”EE Times,April 12,2001, Hofman,“Making EUV.”100“Nikon IR Day 2022 Medium-Term Management Plan(FY2022-2025)”(Nikon,May 2022), ASML,“ASML Announces Customer Co-Investment Program Aimed at Accelerating Innovation,”news release,July 9,2012, Edwin Chan and S
266、ara Webb,“ASML Wins Funds for Chip Technology from Intel,”Reuters,July 10,2012, Says Samsung Invests in Its Latest Chip Technology,”Reuters,August 27,2012, Issues Shares to TSMC in Connection with Customer Co-Investment Program,”news release,October 31,2012, Roberta Cowan,“Chip Gear Maker ASML Buys
267、Cymer for$2.5 billion,”Reuters,October 17,2012,https:/ TSMC,“TSMCs N7+Technology Is First EUV Process Delivering Customer Products to Market in High Volume,”news release,October 7,2019,https:/ van Gerven,“First EUV-Chip Powered Smartphone on Pre-order Now,”Bits and Chips,August 8,2019,https:/bits-ch
268、ips.nl/artikel/first-euv-chip-powered-smartphone-on-pre-order-now/.105 Tech Stock Pros,“The Holy Trinity of Semis.”106 Kinoshita and Wood,“An Historical Perspective,”4446.107 Authors analysis derived from:Kinoshita and Wood,“An Historical Perspective,”4446.108 Authors analysis derived from:Kinoshita
269、 and Wood,“An Historical Perspective,”4446.109 Authors analysis drawn from the extracted citations in Bakshi,EUV Lithography.110 This number is a lower bound of the total EUV-affiliated publications from the 1980s to present.The 1,000-plus publications cited in EUV Lithography are the most high-impa
270、ct scientific papers on their Center for Security and Emerging Technology|45 respective topics.However,there were thousands of additional papers dealing with topics related to EUV lithography that did not make the cut due to their obscurity,low impact(lack of citations),or lack of relevance to the t
271、opics in the SPIE volume.111 The seeming drop in 2017 publications is likely due to data availability.SPIEs second edition of EUV Lithography was published in 2018.112 Hofman,“Making EUV.”113 VerWey,“Through a Glass,Darkly.”114 Daniele Rotolo,Diana Hicks,and Ben R.Martin,“What Is an Emerging Technol
272、ogy?,”arXiv preprint arXiv:1503.00673v4(2015),https:/arxiv.org/abs/1503.00673.115 Intels challenges in adopting EUV stem from much broader challenges the company has faced in its transition from 14nm manufacturing(introduced in 2014)to 10nm and 7nm manufacturing.Each of these node transitions took t
273、wo-plus years longer to reach market than the company had initially forecast,costing Intel billions from 2017 to 2023.At the same time,TSMC and Samsung successfully integrated EUV into their manufacturing processes,taking the lead in overall advanced fabrication worldwide.Intel has not publicly expl
274、ained its challenges in detail,though they are generally attributed to technical difficulties the company has faced in minimizing defects and maximizing yield in its most advanced manufacturing processes.Speculation has centered around Intels attempt to use cobalt interconnects for its leading-edge
275、node manufacturing,while TSMC and Samsung opted for the more traditional copper interconnects at the same nodes.Using pure cobalt as an interconnect was seen by Intel as necessary for transistor longevity(electromigration resistance)reasons,but it reduced performance(clockspeed)and introduced manufa
276、cturing challenges.In a nod to this speculation,Intel announced in 2022 a change from pure cobalt back to a copper/cobalt interconnect.Paul Alcorn,“Intels 10nm Is Broken,Delayed Until 2019,”Toms Hardware,April 27,2018, Alcorn,“Intels 7nm Is Broken,Company Announces Delay Until 2022,2023,”Toms Hardwa
277、re,December 31,2020, Alcorn,“Intel Says Process Tech to Lag Competitors Until Late 2021,Will Regain Lead with 5nm News,”Toms Hardware,March 4,2020, Gartenberg,“The Summer Intel Fell Behind,”The Verge,July 29,2021, Greenwald,“Intels Chip Stumble Is Letting Rivals Pull Ahead,”Wall Street Journal,June
278、24,2018, Smith,“Intel 4 Process Node in Detail:2x Density Scaling,20%Improved Performance,”Anand Tech,June 13,2022, Singer,“Intel 4 Process Drops Cobalt Interconnect,Goes with Tried and Tested Copper with Cobalt Liner/Cap,”Semiconductor Digest,October 1,2022,www.semiconductor- for Security and Emerg
279、ing Technology|46 116 Jack Corrigan,Melissa Flagg,and Dewey Murdick,“The Policy Playbook:Building a Systems-Oriented Approach to Technology and National Security Policy”(CSET,June 2023),https:/cset.georgetown.edu/publication/the-policy-playbook/.117“Consortia Analysis and Recommendations Trade Study
280、”(Potomac Institute for Policy Studies,December 2017),https:/usmicroelectronics.mit.edu/wp-content/uploads/2022/06/DARPA-Consortia-analysis-and-recommendations.pdf.118 Intel,“Intel Brings Intel 4 Technology,High-Volume EUV Production to Europe,”news release,September 29,2023, Rotolo,Hicks,and Martin
281、,“What Is an Emerging Technology?”120 Mark McCord and Michael Rooks,“Electron Beam Lithography,”in Handbook of Microlithography,Micromachining,and Microfabrication,vol.1,Microlithography,ed.P.Rai-Choudhury(Bellingham,WA:SPIE,1997),www.spiedigitallibrary.org/ebooks/PM/Handbook-of-Microlithography-Mic
282、romachining-and-Microfabrication-Volume-1-Microlithography/2/Electron-Beam-Lithography/10.1117/3.2265070.ch2;H.J.Levinson,“Extreme Ultraviolet(EUV)Lithography,”in Principles of Lithography,2nd ed.(Bellingham,WA:SPIE Press,2005),https:/spie.org/publications/pm146_122_euvl;H.J.Levinson,“Ion-Projection
283、 Lithography(IPL),”in Principles of Lithography,https:/spie.org/publications/pm146_125_ipl;H.J.Levinson,“Proximity X-Ray Lithography,”in Principles of Lithography,https:/spie.org/publications/pm146_121_proximity_xray_lith?SSO=1;“Exposure Technologies,”Portland State University,accessed June 26,2024,
284、https:/web.cecs.pdx.edu/jeske/litho/mainviewer.html;Mark Lapedus,“Lithography Options for Next-Gen Devices,”Semiconductor Engineering,April 18,2019,https:/ Generation Lithographythe Real Challenge”;Harriott,“Next Generation lithography.”121 An angstrom,equal to 10-10,is a unit of measurement normall
285、y used with reference to wavelengths of light.Most elements have atoms with radii of 1 to 2 angstrom.Encyclopaedia Britannica Online,s.v.“angstrom,”accessed June 26,2024, ASML,“Light and Lasers,”accessed June 26,2024, of EUV Radiation Using a CO2 High-Power Laser System and Tin,”accessed June 26,202
286、4, Patel,“The Gaps in the New China Lithography Restrictions ASML,SMEE,Nikon,Canon,EUV,DUV,ArFi,ArF Dry,KrF,and Photoresist,”Semi Analysis,January 29,2023, Lapedus,“Manufacturing Bits:July 11,”Semiconductor Engineering,July 11,2017,https:/ Pao,“China Sets Out to Develop EUV Lithographic Cannon,”Asia Times,September 19,2023,https:/ et al.,“Status of the Metrology Light Source,”AIP Conference Proceedings 1234(2010),https:/inis.iaea.org/Search/search.aspx?orig_q=RN:42003447;Science Direct,s.v.“Free Electron Lasers,”accessed June 26,2024,