《中微公司-公司研究報告:刻蝕持續高增薄膜開啟放量-241114(91頁).pdf》由會員分享,可在線閱讀,更多相關《中微公司-公司研究報告:刻蝕持續高增薄膜開啟放量-241114(91頁).pdf(91頁珍藏版)》請在三個皮匠報告上搜索。
1、1中郵證券2024年11月14日中微公司(688012):刻蝕持續高增,薄膜開啟放量證券研究報告中郵證券研究所 電子團隊股票投資評級:買入|維持吳文吉2中微公司 業務版圖請參閱附注免責聲明資料來源:公司2024年半年度業績說明會,中郵證券研究所集成電路設備設備泛半導體設備LED顯示LED照明ChipletMEMSFPD顯示屏RF器件功率器件太陽能電池DSC D-RIEDSC AD-RIEDSC SD-RIEBevel EtchNanova SENanova VENanova UENanova LUXSSC AD-RIESSC HD-RIESSC UD-RIETwin StarTSV 200ET
2、SV 300EP-Dicing刻蝕機薄膜機檢測機其他CCPICP雙反應臺反應器單反應臺反應器LPCVDALDPECVDPVDEPI薄膜光學檢測3產品:刻蝕+薄膜+量檢測,現覆蓋約33%集成電路設備二盈利預測四目錄一財務:刻蝕+MOCVD設備驅動營收年均增速35%市場:中國大陸未來四年每年300+億美元晶圓廠設備投資,國產化進程加速推進三4一財務:刻蝕+MOCVD設備驅動營收年均增速35%5投資要點請參閱附注免責聲明 刻蝕設備領軍企業,ICP開啟放量,邁向工藝全覆蓋。2020-2023年刻蝕設備分別實現營收12.9/20.0/31.5/47.0億元,營收年均增長大于50%,24H1刻蝕設備收入為
3、26.98億元,同比+56.68%,收入占比78.26%。公司的等離子體刻蝕設備已批量應用在國內外一線客戶從65納米到14納米、7納米和5納米及更先進的集成電路加工制造生產線及先進封裝生產線,針對先進邏輯和存儲器件制造中關鍵刻蝕工藝的高端產品新增付運量顯著提升,CCP和ICP刻蝕設備的銷售增長和在國內主要客戶芯片生產線上市占率均大幅提升。24H1刻蝕設備新增訂單39.4億元,同比+50.7%,其中ICP開啟放量。工藝覆蓋方面,超高深寬比掩膜、超高深寬比介質刻蝕、晶圓邊緣Bevel刻蝕等進展順利。MOCVD設備從藍綠光LED市場出發,拓展碳化硅和氮化鉀基功率器件市場。2020-2023年MOCV
4、D設備分別實現營收4.96/5.03/7.00/4.62億元,收入波動主要系終端市場波動影響。24H1 MOCVD設備實現收入1.52億元,同比-49.04%,主要因為公司在藍綠光LED生產線和Mini-LED產業化中保持絕對領先的地位,該終端市場近兩年處于下降趨勢。公司緊跟MOCVD市場發展機遇,積極布局用于碳化硅和氮化鉀基功率器件應用的市場,并在Micro-LED和其他顯示領域的專用MOCVD設備開發上取得良好進展,已付運和將付運幾種MOCVD新產品進入市場。薄膜設備啟動放量,刻蝕+薄膜+量檢測合計覆蓋約33%集成電路設備。24H1 LPCVD新增訂單1.68億元,新產品開始啟動放量。薄膜
5、沉積設備研發方面,公司目前已有多款新型設備產品進入市場,其中部分設備已獲得重復性訂單,其他多個關鍵薄膜沉積設備研發項目正在順利推進。公司鎢系列薄膜沉積產品可覆蓋存儲器件所有鎢應用,并已完成多家邏輯和存儲客戶對 CVD/HAR/ALD W 鎢設備的驗證,取得了客戶訂單。公司 EPI 設備已順利進入客戶驗證階段,以滿足客戶先進制程中鍺硅外延生長工藝的電性和可靠性需求。公司通過投資布局了光學檢測設備板塊,并計劃開發電子束檢測設備,將不斷擴大對多種檢測設備的覆蓋。資料來源:公司公告,公司2024年半年度業績說明會,中郵證券研究所6投資要點請參閱附注免責聲明 目前在研項目涵蓋六類設備,20多個新設備開發
6、,加碼研發助力三維發展。公司顯著加大研發力度,以盡快補短板,實現趕超。公司目前在研項目涵蓋六類設備,20多個新設備的開發,24H1公司研發投入9.70億元,較上年同期的4.60億元增加約5.10億元,同比大幅增長110.84%。公司繼續瞄準世界科技前沿,持續踐行三維發展戰略,聚焦集成電路關鍵設備領域,擴展在泛半導體關鍵設備領域應用并探索其他新興領域的機會,公司在刻蝕設備、薄膜沉積設備、MOCVD 設備等設備產品研發、市場布局、新業務投資拓展等諸多方面取得了較大的突破和進展,產品不斷獲得海內外客戶的認可,為公司持續 健康發展提供了有力支撐。中國大陸未來四年將保持每年300+億美元晶圓廠設備投資,
7、國產替代加速推進。從半導體設備細分產品的國產化率來看,國產化率最高的為去膠設備,已達90%以上;熱處理、刻蝕設備、清洗設備國產化率已達到20%左右;CMP、PVD設備國產化率已達到10%左右;量檢測設備、涂膠顯影設備正逐步實現從0到1的突破。隨著海外出口限制層層加碼,半導體設備國產化進程加速推進。根據SEMI,2023年全球集成電路前段設備市場約為950億美元,其中中國大陸成為全球最大的集成電路設備市場,占比達到35%,在政府激勵措施和芯片國產化政策的推動下,中國大陸未來四年將保持每年300億美元以上的投資規模,繼續引領全球晶圓廠設備支出。2022年刻蝕設備/薄膜設備/量檢測設備在晶圓制造環節
8、半導體設備投資占比分別約為23%/22%/13%,未來隨著先進工藝需求提升,這三類設備需求量及價值量將進一步攀升,目前公司覆蓋約33%集成電路設備,隨著研發項目的不斷推進,集成電路設備覆蓋度有望繼續提升。資料來源:Gartner,SEMI,公司公告,盛美上海增發公告,中郵證券研究所7投資要點請參閱附注免責聲明盈 利 預 測:我 們 預 計 公 司 2024-2026 年 營 業 收 85.18/121.69/161.82 億 元,歸 母 凈 利 潤15.12/26.77/37.26億元,對應2024/2025/2026年的PE分別為97/55/39倍。風險提示:下游客戶擴產不及預期的風險,員工
9、股權激勵帶來的公司治理風險,政府支持與稅收優惠政策變動的風險,供應鏈風險,行業政策變化風險,國際貿易摩擦加劇風險,知識產權風險,人才資源風險,投資風險,研發投入不足導致技術被趕超或替代的風險。資料來源:公司公告,中郵證券研究所盈利預測和財務指標項目年度2023A2024E2025E2026E營業收入(百萬元)6,264 8,518 12,169 16,182 增長率(%)32.15 35.99 42.87 32.97 EBITDA(百萬元)1,485 1,826 3,159 4,384 歸屬母公司凈利潤(百萬元)1,786 1,512 2,677 3,726 增長率(%)52.67-15.36
10、 77.09 39.20 EPS(元/股)2.87 2.43 4.30 5.99 市盈率(P/E)81.87 96.73 54.63 39.24 市凈率(P/B)8.20 7.43 6.53 5.59 EV/EBITDA59.65 75.99 43.85 31.29 8請參閱附注免責聲明股權結構資料來源:iFind,中郵證券研究所中微半導體設備(上海)股份有限公司上海創業投資有限公司巽鑫(上海)投資有限公司 15.05%香港中央結算有限公司招商銀行股份有限公司-華夏上證科創板50成份交易型開放式指數證券投資基金 國家集成電路產業投資基金二期股份有限公司 中國工商銀行股份有限公司-易方達上證科創
11、板50成份交易型開放式指數證券投資基金 中國建設銀行股份有限公司-華夏國證半導體芯片交易型開放式指數證券投資基金 中國工商銀行股份有限公司-諾安成長混合型證券投資基金 招商銀行股份有限公司-銀河創新成長混合型證券投資基金 中國工商銀行-上證50交易型開放式指數證券投資基金 13.04%5.30%4.76%3.93%2.59%1.56%1.27%1.13%1.12%圖表1:公司股權結構(公示日期:2024-08-23)9請參閱附注免責聲明對外投資資料來源:iFind,中郵證券研究所圖表2:公司對外投資序號被投資企業名稱被投資企業法人注冊資本出資比例(%)成立日期經營狀態1中微半導體設備(廣州)有
12、限公司尹志堯1000.0000萬人民幣100.002023-08-23在業2成都英杰晨暉科技有限公司周英懷16666.6660萬人民幣16.002023-04-25存續3蘇州索雷爾科技有限公司WILSON WEICHENG LIN179.3400萬人民幣5.332022-12-02存續4浙江麗水中欣晶圓半導體科技有限公司賀賢漢250000.0000萬人民幣4.002021-11-02存續5廣州華芯盛景創業投資中心(有限合伙)珠海華芯量子咨詢管理企業(有限合伙)213131.3131萬人民幣2.352021-10-28在業6芯匯康生命科學(上海)有限公司尹志堯1000.0000萬人民幣100.0
13、02021-09-18存續7無錫正海緣宇創業投資合伙企業(有限合伙)上海正海資產管理有限公司13000.0000萬人民幣98.462021-09-15存續8中微科技投資管理(上海)有限公司尹志堯30000.0000萬人民幣100.002021-01-12存續9深圳市芯視佳半導體科技有限公司曹緒文4940.1103萬人民幣3.012020-09-25存續10中微半導體(上海)有限公司尹志堯100000.0000萬人民幣100.002020-06-12存續11廣東省橫琴數字光芯半導體科技有限公司孫雷151.7370萬人民幣4.082019-06-10存續12中微匯鏈科技(上海)有限公司尹志堯100
14、0.0000萬人民幣80.002018-08-10存續13深圳市志橙半導體材料股份有限公司朱佰喜6000.0000萬人民幣4.812017-12-26存續14南昌中微半導體設備有限公司尹志堯2500.0000萬人民幣100.002017-12-15存續15杭州中欣晶圓半導體股份有限公司賀賢漢503225.6776萬人民幣2.562017-09-28存續16昂坤視覺(北京)科技有限公司馬鐵中1140.3157萬人民幣3.342017-02-09存續17上海洪樸信息科技有限公司許劍鋒1020.4082萬人民幣21.012016-08-29存續18中微半導體設備(廈門)有限公司尹志堯(GERALD
15、ZHEYAO YIN)2000.0000萬人民幣100.002015-12-11存續19中微惠創科技(上海)有限公司尹志堯1650.0000萬人民幣100.002014-12-27存續20上海芯元基半導體科技有限公司郝茂盛675.0528萬人民幣10.022014-10-24存續21理想萬里暉半導體設備(上海)股份有限公司孫曦東23512.8795萬人民幣4.202013-05-21存續22新美光(蘇州)半導體科技有限公司夏秋良1049.9858萬人民幣2.492013-01-22存續23拓荊科技股份有限公司劉靜18818.8255萬人民幣7.372010-04-28存續24江蘇先鋒精密科技股
16、份有限公司游利15178.4856萬人民幣1.932008-03-20存續25成都超純應用材料有限責任公司柴杰1451.2840萬人民幣4.342005-08-25存續26睿勵科學儀器(上海)有限公司FENG YANG(楊峰)63263.8570萬人民幣36.492005-06-27存續27杭州博日科技股份有限公司賀賢漢6331.7143萬人民幣2.582002-06-28存續10發展歷程資料來源:公司公告,公司招股說明書,公司官網,中郵證券研究所請參閱附注免責聲明圖表3:公司發展歷程MOCVD開始開發用于LED外延片加工中最關鍵的設備MOCVD 設備首臺MOCVD設備產品Prismo D-B
17、lue研制成功發布用于深紫外LED量產的MOCVD設備PrismoHiT3發布用于高性能Mini-LED量產的MOCVD設備PrismoUniMax啟動了應用于碳化硅功率器件外延生產設備的開發;針對 Micro-LED 應用的專用 MOCVD 設備正開發中;推出用于氮化鎵功率器件生產的 MOCVD 設備 PrismoPD5針對 Micro-LED 應用的專用 MOCVD 設備付運樣機至國內領先客戶開展生產驗證用于氮化鎵功率器件生產的 MOCVD 設備 PRISMO PD5已交付多家國內外領先客戶進行生產驗證,并取得重復訂單;用于碳化硅功率器件外延生產的設備正在開發中,已付運樣機至國內領先客戶開
18、展驗證測試環保設備首臺VOC設備產品研制成功20042005201020122014201520162018201920202021202220232024中微有限設立一期新廠房6,500平方米落成啟動二期新廠房22,000平方米落成啟動國家集成電路產業發展推進綱要發布后,中微成為第一家“大基金”投資的企業中微、國家集成電路產業投資基金和蘇州聚源東方完成對拓荊投資完成股份公司整體變更成為科創板首批上市公司之一中微半導體設備產業化項目簽約落戶上海自貿試驗區臨港新片區“東方芯港”集成電路綜合性產業基地中微完成再融資發行,共募資82億元在南昌的約14萬平方米的生產和研發基地已于2023年7月投入使用
19、在上海臨港的約18萬平方米的生產和研發基地主體建設已完成,并于2024年8月正式投入使用;上海臨港滴水湖畔約10萬平方米的總部大樓暨研發中心將于2025年投入使用11發展歷程資料來源:公司公告,公司招股說明書,公司官網,中郵證券研究所請參閱附注免責聲明ICP雙反應臺 TSV/MEMS首臺深硅刻蝕設備產品研制成功Twin-Star:雙反應臺;高輸出&低成本Twin-Star SE:晶圓邊緣保護功能和低頻偏壓系統Twin-Star 200:基于TSV反應臺改良;用于8寸高輸出&低成本首臺Primo-Twin Star 200交付到客戶端開展MetaLens的產線上認證單反應臺Nanova SE開始
20、開發 ICP 刻蝕設備首臺ICP Primo nanova研制成功Nanova VE主要應用于高深寬比結構刻蝕Nanova VE HP在DRAM制造中的的高深款比多晶硅掩膜應用上,投入大量產Nanova UE主要應用于超高均勻性刻蝕Nanova LUXVE+UE的特征,應用于高均勻性高深寬比刻蝕LUX已逐步在多個客戶的產線上實現小量產CCP雙反應臺DSC D-RIE開始開發CCP Primo D-RIEPrimo D-RIE研制成功DSC AD-RIEPrimo AD-RIE刻蝕設備研制成功主要應用于邏輯和存儲前端,后端互聯工藝AD-RIE-e:邏輯和存儲前端工藝,后端互聯工藝改進Primo
21、AD-RIE并進入5nm生產線DSC SD-RIE可調節電極間距,已進入國內領先的邏輯芯片制造客戶開展現場驗證首家先進邏輯客戶端針對金屬掩膜一體化大馬士革刻蝕的驗證進入良率測試,進入第二家客戶現場驗證Bevel Etch開發晶圓邊緣 Bevel 刻蝕設備晶圓邊緣 Bevel 刻蝕設備進入客戶驗證單反應臺SSC AD-RIEPrimo SSC AD-RIE研制成功SSC HD-RIEHD-RIE:DRAM 和3D NAND 前中端刻蝕HD-RIE+:高深寬比刻蝕(40:1)HD-RIEe:高均勻性高選擇比刻蝕(40-60:1)SSC UD-RIE已經在生產線驗證出具有刻蝕60:1深寬比結構的能力
22、已經在生產線驗證出具有刻蝕60:1深寬比結構的量產能力200420072010201120122013201520162018202020222023202412薄膜鎢系列開發LPCVD、EPI和ALD首臺 CVD 鎢設備付運到關鍵存儲客戶端驗證評估;新型號的 CVD 鎢和 ALD 鎢設備目前已開始實驗室測試同時和關鍵客戶開始對接驗證已有4款LPCVD-ALD導體薄膜沉積設備進入市場,首臺 CVD 鎢設備獲得關鍵存儲客戶重復量產訂單;新型號 HAR W 鎢設備及 ALD W 鎢設備通過存儲客戶現場驗證進一步開發的具備三維填充能力的 ALD 鎢設備獲得關鍵存儲客戶重復量產訂單;鎢系列薄膜沉積產品
23、可覆蓋存儲器件所有鎢應用,均已通過關鍵存儲客戶端現場驗證并收到重復量產訂單,并已經付運機臺到邏輯客戶進行驗證;HAR 鎢設備已通過關鍵存儲客戶端現場驗證,并獲得客戶重復量產訂單ALD應用于高端存儲和邏輯器件的 ALD 氮化鈦設備穩步推進,已經進入實驗室測試階段EPIEPI設備已進入樣機的設計,制造和調試階段,以滿足客戶先進制程中鍺硅外延生長工藝的電性和可靠性需求EPI 設備已進入工藝驗證和客戶驗證階段EPI設備已順利進入客戶驗證階段,以滿足客戶先進制程中鍺硅外延生長工藝的電性和可靠性需求2021202220232024發展歷程資料來源:公司公告,公司招股說明書,公司官網,中郵證券研究所請參閱附
24、注免責聲明134.70 2.89 5.66 8.13 12.89 20.04 31.47 47.03 0.16 5.30 8.32 7.57 4.96 5.03 7.00 4.62 6.10 9.72 16.39 19.47 22.73 31.08 47.40 62.64 01020304050607020162017201820192020202120222023刻蝕設備MOCVD設備其他設備銷售備品備件服務收入合計請參閱附注免責聲明營收年均增速35%營收:公司從2012年到2023年超過十年的平均年營業收入增長率超過35%,其中2020-2023年等離子體刻蝕設備營收年均增長大于50%,M
25、OCVD設備受終端市場波動影響,收入有所下降。2024年上半年度公司實現營收34.48億元,同比+36.46%,其中刻蝕設備/MOCVD設備分別實現營收26.98/1.52億元,同比+56.68%/-49.04%,新產品LPCVD設備實現首臺銷售,收入0.28億元。圖表4:2016-2023年公司各業務營收(億元)資料來源:iFind,公司公告,公司2024年半年度業績說明會,中郵證券研究所年均增長35%14(2.39)0.30 0.91 1.89 4.92 10.11 11.70 17.86(2.33)(0.69)1.04 1.48 0.23 3.24 9.19 11.91(5.0)0.05
26、.010.015.020.0歸母扣非歸母請參閱附注免責聲明盈利能力持續提升 利潤:公司2023年歸母凈利潤17.9億元(其中出售部分拓荊股權稅后凈收益4.06億元),較2022年同比+52.7%;2024年上半年歸母凈利潤5.2億元,同比-48.48%,扣非凈利潤4.83億元,同比只減少6.9%,主要由于公司顯著加大研發力度,以盡快補短板,實現趕超。圖表5:2016-2023年公司歸母/扣非后歸母凈利潤、政府補助(億元)資料來源:iFind,公司公告,公司2024年半年度業績說明會,中郵證券研究所1.16 1.17 1.01 0.27 2.53 3.48 1.83 0.94 0.0201620
27、17201820192020202120222023計入當期損益的政府補助,但部分特殊情況的政府補助除外1542.92%38.23%34.91%33.91%37.32%42.20%45.18%45.17%40.06%39.15%37.28%38.47%37.64%46.92%46.88%48.30%42.52%38.59%35.50%34.93%37.67%43.36%45.74%45.83%30%35%40%45%50%20162017201820192020202120222023專用設備備品備件綜合毛利率請參閱附注免責聲明綜合毛利率穩健提升 毛利率:公司2016-2019年綜合毛利率波動
28、主要系產品結構變化,2016-2018年公司MOCVD設備的毛利率分別為33.82%/38.13%/26.33%,刻蝕設備的毛利率分別為43.13%/38.37%/47.52%,MOCVD營收占比較高使得綜合毛利率較低,后續公司刻蝕設備占比顯著提升帶來綜合毛利率的顯著增長。2024H1公司的毛利率為41.32%,主要系公司根據會計準則2024年新規定將本期產生的預計產品質量保證損失 9,477.58萬元計入營業成本。圖表6:2016-2023公司主營業務毛利率資料來源:iFind,公司公告,中郵證券研究所16持續保持高研發 銷售費用:銷售費用變動主要系公司規模擴大,職工薪酬、股份支付等費用增加
29、。管理費用:管理費用變動主要系公司規模擴大,職工薪酬、股份支付等費用增加。研發費用:研發費用變動主要系耗用的原材料和低值易耗品、職工薪酬、股份支付費用等影響。財務費用:財務費用變動主要系當期利息收入影響。圖表7:2016-2023年公司相關費用率9.38%8.75%7.96%5.59%6.73%6.52%4.98%5.49%49.62%5.84%7.21%12.00%14.55%12.79%12.77%13.04%21.71%16.66%13.21%10.12%10.41%9.53%8.62%7.85%0.37%1.84%0.63%-0.06%-0.33%-2.28%-3.19%-1.39%-
30、5%0%5%10%15%20%25%30%35%40%45%50%20162017201820192020202120222023管理費用率研發費用率銷售費用率財務費用率請參閱附注免責聲明資料來源:iFind,公司公告,中郵證券研究所17研發投入資本化情況 2024H1,公司研究開發支出共計9.70億元,政府補助抵減研發費用1,841.38萬元,研究開發支出凈額為9.52億,其中計入研發費用5.68億元,開發支出資本化3.84億元,研發費用率為16.5%。2024H1研發投入資本化的比重較去年同期增加9.56個百分點,主要系隨著研發項目持續推進,本期在研項目達到資本化標準的金額增加。已資本化的
31、開發階段的支出在資產負債表上列示為開發支出,自該項目達到預定用途之日起轉為無形資產內部開發技術,內部開發技術按開發階段滿足資本化條件發生的實際成本入賬,并按預計使用年限7年平均攤銷。圖表8:2016-2023年公司研發投入明細、研發支出的歸集范圍及相關會計處理方法請參閱附注免責聲明資料來源:iFind,公司公告,中郵證券研究所201620172018201920202021202220232024研發投入總額(億元)3.023.304.044.256.407.289.2912.629.52其中:資本化研發投入(億元)-1.621.921.750.771.321.543.813.84資本化研發投
32、入占研發投入比例-48.90%47.64%41.30%12.07%18.20%16.60%30.18%40.37%研發投入總額占營業收入比例49.62%34.00%24.65%21.81%28.14%23.42%19.59%20.15%27.61%研發人員數量(人)-240276346415592788967研發人員數量占比-36.75%38.17%38.70%39.60%42.93%45.76%46.38%試制樣機初步完成研制之前,為研究生產工藝而進行的有計劃的調查、評價和選擇階段的支出為研究階段的支出,于發生時計入當期損益;試制樣機初步完成研制至大規模生產之前,針對生產工藝最終應用的相關設
33、計、測試階段的支出為開發階段的支出,同時滿足下列條件的,予以資本化:生產工藝的開發已經技術團隊進行充分論證;管理層已批準生產工藝開發的預算;前期市場調研的研究分析說明生產工藝所生產的產品具有市場推廣能力;有足夠的技術和資金支持,以進行生產工藝的開發活動及后續的大規模生產;以及生產工藝開發的支出能夠可靠地歸集。18人均年創收超過350萬元 公司人均年銷售持續增長,人均創收超過350萬元,達到世界一流水平。圖表9:2019-2023公司員工專業結構(單位:人)123 169 251 269 254 297 344 364(48)5 14 26 55 97 85 104-100-5005010015
34、020025030035040020162017201820192020202120222023人均創收(萬元)人均創利(萬元)圖表10:2016-2023公司人均創收、人均創利請參閱附注免責聲明資料來源:iFind,中郵證券研究所1261441591802215259677690723 894 1,048 1,379 1,722 02004006008001000120014001600180020192020202120222023行政人員銷售人員技術人員財務人員生產人員合計19請參閱附注免責聲明長效激勵構筑堅實人才基礎資料來源:iFind,公司公告,中郵證券研究所圖表11:公司歷次股權激
35、勵計劃2024年股權激勵2023年股權激勵2022年股權激勵2020年股權激勵授予價格總計不超過1080萬股限制性股票(第二類限制性股票);首次授予880萬股,預留200萬股;授予價格為76.10元/股??傆嫴怀^550萬股限制性股票(第二類限制性股票):本次授予為一次性授予,無預留權益。最初授予價格為50.00元/股,經調整后授予價格為49.80元/股??傆嫴怀^400萬股限制性股票(第二類限制性股票):本次授予為一次性授予,無預留權益。最初授予價格為50.00元/股,經調整后授予價格為49.80元/股。限制性股票激勵:總計800萬股限制性股票(第二類限制性股票);首次授予670萬股,預留1
36、30萬股股票增值權激勵:總計54.68萬份股票增值權授予對象首次授予激勵對象不超過1798人,占公司全部職工人數的99.72%;其中:董事、高級管理人員(6人),核心技術人員(5人),共計獲授69.17萬股;董事會認為需要激勵的其他人員(1787人),共計獲授810.83萬股;預留授予200萬股。首次授予激勵對象不超過1390人,占公司全部職工人數的99.43%;其中:董事、高級管理人員(8人),核心技術人員(3人),共計獲授54.979萬股;董事會認為需要激勵的其他人員(1379人),共計獲授495.021萬股。首次授予激勵對象不超過1104人,占公司全部職工人數的99.37%;其中:董事、
37、高級管理人員(8人),核心技術人員(3人),共計獲授39.892萬股;董事會認為需要激勵的其他人員(1095人),共計獲授360.108萬股。限制性股票激勵:首次授予的激勵對象總人數為700人,占公司全部職工人數的91.86%;其中,核心技術人員(3人),董事會認為需要激勵的其他人員(697人)。預留授予130萬股。股票增值權激勵:激勵對象范圍本計劃激勵對象為公司董事、高級管理人員,共計6人。行權條件第一個歸屬期滿足下列條件:以公司2023年營業收入為基數,2024年營業收入增長率不低于對標企業算術平均增長率*0.8;第二個歸屬期滿足下列條件:以公司2023年營業收入為基數,2024、2025
38、年度營業收入累計值定比基數的年度累計營業收入增長率不低于對標企業算術平均增長率*0.8;第三個歸屬期滿足下列條件:以公司2023年營業收入為基數,2024、2025、2026年度營業收入累計值定比基數的年度累計營業收入增長率不低于對標企業算術平均增長率*0.8;第四個歸屬期滿足下列條件:以公司2023年營業收入為基數,2024、2025、2026、2027年度營業收入累計值定比基數的年度累計營業收入增長率不低于對標企業算術平均增長率*0.8;第一個歸屬期滿足下列條件:以公司2022年營業收入為基數,2023年營業收入增長率不低于對標企業算術平均增長率*0.8;第二個歸屬期滿足下列條件:以公司2
39、022年營業收入為基數,2023、2024年度營業收入累計值定比基數的年度累計營業收入增長率不低于對標企業算術平均增長率*0.8;第三個歸屬期滿足下列條件:以公司2022年營業收入為基數,2023、2024、2025年度營業收入累計值定比基數的年度累計營業收入增長率不低于對標企業算術平均增長率*0.8;第四個歸屬期滿足下列條件:以公司2022年營業收入為基數,2023、2024、2025、2026年度營業收入累計值定比基數的年度累計營業收入增長率不低于對標企業算術平均增長率*0.8;第一個歸屬期滿足下列條件:以公司2021年營業收入為基數,2022年度的營業收入定比 2021年度營業收入的營業
40、收入增長率不低于15%;第二個歸屬期滿足下列條件:以公司2021年營業收入為基數,2023年度的營業收入定比 2021年度營業收入的營業收入增長率不低于35%;第三個歸屬期滿足下列條件:以公司2021年營業收入為基數,2024年度的營業收入定比 2021年度營業收入的營業收入增長率不低于50%;第四個歸屬期滿足下列條件:以公司2021年營業收入為基數,2025年度的營業收入定比 2021年度營業收入的營業收入增長率不低于75%;第一個歸屬期滿足下列條件:以公司2016-2018年度的營業收入均值為基礎,2019年、2020年兩年營業收入累計值定比2016-2018年度營業收入均值的年度累計營業
41、收入增長率不低于200%;第二個歸屬期滿足下列條件:以公司2016-2018年度的營業收入均值為基礎,2019年、2020年和2021年三年營業收入累計值定比2016-2018年度營業收入均值的年度累計營業收入增長率不低于370%;第三個歸屬期滿足下列條件:以公司2016-2018年度的營業收入均值為基礎,2019年、2020年、2021年和2022年四年營業收入累計值定比2016-2018年度營業收入均值的年度累計營業收入增長率不低于560%;第四個歸屬期滿足下列條件:以公司2016-2018年度的營業收入均值為基礎,2019年、2020年、2021年、2022年和2023年五年營業收入累計
42、值定比2016-2018年度營業收入均值的年度累計營業收入增長率不低于800%;首次授予預計攤銷2024-2028:2.41/2.50/1.37/0.68/0.16億元2023-2027:1.76/1.81/0.98/0.48/0.11億元2022-2026:1.26/1.09/0.59/0.28/0.05億元2020-2024:1.26/1.61/0.85/0.42/0.11億元注:1.對標企業指Gartner公布的相應年度全球半導體設備廠商銷售額排名前五位的公司(如果Gartner未公布或未及時公布,可采用其他權威機構數據);2.對標企業算數平均增長率=各對標企業各考核年度的營業收入累計值
43、定比2023年度(2024年股權激勵考核目標)/2022年度(2023年股權激勵考核目標)的累計營業收入增長率(同中微公司各考核年度累計營業收入增長率算法)之和除以五;3.如對標企業年度報告財務報表日在1-9月內或對標企業考核年度的年度報告在中微公司董事會審議歸屬條件是否成就議案的前一日尚未披露,則選取對標企業已披露的最近四個季度財務數據(含考核年度內各季度)之和作為考核年度數據用于比較。如對標企業年度報告財務報表日在10-12月且對標企業考核年度的年度報告在中微公司董事會審議歸屬條件是否成就議案的前一日已披露,則將對標企業考核年度的年度報告數據視為考核年度數據。20二產品:刻蝕+薄膜+量檢測
44、,現覆蓋約33%集成電路設備21薄膜設備PECVD介質ALD原子水平LPCVD導體EPI單晶PVD金屬MOCVD爐管大批量離子注入設備請參閱附注免責聲明產品覆蓋:三維發展;現覆蓋約33%集成電路設備資料來源:公司公告,公司2024年半年度業績說明會,中郵證券研究所 目前公司覆蓋約33%集成電路設備市場,包括等離子體刻蝕設備、薄膜設備以及檢測設備。同時公司繼續瞄準世界科技前沿,持續踐行三維發展戰略,聚焦集成電路關鍵設備領域,擴展在泛半導體關鍵設備領域應用并探索其他新興領域的機會,推進公司實現高速、穩定、健康、安全發展。公司堅持以市場和客戶需求為導向,積極應對復雜形勢,繼續加大研發投入和業務開拓力
45、度,推動以研發創新為驅動的高質量增長策略,抓住重點客戶擴產投資機會,推進訂制化、精細化生產模式,公司在刻蝕設備、薄膜沉積設備、MOCVD設備等設備產品研發、市場布局、新業務投資拓展等諸多方面取得了較大的突破和進展,產品不斷獲得海內外客戶的認可,為公司持續健康發展提供了有力支撐。圖表12:公司三維發展版圖以及集成電路設備覆蓋情況集成電路設備設備泛半導體設備刻蝕機薄膜機檢測機其他LED顯示LED照明ChipletMEMSFPD顯示屏RF器件功率器件太陽能電池光刻機DUVEUV等離子體刻蝕高能CCP刻蝕低能ICP刻蝕晶圓邊緣刻蝕除膠干法清洗檢測設備光學檢測電子束檢測濕法設備顯影機化機拋光電鍍機清洗機
46、中微22%中微5%睿勵6%現覆蓋約33%集成電路設備22請參閱附注免責聲明訂單:24H1新增訂單47億元,同比+40%資料來源:公司公告,公司2024年半年度業績說明會,中郵證券研究所 公司2023年新增訂單金額約83.6億元,同比增長約32.3%。其中刻蝕設備新增訂單約69.5億元,同比增長約60.1%;由于公司MOCVD設備已經在藍綠光LED生產線上占據絕對領先的市占率,受終端市場波動影響,2023年MOCVD設備訂單同比下降約72.2%。2024年上半年公司新增訂單47.0億元,同比增長約40.3%。其中刻蝕設備新增訂單39.4億元,同比增速約50.7%;LPCVD上半年新增訂單1.68
47、億元,新產品開始啟動放量。公司2024年上半年新增訂單中,來自存儲客戶的占比較高,先進制程(包括先進邏輯及存儲)占比超過70%。2024年前三季度公司新增訂單76.4億元,同比增長約52.0%。其中刻蝕設備新增訂單62.5億元,同比增長約54.7%;LPCVD新增訂單3.0億元,新產品開始啟動放量;預計2024年累計新增訂單將達到110-130億元。21.70 41.30 63.20 83.60 0204060801002020202120222023圖表13:2020-2023年公司新簽訂單(億元)232.80 16.80 34.80 45.30 0102030405020222023202
48、42025現有廠房面積增加南昌基地增加臨港基地增加臨港總部大樓請參閱附注免責聲明產值:24年前三季度產值94億元,同比+287%資料來源:公司公告,公司2024年半年度業績說明會,中郵證券研究所 根據客戶訂單需求,公司2024年前三季度(1-9月)共生產專用設備1,160腔,同比增長約310%,對應產值約94.19億元,同比增長約287%,為公司后續出貨及確認收入打下了較好的基礎。為擴充資產規模、增強公司實力以持續做大做強主業,公司產業化建設項目正在順利推進中。公司位于南昌的約14萬平方米的生產和研發基地已建成完工,并于2023年7月正式投入使用;公司在上海臨港的約18萬平方米的生產和研發基地
49、主體建設已基本完成,并于2024年8月正式投入使用;上海臨港滴水湖畔約10萬平方米的總部大樓暨研發中心也在順利建設,將于2025年投入使用。三年之內廠房面積將擴大15倍,為公司今后十幾年大發展奠定堅實的基礎。圖表14:公司廠房面積(萬平方米)24請參閱附注免責聲明CCP刻蝕設備:應用覆蓋度完善資料來源:公司公告,中郵證券研究所 公司已有的 CCP 刻蝕產品已經覆蓋28納米以上邏輯器件制造的絕大部分CCP刻蝕應用;在存儲器件制造工藝中,公司的成熟產品可以覆蓋存儲器件制造中的絕大部分應用。同時公司積極布局超低溫刻蝕技術,在超低溫靜電吸盤和新型刻蝕氣體研究上投入大量資源,積極儲備更高深寬比結構刻蝕的
50、前衛技術。Primo DRIE,Primo AD-RIE Primo DRIE,Primo AD-RIE 可以滿足絕大多數 28 納米以上 CCP 刻蝕制程的需求,2024 年上半年付運數量超過 2023 年全年付運。Primo AD-RIE-e 配備動態調溫靜電吸盤的雙反應臺 Primo AD-RIE-e 除了持續付運用于最先進的邏輯芯片生產線外,持續拓寬應用,取得先進存儲生產線的重復訂單,2024 年上半年付運量為 2023 年全年的 2 倍以上。Primo SD-RIE 可調節電極間距的雙反應臺 CCP 刻蝕機 Primo SDRIE 在首家先進邏輯客戶端針對金屬掩膜一體化大馬士革刻蝕工
51、藝的驗證進入良率測試階段,已經進入第二家客戶開展現場驗證,并與多家客戶達成評估意向,目前實驗室開發進展順利。Primo HD-RIEPrimo HD-RIEePrimo UD-RIE單反應臺 CCP 刻蝕設備 Primo HDRIE,Primo HD-RIEe 和 Primo UD-RIE 付運勢頭強勁,2024 年上半年付運量較 2023 年全年增加約 3 倍。Primo UD-RIE 公司針對超高深寬比刻蝕自主開發的具有大功率 400kHz 偏壓射頻的 Primo UD-RIE 已經在生產線 驗證出具有刻蝕60:1 深寬比結構的量產能力。該設備適用于 DRAM 和 3D NAND 器件制造
52、中最關鍵的高深寬比刻蝕工藝。圖表15:公司CCP刻蝕產品系列發展路線及進展25請參閱附注免責聲明CCP刻蝕設備:24H1交付超過700個反應臺資料來源:公司公告,中郵證券研究所 公司CCP刻蝕設備中雙反應臺中 Primo D-RIE、Primo AD-RIE、Primo AD-RIE-e 新增付運量保持高速增長,2024年上半年付運量超過2023年全年付運量。單反應臺CCP刻蝕設備 Primo HDRIE,Primo HD-RIEe 和 Primo UD-RIE 付運勢頭強勁,2024年上半年付運量較2023年全年增加約3倍。截至2024年6月,公司累計生產付運超過3600個CCP刻蝕反應臺,
53、2024 年上半年新增付運設備數量創歷史新高。圖表16:公司CCP刻蝕設備累計安裝機臺數量(反應臺)26請參閱附注免責聲明CCP刻蝕:金屬掩膜一體化大馬士革刻蝕工藝驗證順利資料來源:公司公告,中郵證券研究所 可調節電極間距的雙反應臺 CCP 刻蝕機 Primo SD-RIE 在首家先進邏輯客戶端針對金屬掩膜一體化大馬士革刻蝕工藝的驗證進入良率測試階段,已經進入第二家客戶開展現場驗證,并與多家客戶達成評估意向,目前實驗室開發進展順利。Primo SD-RIE 采用雙反應臺平臺設計,在滿足嚴苛工藝指標的同時可以有效的降低生產成本。PrimoSD-RIE 具有實時可調電極間距功能,可以在同一刻蝕工藝
54、的不同步驟使用不同的電極間距,能靈活調節等離子體濃度分布和活性自由基濃度分布。針對復雜膜層結構的刻蝕工藝,Primo SD-RIE 可以通過動態調節電極間距以及多區調溫靜電吸盤對的溫度來達到最優的刻蝕均勻性。圖表17:公司SD-RIE:實時可變電極間距擴大金屬掩膜大馬士革刻蝕工藝窗口27請參閱附注免責聲明CCP刻蝕設備:積極儲備深寬比結構刻蝕前衛技術資料來源:公司公告,公司2024年半年度業績說明會,中郵證券研究所圖表18:公司開發的三代CCP高能等離子體刻蝕機刻蝕極高深寬比細孔歷史中微首創2025年:90:1第五代:LL-RIE2021年:60:1第四代:UD-RIE2018年:40:1第三
55、代:HD-RIE2014年:20:1第二代:AD-RIE 在存儲器件制造工藝中,公司的成熟產品可以覆蓋存儲器件制造中的絕大部分應用。同時,公司針對超高深寬比刻蝕自主開發的具有大功率400kHz偏壓射頻的Primo UD-RIE已經在生產線驗證出具有刻蝕60:1深寬比結構的量產能力。該設備適用于DRAM和3D NAND器件制造中最關鍵的高深寬比刻蝕工藝。同時,公司積極布局超低溫刻蝕技術,在超低溫靜電吸盤和新型刻蝕氣體研究上投入大量資源,積極儲備更高深寬比結構刻蝕的前衛技術。同時公司新開發的晶圓邊緣 Bevel 刻蝕設備也計劃在2024年投入市場驗證。28請參閱附注免責聲明ICP刻蝕設備:應用覆蓋
56、完善資料來源:公司公告,中郵證券研究所 公司ICP刻蝕設備產品部門持續為推出下一代ICP刻蝕設備做技術儲備,以滿足新一代的邏輯、DRAM和3D NAND存儲等芯片制造對ICP刻蝕的需求。在追求更高刻蝕性能的同時,根據國內對成熟制程和新興特殊器件的工藝需求,公司開發了Primo Menova Al刻蝕設備,并和多個客戶開展鋁線刻蝕工藝的合作開發。圖表19:公司ICP等離子體刻蝕產品研發歷史和產品系列29圖表20:公司ICP單臺機Primo Nanova累計安裝機臺數量近三年復合增長率超過70%請參閱附注免責聲明ICP刻蝕設備:開啟快速放量資料來源:公司公告,中郵證券研究所 24H1報告期間內,公
57、司持續推進各種Nanova UE、LUX 和 VE HP在先進邏輯芯片、先進DRAM和3DNAND的ICP驗證刻蝕工藝的驗證。其中Nanova VE HP在DRAM制造中的的高深款比多晶硅掩膜應用上,投入大量產。LUX也已逐步在多個客戶的產線上實現小量產。Primo TwinStar則在海內外客戶的成熟邏輯芯片、功率器件、微型發光二極管Micro-LED、AR眼鏡用的超透鏡Meta Lens等特色器件的產線上實現量產,并取得重復訂單。首臺Primo-Twin Star200也付到客戶端開展Meta Lens的產線上認證。24H1報告期內,公司 ICP 技術設備類中的 8 英寸和 12 英寸深硅
58、刻蝕設備 Primo TSV 200E、PrimoTSV 300E在晶圓級先進封裝、2.5D 封裝和微機電系統芯片生產線等成熟市場繼續獲得重復訂單的同時,在 12 英寸的 3D 芯片的硅通孔刻蝕工藝上得到成功驗證,并在歐洲客戶新建的 世界第一條12 英寸微機電系統芯片產線上獲得認證的機會,這些新工藝的驗證為公司Primo TSV 300E刻蝕設備拓展了新的市場。24H1報告期內,公司的ICP刻蝕設備在涵蓋邏輯、DRAM、3D NAND、功率和電源管理、以及微電機系統等芯片和器件的 60 多條客戶的生產線上量產,并持續進行更多刻蝕應用 的 驗 證。ICP 單 機 臺 PrimoNanova在客戶
59、端安裝腔體數近三年實現70%的年均復合增長,截止24H1,超過700個Primo Nanova反應腔在邏輯、DRAM和3D NAND客戶產線上運行。30請參閱附注免責聲明MOCVD設備:多應用領域持續拓展資料來源:公司公告,中郵證券研究所 公司用于藍光照明的PRISMO A7、用于深紫外LED的 PRISMO HiT3、用于Mini-LED顯示的PRISMOUniMax等產品持續服務客戶。截止24H1,公司累計MOCVD產品出貨量超過 500 腔,持續保持國際氮化鎵基MOCVD設備市場領先地位。其中PRISMO UniMax產品,憑借其高產量、高波長均勻性、高良率等優點,受到下游客戶的廣泛認可
60、,已累計出貨近150腔,在Mini-LED顯示外延片生產設備領域處于國際領先地位。PRISMO UniMax設備拓展了公司的MOCVD設備產品線,為全球LED芯片制造商提供極具競爭力的Mini-LED量產解決方案,公司正與更多客戶合作進行設備評估,擴大市場推廣。同時,針對Micro-LED應用的專用MOCVD設備開發順利,實驗室初步結果實現了優良的波長均勻性能,已付運樣機至國內領先客戶開展生產驗證。公司還積極布局用于功率器件應用的第三代半導體設備市場。公司開發了用于氮化鎵功率器件生產的MOCVD設備PRISMO PD5,已交付多家國內外領先客戶進行生產驗證,并取得了重復訂單;正開發下一代用于氮
61、化鎵功率器件制造的新型MOCVD設備;同時也啟動了應用于碳化硅功率器件外延生產設備的開發,目前已取得較大的技術進展,實現了優良的工藝結果,已將樣機付運至客戶端開展生產驗證。圖表21:公司 PRISMO UniMax MOCVD 設備圖表22:公司PRISMO PD5 MOCVD 設備31 公司鎢系列薄膜沉積產品可覆蓋存儲器件所有鎢應用,均已通過關鍵存儲客戶端現場驗證并收到重復量產訂單。同時公司已完成多個邏輯和存儲客戶對CVD/HAR/ALD W鎢設備的樣品驗證,并已經付運機臺到邏輯客戶進行驗證。同期,公司開發的應用于高端存儲和邏輯器件的ALD氮化鈦設備也在穩步推進。公司的薄膜沉積設備采用獨特的
62、雙腔設計,每個腔體可獨立進行工藝調節,保證產品性能的同時大大提高了產能,降低了材料成本。此外,公司獨立自主的知識產權設計確保了更優化的產品性能,保障了產品未來的可持續發展。目前公司EPI設備已順利進入客戶驗證階段以滿足客戶先進制程中鍺硅外延生長工藝的電性和可靠性需求。薄膜設備:正在開發18種以上LPCVD及相關產品請參閱附注免責聲明資料來源:公司公告,公司2024年半年度業績說明會,中郵證券研究所圖表23:公司薄膜設備產品路線圖20232024202520262027W FamilyCVD WHAR WALD WWCNSel WCVD W G2ALD W G2ALDALD TiNALD TiA
63、lALD TaNALD MoALD MG G2ALD HfOxALD LaOxALD AlOxALD Mo G2PECVDPE TiPE CoMO TiNPE Ti G2PE Co G2PVDCuBS w/Sel DepPVD TaN/AlRF PVD FamilyImpulse PVDAlOx/AlNx/SiN公司開發的CVD鎢設備已通過關鍵存儲客戶端現場驗證,滿足金屬互聯鎢制程各項性能指標,并獲得客戶重復量產訂單。公司在CVD W基礎上開發的HAR(高深寬比)鎢設備采用創新的工藝解決方案,已通過關鍵存儲客戶端現場驗證,滿足存儲器件中的高深寬比金屬互聯應用中各項性能指標,并獲得客戶重復量產訂
64、單。公司進一步自主開發的具備三維填充能力的ALD(原子層沉積)鎢設備已通過關鍵存儲客戶端現場驗證,滿足三維存儲器件字線應用中各項性能需求,并獲得客戶重復量產訂單。32在研項目:涵蓋六類設備,20多個新設備的開發圖表24:公司在研項目情況(截止24H1報告期,單位:億元)序號項目名稱預計總投資規模 本期投入金額 累計投入金額進展或階段性成果 擬達到目標技術水平具體應用前景1用于存儲器刻蝕的CCP刻蝕設備(128P)5.761.694.87應用展開階段,Beta機客戶端已完成溝道刻蝕(深寬比 60:1)等4道工藝的驗證,已展開大規模量產。設計開發超低頻和超大功率的射頻等離子系統及對應的 靜電吸盤、
65、多區控溫性能的上電極、溫度可調節的邊緣 環系統等,滿足超高深寬比的刻蝕需求。同時將該特定 應用的刻蝕機,擴展為通用的高深寬比存儲器刻應用的 刻蝕機,并在多個存儲器晶圓生產線上進行工藝驗證。追趕國際先進水平3D NAND,128 層2先進邏輯電路的CCP刻蝕設備3.110.422.77工藝開發階段,多臺Beta機已交付客戶并通過了客戶驗證。實現等離子體密度分布的可調節,滿足均勻性、減少金 屬污染和顆粒物的要求國際先進水平 7 納米以下邏輯電路刻蝕3用于Micro-LED應用的新型MOCVD設備2.180.321.86開發階段,Alpha機開發中,客戶樣機驗證中。研發新型MOCVD設備,滿足Mic
66、ro LED生產對于外延 設備的要求產出外延片波長均勻性(STD)達到0.8nm以下 手表,AR/VR,電視等用顯示屏 4用于5-3納米邏輯芯片制造的ICP刻蝕機3.681.283.04Beta機臺繼續在客戶端開展更多制程的驗證。研制成功5納米的刻蝕設備并完成在先進邏輯芯片生產 廠家的評估,并實現銷售。完成3納米刻蝕機Alpha原型機的設計、制造、測試及初步的工藝開發和評估。中微新一代用于5-3納米邏輯芯片制造的ICP刻蝕設備研制項目的總體技術性能水平將達到世界先進水平,與國際主要競爭對手“并跑”。本項目開發的設備產品將首先被應用在國內正在大力發展的邏輯芯片制造廠家,主 要包括中芯國際、華力微
67、電子等重要客戶。將對高端設備國產化產生重大的效益 和影響。同時,也會盡可能地開發國際市場如臺積電等。請參閱附注免責聲明資料來源:公司公告,中郵證券研究所33在研項目:涵蓋六類設備,20多個新設備的開發圖表24:公司在研項目情況(截止24H1報告期,單位:億元)序號項目名稱預計總投資規模 本期投入金額 累計投入金額進展或階段性成果 擬達到目標技術水平具體應用前景5用于存儲器芯片制造的 ICP 刻蝕機2.210.291.83項目開發完成,Beta機在客戶端生產線的驗證通過一 部分刻蝕工藝,取得重復訂單并投入 量產。同時開展更多制程的驗證。面向300mm 3D NAND Flash工藝大生產線需求,
68、開發用于TSC-ET 關鍵工藝刻蝕設備。此設備不但要能夠充分滿足3D NAND的嚴格要求,同時還必須達到高產出,高uptime。項目研發產品將會在用戶的生產線上驗證,并取得核心自主知識產權,實現3臺以上銷售。本研究項目致力于存儲器芯片制造的ICP刻蝕機及部分關鍵配套工藝 的研究開發,項目的成功實施將為我國半導體產業緊跟國際技術發展 趨勢,實現技術跨越作出重要貢獻。本項目的實施完成 后,開發的存儲芯片用ICP刻蝕機擬達到國際先進水平,和SYM3搶奪國內和國際的存儲芯片市場份額。6鍺硅選擇性外延設備研發及產業化4.500.661.89研究階段,Alpha 機工藝驗證和客戶 驗證階段研發12“外延設
69、備,滿足邏輯,存儲集成電路關鍵工藝國際先進水平所有先進的邏輯,存儲,射頻,傳感器集成電路。覆蓋手機,電腦,汽車廣泛領域7接觸孔用WCVD設備的研發及產業化2.460.181.39開發階段,已完成 客戶多道工藝驗 證,各項指標均符 合預期繼續對接驗證更多的邏輯和存儲客戶,打開邏輯市場國際先進水平半導體大規模生產中邏輯器件鎢金屬接觸孔,存儲器的金屬接觸孔、溝槽,高深寬比接觸孔以及金屬柵 的鎢原子層填充,以 及其他器件鎢金屬互聯應用8原子層沉積氮化鈦設備的研發及產業化 1.380.311.30開發階段,已完成 邏輯工藝驗證,各 項指標均符合預期繼續對接驗證更多的邏輯和存儲客戶,打開存儲市場國際先進水
70、平半導體大規模生產中邏輯器件金屬柵應用,存儲器的阻擋層,電容極板應用,以及金屬硅化物應用。請參閱附注免責聲明資料來源:公司公告,中郵證券研究所34在研項目:涵蓋六類設備,20多個新設備的開發圖表24:公司在研項目情況(截止24H1報告期,單位:億元)序號項目名稱預計總投資規模 本期投入金額 累計投入金額進展或階段性成果 擬達到目標技術水平具體應用前景9平板顯示用PECVD設備的研發及產業化 3.780.250.34產品設計進行階段基于中微現有的技術儲備,開發用于平板顯示,面向G8.6+OLED生產需求的BP工藝段PECVD設備。國際領先水平電視、電腦顯示器、筆記本電腦和移動終端等平板顯示領域1
71、0SiC功率器件外延生長設備(臨港)1.260.200.36開發階段,Alpha 機開發中,客戶樣 機驗證中。研發碳化硅外延設備,滿足碳化硅功率器件外延生產要求產出外延片厚度均勻性(STD)達到2%以下電動汽車,高速鐵路,新能源電力基礎設施等11金屬硅化物應用中等離子體增強化學氣相沉積鈦薄膜設 備(PECVD Ti)的研發及產業化0.960.800.80開發階段,Alpha 機搭建完成,正進 行工藝開發 繼續進行工藝開發和產品持續改進,滿足工藝需求,完成客戶樣品驗證技術水平待驗證半導體大規模生產中邏輯器件和存儲器件中金屬硅化物應用,以及金屬鎢填充前黏附層應用12用于先進存儲芯片氮化鈦設備的研發
72、及產品化1.020.510.63開發階段,已達到 基本工藝需求,正 在進行客戶樣品驗 證完成客戶樣品驗證,繼續對接多個存儲客戶,打開存儲市場國際先進水平半導體大規模生產中 存儲器件的阻擋層,電容極板應用,以及金屬硅化物應用。13用于硅基GaN功率器件生產的MOCVD設備1.560.121.02開發完成,進入量產。研發用于 8”硅基氮化鎵功率器件大規模生產的MOCVD設備及外延生長工藝,提供較好的產量輸出和滿足硅基外延工藝的要求國際先進水平氮化鎵功率元件,電 力電子領域14新型8”硅基氮化鎵MOCVD設備0.630.10.1開發階段,Alpha機開發中研發新型 8”硅基氮化鎵MOCVD設備平臺;
73、滿足高性能硅基氮化鎵功率器件生產,產出外延片厚度均勻性(STD)達到 1.5%以下國際先進水平氮化鎵功率元件,電力電子領域請參閱附注免責聲明資料來源:公司公告,中郵證券研究所35在研項目:涵蓋六類設備,20多個新設備的開發圖表24:公司在研項目情況(截止24H1報告期,單位:億元)序號項目名稱預計總投資規模 本期投入金額 累計投入金額進展或階段性成果 擬達到目標技術水平具體應用前景15300mm 圓晶邊緣干法刻蝕(清除)設備研發0.390.070.3Alpha 階段,完成抗腐蝕設計升級,將產品力提升到工 藝全覆蓋開發全面覆蓋邏輯和存儲產線晶邊刻蝕需求的邊緣刻蝕機國際先進水平全面覆蓋邏輯和存儲產
74、線前中后段的晶邊刻蝕工藝16先進高深寬比孔干法刻蝕設備研發及產業化項目80.010.01設計開發階段開發用于存儲器生產的全新的超低溫、超低頻RF的90-100:1的極高深寬比刻蝕機國際先進水平存儲器生產的高深寬比刻蝕17先進封裝用PVD設備的研發及產業化0.240.020.02完成 3D design fix1.硅片內Cu沉積均勻性:3%2.Cu阻抗均勻性:5%3.5x50um硅通孔:實現Cu沉積物臺階膜厚比(step coverage)底部膜厚比BC10%;側壁膜厚比SC5%4.5x50um 硅通孔,無膜層垂掛(overhang)5.滿配系統產能:15片/小時(2個Degas腔、2個Prec
75、lean腔、4個 PM)6.顆粒物(45nm):50%國際先進水平TSV是用于人工智能汽車、無人駕駛機器人等未來產業的先進封裝技術的關鍵工藝 之一。成功開發出高 性能、低成本的PVD設備對于加速先進封裝技術的發展起著良好的推動作用。同時也會將我國高端半導 體制造設備推到一個新高度。合計/43.127.2322.53/請參閱附注免責聲明資料來源:公司公告,中郵證券研究所36三市場:中國大陸未來四年每年300+億美元晶圓廠設備投資,國產化進程加速推進37海外出口限制層層加碼,推進半導體產業鏈國產化進程圖表25:近期全球主要國家半導體政策梳理國家時間事件具體條例美國2022/10/7美國BIS對其出
76、口管制政策進行一系列有針對性的更新,這些更新將限制中國獲得先進計算芯片、開發和維護超級計算機以及制造先進半導體的能力。對目的地為中國的半導體制造“設施”(semiconductor fabrication“facility”)且能制造符合特定標準的集成電路之物項,增加新的許可證要求。由中國實體所有的設施將面臨“推定拒絕”政策,而跨國公司所有的設施將基于逐案審查政策決定。相關閾值如下:非平面晶體管結構16nm或14nm或以下(即FinFET或GAAFET)的邏輯芯片;半間距18nm或以下的DRAM存儲芯片;128層或已上的NAND閃存芯片。限制美國人員在沒有許可證的情況下支持位于中國的某些半導體
77、制造“設施”(semiconductor fabrication“facilities”)集成電路開發或生產的能力。2022/12/15當地時間12月15日,美國BIS發布公告,將36家中國科技公司列入“實體清單”,包括先進存儲芯片公司長江存儲及其日本子公司、上海微電子、寒武紀等。2023/10/17美國BIS將13家中國企業列為實體清單的同時,發布了先進計算芯片更新規則及 半導體制造物項更新規則。這兩份規則是BIS針對其于2022年10月7日發布的出口管制規則(以下簡稱“1007規則”)的修訂,在1007規則的基礎上全面升級了對華半導體行業的出口管制規則。物項層面 調整并新增受管控半導體制造
78、設備。新增3B001.a.4:被設計用于硅、碳摻雜硅、硅鍺或碳摻雜硅鍺外延生長的符合特定條件的設備。新增3B001.f.1.b.2:新增物項與荷蘭、日本規則部分一致,需注意f.1.b.2.b的參數與荷蘭、日本規則均不同。(荷蘭于2023.9.1生效的半導體出口管制規則包含了本次新規中f.1.b.2.a的參數(DCO(最大專用卡盤覆蓋)值小于或等于1.50nm),但未包含f.1.b.2.b的參數(DCO(最大專用卡盤覆蓋)值大于1.50nm但小于或等于2.4nm)。本次新規新增的f.1.b.2.b中的參數剛好能夠覆蓋了此前未受到荷蘭出口管制管控的NXT1980Di光刻機。日本2023/3/31日
79、本政府宣布修改外匯及對外貿易法,計劃擴大半導體制造設備出口管制范圍,涉及6大類23種設備。2023/5/23日本政府出臺半導體制造設備出口管制措施,包括光刻、刻蝕、熱處理、清洗、檢測等6大類23種半導體制造設備(或物項),主要針對高端半導體制造設備。此次生效的日本半導體制造設備出口管制措施涉及23個品類產品,其中包括極紫外線(EUV)相關產品的制造設備和使存儲元件立體堆疊的蝕刻設備等,在14納米及以下制程的高端先進制程工藝的上游領域的進口受到一定限制。2023/7/237月23日,日本政府出臺的半導體制造設備出口管制措施正式生效。請參閱附注免責聲明資料來源:美國駐華大使館和領事館官網,環球網,
80、芯師爺,證券日報網,財聯社,澎湃網,中郵證券研究所38海外出口限制層層加碼,推進半導體產業鏈國產化進程圖表25:近期全球主要國家半導體政策梳理(接上表)國家時間事件具體條例荷蘭2023/3/8荷蘭政府以“國家安全”為由,宣布將對包括“最先進的”深紫外光刻機(DUV)在內的特定半導體制造設備實施新的出口管制。意味著荷蘭方面已將光刻機出口管制的范圍,由極紫外光刻機(EUV)擴大到了DUV。2023/6/30當地時間6月30日,荷蘭政府正式頒布了有關先進半導體設備的額外出口管制的新條例,主要針對的對象為先進的芯片制造技術,包括先進的沉積設備和浸潤式光刻系統。該措施將于2023年9月1日正式生效。從限
81、制政策來看,主要受影響的荷蘭企業為ASML和ASMInternational。根據荷蘭公布的出口管制的新規來看,此次限制材料、設備及技術具體如下:1、3B001.l:EUV pellicle,即EUV光罩保護膜;2、3B001.m:EUV pellicle生產設備;3、3B001.f.4:光刻設備,如下所示:使用光電或X射線方法對準和曝光芯片的直接步進式芯片或掃描儀設備,具有以下任一項或兩項:.光源的波長短于193nm(這里指EUV光刻機);.光源的波長等于或大于193nm:a.能夠產生具有45nm或更小的最小可分辨特征尺寸(MRF)的圖案;和b.小于或等于1.50nm的最大專用卡盤覆蓋(DC
82、O,是通過相同的光刻系統在芯片上曝光的現有圖案上對準新圖案的準確度)值。根據ASML公布的數據顯示,ASML的NXT1980系列依然可以不受出口限制影響。4、3B001.d.12:用于金屬剝離的原子層沉積(ALD)設備.具有以下所有特征:a.一種以上的金屬源,其中一種已被開發用于鋁(AI)前體;和b.原材料容器設計用于45C以上的溫度;.設計用于沉積具有以下所有特征的“臺階式”金屬:a.沉積碳化鈦鋁(TiAlC);和b.高于4.0eV的“特定功函數的金屬”的可能性。5、3B001.a.4:設計用于硅(Si)、碳摻雜硅、硅鍺(SiGe)或碳摻雜SiGe外延生長的設備。具有以下所有特征:a.在工藝
83、步驟之間維持用于高真空(小于或等于0.01Pa)或惰性氣體(水和氧分壓小于0.01Pa)的多個腔室和裝置;b.至少一個預處理室,所述預處理室設計用于表面制備以清潔晶片的表面;和c.外延沉積工作溫度685C或以下。6、3B0001.d.19:設計用于在介電常數低于3.3的金屬線之間的深度與高度之比(AR)等于或大于1:1的小于25nm寬的空間中沉積由無空穴等離子體增強的Low K電介質的設備。7、3D007:專為開發、生產或使用本法規3B01.l.、3B01.m.、3B001.f.4、3B001.d.12、3B00.a.4或3B001.d.19中規定的設備而設計的軟件。8、3E005:開發、生產
84、或使用本法規3B01.l.、3B01.m.、3B001.f.4、3B001.d.12、3B00.a.4或3B001.d.19中規定的設備所需的技術。2023/9/12023年9月1日,荷蘭政府此前于6月底頒布的有關先進半導體設備的額外出口管制的新條例正式生效。據彭博社報道,ASML發言人于當地時間周四表示,盡管出口限制從9月開始生效,但該公司現有的許可證仍能夠允許其在2023年底前繼續將NXT:2000i和更先進的DUV光刻機運送到中國。自 2024 年1月1日起,ASML不太可能獲得向中國國內客戶運送這些系統的出口許可證。2024/1/2ASML在官網發布聲明稱,其NXT:2050i及NXT
85、:2100i光刻系統的出口許可證已被荷蘭政府部分撤銷,影響了中國大陸的一小部分客戶。ASML還稱,公司在最近與美國政府的討論中,獲得了美國出口管制規定范圍和影響的進一步厘清。請參閱附注免責聲明資料來源:芯智訊,觀察者網,財聯社,中郵證券研究所39346 422 476 422 471 598 600 533 630 718 768 765 3.3%21.9%12.9%-11.3%11.5%27.1%0.2%-11.1%18.2%14.0%6.9%-0.4%-15%-10%-5%0%5%10%15%20%25%30%0100200300400500600700800201620172018201
86、920202021202220232024202520262027全球半導體集成電路銷售額(十億美元)YoY請參閱附注免責聲明全球半導體集成電路銷售:24年開啟上行周期 近年來數碼產業蓬勃發展,已成為國民經濟發展的重要引擎。隨著數碼產業的發展,全球半導體芯片和晶圓制造領域的持續投資,促進了半導體設備行業的快速發展。數碼產業占全球企業總產值40%以上,而且在不斷增長,和傳統工業已經成為國民經濟的兩大支柱,數碼產業的發展正在徹底改變人類的生產方式和生活方式。半導體微觀加工設備是發展集成電路和數碼產業的關鍵,已成為人們最關注的硬科技產業之一。資料來源:公司公告,公司2024年半年度業績說明會,中郵證
87、券研究所圖表26:2016-2027全球半導體集成電路銷售增長趨勢4070.4 93.3 103.1 99.4 110.2 152.4 182.2 163.5 163.9 174.6 185.2 191.1 9.3%32.5%10.5%-3.6%10.9%38.2%19.5%-10.2%0.2%6.6%6.0%3.2%-20%-10%0%10%20%30%40%50%020406080100120140160180200201620172018201920202021202220232024202520262027全球半導體集成電路生產線資本投資Capex(十億美元)YoY請參閱附注免責聲明全
88、球半導體集成電路生產線設備Capex:預計持續增長 半導體設備是集成電路和泛半導體微觀器件產業的基石,而集成電路和泛半導體微觀器件,又是數碼時代的基礎。半導體設備微觀加工的能力是數碼產業發展的關鍵。沒有能加工微米和納米尺度的光刻機,等離子體刻蝕機和薄膜沉積等設備,就不可能制造出集成電路和微觀器件。隨著微觀器件越做越小,半導體設備的極端重要性更加凸顯出來。資料來源:公司公告,公司2024年半年度業績說明會,中郵證券研究所圖表27:2016-2027全球半導體集成電路生產線設備資本投資Capex增長趨勢4194.10 95.61 98.31 112.78 7.52 6.72 6.73 8.77 5
89、.78 4.03 4.43 5.98-104090140202220232024F2025FAssembly&PackagingEquipmentTest EquipmentWafer Fab Equipment請參閱附注免責聲明SEMI上調24年全球晶圓廠設備銷售額至980億美元資料來源:SEMl Equipment Market Data Subscription(EMDS),July 2024,中郵證券研究所 中國持續強勁的設備支出及對DRAM和HBM的大量投資推動SEMI上調24年全球晶圓廠設備銷售額。美國加州時間2024年7月9日,SEMI在2024年中總半導體設備預測報告提出包括晶
90、圓加工、晶圓廠設施和掩模/掩模版設備在內的晶圓廠設備領域預計將在24年增長2.8%,達到980億美元,與SEMI在其先前2023年終設備預測報告中預測的930億美元相比有了顯著增長。在人工智能計算的推動下,中國持續強勁的設備支出以及對DRAM和HBM的大量投資推動了預測上調。展望25年,由于對先進邏輯和存儲應用的需求增加,晶圓廠設備領域的銷售額預計將增長14.7%,達到1130億美元。Foundry/logic:根據SEMI,由于對成熟節點的需求疲軟,以及上一年先進節點的銷售額高于預期,24年用于Foundry和Logic應用的晶圓廠設備銷售額預計將同比收縮2.9%至572億美元。由于對前沿技
91、術的需求增加、產能擴張采購和新設備架構的引入,foundry/logic設備投資預計在25年將增長10.3%至630億美元。memory:與memory相關的資本支出預計將在24年出現最顯著的增長,并在25年繼續增長。隨著供需正?;?,NAND設備銷售額預計在24年將保持相對穩定,略增長1.5%至93.5億美元,為25年增長55.5%至146億美元奠定了基礎。得益于用于人工智能部署和持續技術遷移的HBM需求的激增,24年和25年,DRAM設備銷售額預計將分別以24.1%和12.3%的速度強勁增長。圖表28:按設備類型分類半導體設備銷售額(十億美元)58.91 57.20 63.00 9.21 9
92、.35 14.60 94.10 95.61 98.31 112.78 02040608010012020222023F2024F2025FOtherDRAMNANDFoundry/LogicWafer FabEquipment圖表29:按應用分類半導體設備銷售額(十億美元)42請參閱附注免責聲明中國大陸未來四年預計每年300+億美元晶圓廠設備投資 中國的集成電路和泛半導體產業近年來持續興旺。在政府的大力推動和業界的努力下,雖然在半導體設備的門類、性能和大規模量產能力等方面,國產設備和國外設備相比還有一定的差距,但發展迅速并已初具規模,中國大陸半導體設備市場規模在全球的占比逐年提升。根據SEMI
93、,2023年全球集成電路前段設備市場約為950億美元,中國大陸、臺灣地區和韓國是最大的區域市場,其中中國大陸成為全球最大的集成電路設備市場,占比達到35%。美國加州時間2024年7月9日,SEMI300mm晶圓廠2027年展望報告顯示,在政府激勵措施和芯片國產化政策的推動下,中國大陸未來四年將保持每年300億美元以上的投資規模,繼續引領全球晶圓廠設備支出。另外,根據Gartner,2018年到2025年全球芯片生產線建設項目共計171座新產線,其中74座位于中國大陸,區域占比最高達43%。資料來源:SEMI,公司公告,中郵證券研究所圖表30:2023年集成電路前段設備全球市場分布中國大陸330
94、億美元35%中國臺灣179億美元19%韓國183億美元19%北美洲111億美元12%日本69億美元7%歐洲57億美元6%其他20億美元2%合計:950億美元(+0.9%)43請參閱附注免責聲明刻蝕和薄膜設備年均增速高于其他種類設備 微觀器件的不斷縮小推動了器件結構和加工工藝的變化。光刻機由于波長的限制,14納米及以下的微觀結構要靠等離子體刻蝕和薄膜的組合“二重模板”和“四重模板”工藝技術來加工;存儲器件從2D到3D的轉換,需要多層CVD結構和極高深寬比的深層結構刻蝕,使等離子體刻蝕和薄膜制程成為最關鍵的步驟,刻蝕機和薄膜設備的需求量不斷提高,2013-2023年相關設備市場的年平均增長速度遠高
95、于其他種類的設備。資料來源:公司公告,中郵證券研究所15.34%14.47%14.18%13.68%12.32%11.39%11.10%10.26%9.70%9.17%0%2%4%6%8%10%12%14%16%干法刻蝕化學薄膜光刻機化機拋光熱處理工藝控制離子注入物理薄膜顯影洗像成批清洗圖表31:2013-2023年半導體芯片前道設備年均增速4447%27%17%9%LamTELAMAT其他請參閱附注免責聲明刻蝕:22年全球晶圓廠設備占比23%資料來源:Gartner,公司公告,中商產業研究院,華經產業研究院,中郵證券研究所23%22%3%5%4%13%3%17%4%3%3%薄膜刻蝕拋光清洗生
96、產線自動檢測其他光刻去膠離子注入熱處理/爐管圖表32:2022年全球晶圓廠設備分類市場占比總市場規模:100,674百萬美元圖表33:2022年全球刻蝕設備分類市場規模(百萬美元)及占比(%)53%4%1%42%ICP 低能等離子體刻蝕 11,589.4涂膠機 803.7CCP 高能等離子體刻蝕 9,354.8晶邊刻蝕 220總刻蝕設備市場規模:22,013百萬美元類型設計應用刻蝕材料發展趨勢CCP將射頻電源接在反應腔上、下電極中的一個或兩個上,等離子密度及離子能量可以實現分別控制以高能離子在較硬的介質材料上,刻蝕高深寬比的深孔、深溝等微觀結構;以較高密度的等離子體來刻蝕有機掩膜層氧化物、氮化
97、物等硬度高、需要高能量離子反應刻蝕的介質材料;有機掩膜材料存儲器高深寬比刻蝕;邏輯電路的金屬掩膜大馬士革結構一體化刻蝕ICP一組或多組連接射頻電源的線圈置于反應腔上部或者周圍,以實現等離子濃度及其能量可以分別控制;動態、分區域的反應氣體注入系統以較低的離子能量和極均勻的離子濃度刻蝕較軟的和較薄的材料單晶硅、多晶硅等材料高深寬比刻蝕;原子層刻蝕圖表34:2022年全球刻蝕設備市場份額45刻蝕方向使用的材料反應方式速度請參閱附注免責聲明刻蝕:技術分類資料來源:寬禁帶半導體技術創新聯盟微信號,SJT錦雅電子微信號,IMECAS硅光子微信號,馭勢資本,知乎,芯ONE微信號,中郵證券研究所刻蝕技術干法刻
98、蝕90%濕法刻蝕10%介質刻蝕49%硅刻蝕48%金屬刻蝕3%氧化硅、氮化硅、二氧化鉿、光刻膠單晶硅、多晶硅、硅化物鋁、鎢離子束刻蝕(Ion Beam Etching,IBE)等離子刻蝕(Plasma etch)反應離子蝕刻(Reactive ion etching,RIE)化學刻蝕電解刻蝕按照刻蝕材料分類按照刻蝕工藝分類一種物理干法加工工藝,利用高能氬離子束以大約1至3keV的能量照射在材料表面上優:由于是垂直輻射,因此在垂直墻壁上的磨損非常?。ǜ吒飨虍愋裕┝樱河捎谄溥x擇性較低且蝕刻速率較慢,這種工藝在當前的半導體制造中很少使用一種絕對化學刻蝕工藝,也稱為化學干法刻蝕(Chemical dry
99、 etch)優:不會導致晶圓表面的離子損傷局限:由于蝕刻氣體中的活性粒子可自由移動,蝕刻過程是各向同性的,因此該方法適用于去除整個薄膜層(例如,清除經過熱氧化后的背面)非常精確控制選擇性、蝕刻輪廓、蝕刻速率、均勻性和可重復性的化學物理蝕刻工藝優:可實現各向同性和各向異性的蝕刻輪廓,構建各種薄膜的最重要工藝之一劣:選擇性并不是非常高,因為物理蝕刻過程也會發生。此外,離子的加速會對晶圓表面造成損傷,需要進行熱退火來修復一般使用電容耦合等離子體刻蝕機(CCP)一般使用電感耦合等離子體刻蝕機(ICP)濕法刻蝕干法刻蝕所有方向(各向同性)特定方向(各向異性)液體溶液等離子體(陽離子、自由基)化學物理+化
100、學快慢技術【nm】5m精細圖案所需設備昂貴目標選擇問題吞吐量問題技術進步各向同性各向異性46請參閱附注免責聲明刻蝕:主要工藝 在集成電路生產線上,等離子體刻蝕設備通常按照被刻蝕材料的種類分為硅刻蝕設備、金屬刻蝕設備和電介質刻蝕設備3類。傳統的硅和金屬的刻蝕偏向使用較低離子能量的刻蝕設備,如電感耦合等離子體刻蝕設備ICP設備;而電介質刻蝕偏向使用較高離子能量的刻蝕設備,如電容耦合等離子體刻蝕設備CCP設備。隨著工藝要求的專門化、精細化,刻蝕設備的多樣化以及新型材料的應用,上述分類方法已變得越來越模糊。隨著集成電路工藝的不斷升級,器件尺寸不斷縮小,新結構(如三維閃存、FinFET等)、新材料(如高
101、k介質/金屬柵等)和新工藝(如銅線低k介質鑲嵌式刻蝕技術和多次圖形技術等)不斷涌現,對刻蝕工藝的要求主要集中在能夠實現刻蝕反應中的各種參數的更精密的控制,能夠達到更高的刻蝕選擇比,對圓片器件的損傷降至最低。因此刻蝕設備的主要發展方向是,越來越多地采用脈沖等離子體,更低的離子能量更窄的離子能量分布,更低的光輻射??涛g設備需要不斷引進新技術來滿足上述全新的要求。資料來源:集成電路產業全書(王陽元主編),中郵證券研究所制造類型主要工藝邏輯電路/DRAM淺槽隔離(STI)、多晶硅柵(Poly Gate)、柵側墻(Spacer)、接觸孔(Contact)、通孔(Via)、電解質溝槽(Trench)、雙鑲
102、嵌式刻蝕(Dual Damascence)、鋁墊(Pad)、去膠(Stripping)、應力記憶技術(SMT)的刻蝕、應力臨近技術(SPT)的刻蝕機雙應力層(DSL)刻蝕等三維閃存(NAND)高深寬比溝槽(High Aspect Ratio Trenches)、硬掩模(Hard Mask)、臺階(Stair-step)及孔刻(Chanel Hole)的刻蝕等封裝整面減?。═hinning)、深斜孔/槽(Taper Hole and Trench)、硅通孔(Through Silicon Via)刻蝕、空腔(Cavity)刻蝕及等離子體切割(Plasma Dicing)等圖表35:集成電路制造鐘
103、需要采用等離子刻蝕設備的工藝47請參閱附注免責聲明干法刻蝕工藝:主要表征資料來源:半導體材料與工藝微信號,芯ONE微信號,集成電路產業全書(王陽元主編),中郵證券研究所圖表36:評估刻蝕設備的重要指標重要指標注釋刻蝕速率刻蝕速率直接影響到生產效率均勻度包括各種均勻度,如刻蝕率、尺寸、形貌等,特別是圓片邊緣的均勻度選擇比包括掩模材料和襯底材料形貌包括孔槽的切面角度和形狀等,以及刻蝕界面的粗糙度關鍵尺寸控制如對于10nm級工藝,必須控制在1nm之內微粒雜志如對于10nm級工藝,要求直徑大于30nm的顆粒在300mm圓片上要少于10個反應腔清理周期一般要求大于300h(射頻源開啟累積時間,業內俗稱R
104、F小時)48請參閱附注免責聲明刻蝕應用:邏輯器件中的刻蝕 邏輯/存儲器件日益復雜的集成方案就新結構和不同材料而言,為干法刻蝕帶來了差異。例如,前端工藝中的應變工程促進了應力薄膜刻蝕、應力近鄰技術和選擇性外延(SiGe)的源漏刻蝕等技術的開發。后端工藝中可靠性增強觸發了在雙大馬士革互聯工藝中使用金屬硬掩膜。不同于存儲器件中對大電容的要求,邏輯器件對所需要工作頻率下柵電極的關鍵尺寸控制有高要求。此外,邏輯電路器件中復雜的連線需要幾層額外的金屬層。45nm存儲器件從鋁互連逐漸地轉變為銅互連,使得后端工藝日益重視氧化物和/或金屬的刻蝕。資料來源:納米集成電路制造工藝(第2版)(張汝京等),中郵證券研究
105、所圖表37:高速邏輯電路產品通常采用的刻蝕工藝淺槽隔離刻蝕(STI Etch)柵極刻蝕(Gate Etch)柵側墻刻蝕(Spacer Etch)硅凹槽刻蝕(SiGe Etch)應力記憶刻蝕(SMT Etch)應力鄰近技術刻蝕(SPT Etch)雙應力層刻蝕(DSL)基板工藝鎢接觸孔刻蝕(Contact Etch)銅通孔刻蝕(Via Etch)介質溝槽刻蝕(Metal Etch)鋁墊刻蝕(AI-pad Etch)鈍化刻蝕(Passivation Etch)布線工藝49請參閱附注免責聲明邏輯刻蝕應用:金屬硬掩模一體化刻蝕為后段關鍵工藝 在28納米及以下的邏輯器件生產工藝中,一體化大馬士革刻蝕工藝,
106、需要一次完成通孔和溝槽的刻蝕,是技術要求最高、市場占有率最大的刻蝕工藝之一。銅的雙大馬士革工藝以及超低介電材料黑金剛II(blackdiamond II,BDII)被廣泛采用。在降低等離子體刻蝕工藝對超低介電材料的介電常數的影響、克服隨著關鍵尺寸縮小對光刻工藝像挑戰等方面,金屬硬掩模層一體化刻蝕工藝顯示出巨大的優越性。同時金屬硬掩模層一體化刻蝕工藝與傳統的先通孔后溝槽(via-first-trench-first)工藝相比,在雙大馬士革小平面的控制、光刻膠刻蝕選擇比和線條邊緣粗糙度等方面也有無法比擬的優點。一體化(all-in-one,AIO)刻蝕工藝流程如下圖所示,框內部分即為一體化刻蝕工藝
107、,所謂一體化即通孔刻蝕、去光阻以及溝槽刻蝕三個步驟在同一個工藝步驟中完成。資料來源:40nm一體化刻蝕工藝技術研究(蓋晨光),中郵證券研究所圖表38:一體化刻蝕工藝流程50請參閱附注免責聲明刻蝕應用:DRAM中的刻蝕 DRAM結構分為存儲陣列(cell)和外圍區(periphery)。存儲區包括前段工藝(front end of line,FEOL)的AA、WL、BL;中段工藝(middle end of line,MEOL)的BL、電容接觸孔(capacitor contact,CC)、著陸電板(landing lad,LP)和存儲段(CELL)的電容孔。外圍區主要是驅動器和放大器。存儲區的
108、WL和BL延伸出來與外圍接觸孔(periphery contact,PC)底端相連,PC底端還連接著外圍傳遞門(periphery gate,PG)和外圍區的AA,PC的頂端連接金屬(landing metal,LM),LM向上繼續連接金屬接觸孔(metal contact,MC),MC底端連接存儲陣列區的電容上電極,MC再向上是后段工藝(back end of line,BEOL)連線布局。BEOL連線包括槽(trench)、通孔(via)和平板(PAD)。Trench/Via不同世代層數不相同,一般為2-4層,大部分Trench/Via是Cu線工藝,頂層Trench和PAD是Al工藝。資料
109、來源:DRAM介質刻蝕工藝和設備發展簡述(胡增文,侯劍秋,周婭),中郵證券研究所圖表39:DRAM剖面結構DRAM介質刻蝕分類1:金屬BEOL(Cu)銅區大馬士革工藝(damascus)AlCuMEOL/cell(non-Cu)非銅區WTiNNon-metalDRAM介質刻蝕分類2:刻蝕圖形hole完全封閉的孔Shallow淺孔Via 通孔Small contact 小接觸孔Mask open 介質掩模版HARC高深寬比深孔Deep contact 深接觸孔e.g.15-30:1Capacitor 電容孔 e.g.30:1trench半封閉的溝槽First metal 第一層金屬連線槽Inte
110、rmediate metal 中間金屬連線槽Patterning(SARP)自對準反轉圖形技術51請參閱附注免責聲明DRAM刻蝕應用:二重和多重模板工藝增加多次刻蝕 基于DRAM的結構設計,受限于光刻技術的發展速度,20nm以下DRAM的刻蝕圖形轉移非常復雜,涉及相當多的不同方向的自對準多重圖形技術,依次是兩重(self-aligned double patterning,SADP)、四重(self-aligned quarter patterning,SAQP)。下圖是SADP和自對準反轉圖形技術(self-aligned reversed patterning,SARP)的工藝流程,通過光
111、刻刻蝕原子層沉積(Atomic layer deposition,ALD)刻蝕相結合實現更小尺寸圖形。選擇SADP或SARP的標準是用最穩定的間隔層(spacer)關鍵尺寸(critical dimension,CD)往下轉移所需要的圖形,因為ALDSpacer通常被認為是重復性最好的工藝。圖表40:SADP&SARP 工藝流程光刻刻蝕原子層沉積自對準兩重圖形技術(SADP)對于關鍵的窄線圖案形成自對準反轉圖形技術(SARP)對于關鍵的窄線圖案形成資料來源:DRAM介質刻蝕工藝和設備發展簡述(胡增文,侯劍秋,周婭),中郵證券研究所52請參閱附注免責聲明刻蝕應用:3D NAND中的刻蝕 集成電路
112、2D存儲器件的線寬已接近物理極限,NAND閃存已進入3D時代。目前128層3D NAND閃存已進入大生產,200層以上閃存已處于批量生產階段,更高層數正在開發。3D NAND制造工藝中,增加集成度的主要方法不再是縮小單層上線寬而是增加堆疊的層數??涛g要在氧化硅和氮化硅的疊層結構上,加工40:1到60:1甚至更高的極深孔或極深的溝槽。3D NAND層數的增加要求刻蝕技術實現更高的深寬比,并且對刻蝕設備的需求比例進一步加大。資料來源:Lam,EE Times,公司公告,中郵證券研究所圖表41:3D NAND存儲器陣列和關鍵工藝挑戰Bit Line 位線:金屬填充(銅)Contact 接觸孔:金屬填
113、充(鎢)Stair 梯級:階梯式刻蝕Word Line 字線:金屬填充(鎢)Channel 溝道:高深寬比刻蝕Slit 狹縫:高深寬比刻蝕Stack 堆疊:更迭薄膜刻蝕MultilevelContact多層接觸孔:高深寬比刻蝕 3D NAND Array結構涉及的刻蝕工藝主要為狹縫刻蝕、溝道孔洞刻蝕、臺階刻蝕和接觸孔刻蝕。按技術難點可分為臺階刻蝕、硬掩膜刻蝕和高深寬比刻蝕。臺階刻蝕:多道字線光刻步驟通過重復的垂直步驟刻蝕和2D剪裁,以提供3D NAND器件中使用的字線階梯的“上下”形狀。難點在于臺階尺寸的可重復性以及高選擇比的側向修整工藝(Trim)。硬掩膜刻蝕:在刻蝕存儲單元之前打開掩模層,
114、設計好存儲通道尺寸和形狀,然后對側壁剖面進行調整,以使后續內存孔蝕刻上的操作窗口最寬。難點在于由于多種不同的來源而產生的變化,不能形成統一的輪廓和CD、垂直的輪廓發生的扭曲以及可重復性能差。高深寬比通道刻蝕:在96層的3D NAND中,深寬比高達70:1,每塊晶圓中有一萬億個這樣的微小孔道,層數增多,孔道更多,保證刻蝕后孔道的均勻性與平整性。難點在于克服不完全刻,弓形刻蝕、扭曲、以及堆疊頂部和底部之間的CD差異。53請參閱附注免責聲明3D NAND:更高堆疊層數帶來更多臺階等刻蝕道次 以某種3D NAND技術路線為例,在150k/月假定產能下,對于不同的技術節點,各工藝分區的設備配置數量占比具
115、有明顯差異,其中刻蝕設備用量需求相對較高,占比在34%以上,并且隨著堆疊層數的增加,刻蝕設備用量占比不斷攀升。不同技術節點各個刻蝕工藝刻蝕設備用量情況(已做歸一化處理)如圖表43所示。對于不同堆疊層數,CMOS驅動部分的刻蝕設備用量需求不變;Array存儲結構刻蝕設備數量變化明顯,其中涉及的刻蝕工藝為溝道孔洞(Channel Hole)、臺階(Stair Step)、狹縫(Slit)、接觸孔(Contact Via)和清理(Clear Out)。從32L到64L再到128L,Array結構加工過程中溝道孔洞、臺階、清理等工藝的刻蝕次數增加。32L、64L產品的堆疊層均為一次性堆疊完成,并分別通
116、過4次、8次刻蝕完成臺階工藝,即一次刻蝕形成4組、8組臺階,128L的3D NAND器件是由2組64L堆疊層組成。由于臺階刻蝕單次形成的臺階數量固定,設備數量需求幾乎正比于堆疊層數。同事,隨著堆疊層不斷升高,待刻蝕膜厚相應增加,溝道通孔、狹縫和接觸孔的刻蝕加工時間變長甚至翻倍,單個設備每小時晶圓加工量(Wafer Per Hour,WPH)下降導致工藝設備數量需求增加。清理工藝主要負責刻蝕后的掩膜去除及清洗,設備用量會隨著掩膜刻蝕工藝次數的增加而提高。資料來源:3D NAND存儲芯片刻蝕設備選型和數量配置研究(程星華等),中郵證券研究所圖表42:150k/月假定產能下各個工藝區設備數量占比技術
117、節點工藝分區32L64L128L光刻5.3%5.4%4.0%刻蝕34.9%41.0%48.4%離子注入3.5%3.0%2.0%擴散15.0%12.8%8.9%薄膜24.8%22.6%23.1%化學機械拋光9.2%8.8%8.6%濕法蝕刻7.3%6.4%5.0%圖表43:不同堆疊層刻蝕工藝設備用量圖表44:不同堆疊層刻蝕工藝加工次數0.04 0.06 0.02 0.04 0.01 0.02 0.04 0.10 0.04 0.06 0.03 0.02 0.04 0.18 0.08 0.10 0.05 0.03 0.00.10.10.20.232L64L128L1 4 1 1 7 1 8 1 1 1
118、1 2 16 1 1 20 0510152025溝道孔洞臺階狹縫接觸孔清理32L64L128L54刻蝕:主要廠商產品列表Lam刻蝕設備工藝優勢應用CORONUS產品系列Plasma Bevel Etch and DepositionCoronus 晶圓邊緣刻蝕和沉積系列產品具備等離子工藝的精確控制和靈活性,可以和其它有效芯片區域保護技術一起用于保護晶圓邊緣以提高芯片良率淺溝槽隔離(STI)、柵極、中段制程(MOL)和后段制程(BEOL)刻蝕工藝后;薄膜沉積前后;光刻前;清除金屬薄膜;晶圓鍵合流程中晶圓間間隙填充;濕法和干法刻蝕時對晶圓邊緣的保護FLEX產品系列Atomic Layer Etch
119、(ALE)反應離子刻蝕(RIE)獨一無二的多頻小容量約束等離子設計帶來出色的均一性、可重復性和 可調性利用原位多步刻蝕和連續等離子功能實現高產率和低缺陷率低k和超低k雙重大馬士革制造;自對準 接觸孔;電容單元;掩膜蝕刻;3D NAND高深寬比孔洞、溝槽、接觸孔KIYO產品系列反應離子刻蝕(RIE)擁有高性能特性,可以精確、穩定地形成導電結構,且具有高生產效率。淺溝槽隔離;源極/漏極工程;高k/金屬柵極;FinFET和三態柵極;雙重和四重圖案化;3D NANDReliant 刻蝕產品Reliant 設備 反應離子刻蝕(RIE)深反應離子刻蝕(DRIE)實現了特色工藝路線圖,并延長了晶圓廠的生產設
120、備利用年限導體刻蝕;介質刻蝕;金屬刻蝕;刻蝕特種薄膜(鋯鈦酸鉛 PZTGaN、AIGaN、SiC 等);用于微機電系統、功率器件和硅通孔刻蝕應用的深硅刻蝕SENSE.I產品系列Deep Reactive Ion Etch(DRIE)反應離子刻蝕(RIE)憑借行業領先的Kiyo和Flex工藝設備演變而來的技術為基礎,Sense.i產品系列等離子刻蝕選擇性地去除材料以在半導體晶圓表面形成所需的特性和圖案,實現對更高3D結構關鍵尺寸的均勻性以及刻蝕輪廓的控制。導體刻蝕;介電質刻蝕Syndion 產品系列反應離子刻蝕(RIE)深反應離子刻蝕(DRIE)提供深硅刻蝕,具有實現精度所需的深度和跨晶片均勻性
121、控制用于高帶寬內存和高級封裝的硅通孔;用于 CMOS 圖像傳感器的高縱橫比結構;用于高級功率器件、模擬集成電路(IC)、微機電(MEMS)器件和晶圓背面加工的大開口面積和高縱橫比結構Vantex產品系列反應離子刻蝕(RIE)以嚴苛的CD控制和選擇性實現最高的深寬比刻蝕;以最高的刻蝕速率和控制達成最高的生產效率3D NAND高深寬比通孔、溝槽和接點;電容器單元VERSYS METAL產品系列 反應離子刻蝕(RIE)金屬刻蝕工藝在連接構成集成電路(IC)的各個組件方面發揮了重要作用,如形成電線、電氣連接等,也可用于鉆通金屬硬掩膜(MHM)用于形成常規掩膜無能為力的超小型結構,從而實現結構尺寸的持續
122、小型化。TiN金屬硬掩膜;高密度鋁線;鋁焊盤選擇性刻蝕產品系列Selective Etch 選擇性刻蝕能夠在不改性或損壞相鄰材料的情況下從晶圓表面各向同性地去除材料,旨在滿足芯片制造商在開發先進 3D 邏輯和存儲器結構方面的需求。虛擬多晶硅去除;SiGe 去除(GAA);氧化物溝槽;硅修整;源/漏沉積預清潔;低 k 材料去除;表面凈化和改性圖表45:Lam刻蝕設備列表請參閱附注免責聲明資料來源:Lam官網,中郵證券研究所55請參閱附注免責聲明刻蝕:主要廠商產品列表資料來源:TEL官網,中郵證券研究所TEL刻蝕設備工藝/材料介紹腔體數量EpisodeUL系列電介質,導體,反應離子蝕刻 2020年
123、推出,可靈活配置多達12個腔室,旨在提高TEL客戶的晶圓廠生產率,具有靈活的多腔室配置、顯著節省占地面積、易于維護和先進的智能工具功能等優勢。1-12Tactras系列電介質,導體,反應離子蝕刻 Tactras是一系列開創性的等離子蝕刻系統,自2006年推出以來,Tactras已經發展到實現世界上最高水平的晶圓傳輸速度和占地面積;Tactras為高縱橫比孔,溝槽蝕刻,掩膜和電介質蝕刻以及BEOL電介質蝕刻提供定制解決方案。1-6Certas系列電介質,化學干法蝕刻 Certas是一系列環保、高通量的氣體化學蝕刻系統,具有高蝕刻選擇性,高均勻性以及出色的殘留物和粗糙度去除。Certas LEAG
124、A提供高度精確的工藝解決方案,如硅接觸形成的表面預清洗,氧化膜去除和蝕刻回,高方向3D結構的選擇性蝕刻,以及精確的凹槽工藝,并已被全球半導體制造商廣泛采用,從批量生產到下一代開發。1-6圖表46:TEL刻蝕設備列表56刻蝕:主要廠商產品列表AMAT刻蝕設備介紹CentrisSym3Y 刻蝕系統 應用于領先的存儲器和晶圓代工邏輯節點上的關鍵導體刻蝕和 EUVL 圖形化應用,現已成為應用材料公司最先進的刻蝕系統。高氣導室架構與創新的脈沖射頻源技術相結合,實現出色的刻蝕形貌控制。極高的刻蝕選擇比允許在單個腔室中依次刻蝕不同材料的多層膜,并提供生成密集封裝的高深寬比結構(包括 FinFET 和新興的環
125、柵晶體管)所需的出色深度和形貌控制。新型專有涂層在刻蝕過程中對腔室的關鍵元件起到保護作用,可減少制造缺陷,提高晶圓良率。整片晶圓上晶粒之間的差異通過新穎的靜電吸盤有所減少,晶圓極端邊緣良率通過主動的邊緣控制得以提升。CenturaEtch 刻蝕 在新的 200mm 技術上,應用材料公司 Centura 刻蝕反應器解決了以下難題:MEMS 深寬比 100:1 的硅刻蝕、SJ MOSFET 一體化硬掩模開槽帶以及面向 LED 和功率器件的氧化銦錫和氮化鎵等新材料。目前,約有 2,000 臺 Centura 刻機蝕已投入運行,為客戶提供了高生產率的硅、鋁和介電質刻蝕解決方案。CenturaSilvi
126、a刻蝕 深硅刻蝕,Silvia 可以優化 3D 互連刻蝕應用所需的刻蝕性能,該系統的高密度等離子源可在所有晶圓級封裝應用中實現最高的硅和氧化硅刻蝕率,兼具形貌控制和高刻蝕率;刻蝕工藝約占 TSV 制造工序的 15%CenturaTetraEUV 先進光掩??涛g系統 Centura Tetra EUV 系統針對 EUV 光掩膜所需新材料及復雜多層結構的刻蝕做了特別的設計,以滿足圖形精度、表面光潔度和缺陷方面的嚴苛規格,在反射模式下達成高的光刻良率,延續了應用材料在掩膜刻蝕領域的長期領先地位。優化的腔體和功率輸出設計配合專門的工藝氣體和刻蝕技術,提供了幾乎無損傷的刻蝕,業界最佳的 CD 均勻性和國
127、際一流的缺陷控制水平。Tetra EUV 系統是應用材料公司全面的光刻系列解決方案之一,全球眾多領先的光掩膜廠依靠此解決方案來優化光掩膜和光刻工藝生產的產能和良率。CenturaTetraZ 光掩膜刻蝕系統 可滿足 10nm 及以下邏輯和存儲器件的光掩膜刻蝕需求。新系統進一步提升了業內領先的 Tetra 平臺的能力,提供了最佳的 CD 性能,以應對先進分辨率增強技術及將浸沒式光刻延伸至四重圖形曝光。ProducerEtch 應用材料公司的 Producer Etch 采用獲得專利的 Twin Chamber設計,是適用于 90nm 及以下節點高生產效率刻蝕應用的可靠且經濟高效的生產工具。該刻蝕
128、器經過生產驗證,采用高度緊湊的設計,最多可配置三個雙腔室,以最大程度提高產量。Producer Etch 使用雙腔室、雙前置式晶圓傳送盒(FOUP)、雙機器人工廠接口,提供最高產能密度,同時還可對單腔室性能和工藝進行控制。每個雙腔室均能以單晶圓或雙晶圓模式運行??涛g速率、刻蝕速率均勻性和光刻膠選擇比,均可通過調節電極之間的間隙來進行調整,從而能夠針對不同的應用來優化工藝。ProducerSelectra刻蝕系統 該工藝可對一個或多個薄膜進行選擇性刻蝕,以前所未有的選擇比性能來除去特定材料。它獨特的工藝能夠實現先進 FinFET 的原子級刻蝕控制、均勻一致的 3D NAND 凹槽和高深寬比 DR
129、AM 結構的無損清潔。該系統采用自由基化合物來提供可調選擇比,從而能夠以原子級精度去除各種介電質、金屬和半導體薄膜。該技術對于延伸當前的 FinFET 器件邊界和支持未來的環柵結構來推進摩爾定律至關重要。Vistara 高度靈活的Vistara能夠使用應用材料公司甚至其合作伙伴提供的各種腔室類型、尺寸和配置。它可以配置四個或六個晶圓批處理加載口,從最少四個到多達十二個工藝腔室。Vistara既可接受用于原子層沉積和化學氣相沉積等工藝的較小腔室,也可接受用于外延和刻蝕等工藝的較大腔室。應用材料公司及其客戶可以將這些腔室組合在一起,開發IMS(集成材料解決方案)配方,從而在一臺設備的真空環境內完成
130、多道晶圓制造工藝步驟。圖表47:AMAT刻蝕設備列表請參閱附注免責聲明資料來源:AMAT官網,中郵證券研究所5729%46%7%3%2%3%10%TELASMIWonik IPSJusung EngineeringEugene TechnologyPECVDPVDALD管式CVD請參閱附注免責聲明薄膜:全球晶圓廠設備占比23%資料來源:Gartner,公司公告,華經產業研究院,中郵證券研究所23%22%3%5%4%13%3%17%4%3%3%薄膜刻蝕拋光清洗生產線自動檢測其他光刻去膠圖表48:2022年全球晶圓廠設備分類市場占比總市場規模:100,674百萬美元圖表49:2022年全球薄膜設備
131、分類市場規模(百萬美元)及占比(%)32%22%8%8%2%5%8%14%等離子體CVD7,277物理濺射4,950外延1,869低壓CVD1,866MOCVD 566鍍銅 1,205原子層沉積3,116爐管CVD1,920總薄膜設備市場規模:22,790百萬美元49%34%3%8%4%1%1%AMATLamASMIWonik IPSTELKLA北方華創86%3%5%3%3%1%AMATKLAUlvac北方華創EvatecKLA46%3%51%TELASMIKokusai Electric圖表50:2020年全球幾類薄膜設備競爭格局58請參閱附注免責聲明薄膜:技術概覽資料來源:微導納米招股說明
132、書,中郵證券研究所低壓型LPCVD常壓型APCVD金屬有機化合物型MOCVD等離子體增強型PECVD化學氣相沉積CVD先進薄膜沉積設備原子層沉積ALD物理氣相沉積PVD熱蒸發沉積等離子體濺射沉積熱原子層沉積TALD等離子體增強原子層沉積 PEALD空間原子層沉積SALD電化學原子層沉積ECALD大氣壓原子層沉積AP-ALD流床式原子層沉積圖表51:薄膜沉積設備技術分類與對比PVD技術CVD技術ALD技術優勢與劣勢沉積速率較快;薄膜厚度較厚,對于納米級的膜厚精度控制差;鍍膜具有單一方向性;厚度均勻性差;階梯覆蓋率差沉積速率一般(微米/分鐘);中等的薄膜厚度(依賴于反應循環次數);鍍膜具有單一方向
133、性;階梯覆蓋率一般沉積速率較慢(納米/分鐘);原子層級的薄膜厚度;大面積薄膜厚度均勻性好;階梯覆蓋率最好;薄膜致密無針孔主要應用HJT 光伏電池透明電極;柔性電子金屬化、觸碰面板透明電極;半導體金屬化PERC 電池背面鈍化層、PERC 電池減反層;TOPCon 電池接觸鈍化層、減反層;HJT 電池接觸鈍化層;柔性電子介質層、柔性電子封裝層;半導體介質層(低介電常數)、半導體封裝層PERC 電池背面鈍化層;TOPCon 電池隧穿層、接觸鈍化層、減反層;柔性電子介質層、柔性電子封裝層;半導體高 k 介質層、金屬柵極、金屬互聯阻擋層、多重曝光技術,在半導體領域 28nm 及以下先進制程、存儲器件中的
134、3D NAND典型應用中舉足輕重59請參閱附注免責聲明薄膜:CVD 在微米技術代,化學氣相沉積均采取多片式的常壓化學氣相沉積設備(APCVD),其結構比較簡單,腔室工作壓力約為1 atm,圓片的傳輸和工藝是連續的。隨著圓片尺寸的增加,單片單腔室工藝占據了主導地位。為此,美國應用材料公司率先推出的單腔單片150mm的P5000型號CVD系統,成為劃時代的里程碑。在圓片尺寸增加的同時,IC技術代也在不斷更新。在亞微米技術代,低壓化學氣相沉積設備(LPCVD)成為主流設備,其工作壓力大大降低,從而改善了沉積薄膜的均勻性和溝槽覆蓋填充能力。在IC的技術代發展到90nm的過程中,等離子體增強化學氣相沉積
135、設備(PECVD)扮演了重要角色。由于等離子體的作用,化學反應溫度明顯降低,薄膜純度提高,薄膜密度加強?;瘜W氣相沉積(CVD)不僅用于沉積介質絕緣層和半導體材料,還用于沉積金屬薄膜。在硅(Si)外延應用的基礎上,從65nm技術代開始,在器件的源區、漏區采用選擇性SiGe外延工藝,提高了PMOS的空穴遷移率。從45nm技術代開始,為了減小器件的漏電流,新的高介電材料(High k)材料及金屬柵(Metal Gate)工藝被應用到集成電路工藝中,由于膜層非常薄,通常在數納米量級內,所以不得不引入原子層沉積(ALD)的工藝設備以滿足對薄膜沉積的控制和薄膜均勻性的需求。資料來源:集成電路產業全書(王陽
136、元主編),中郵證券研究所圖表52:各類CVD工藝和原子層沉積工藝介紹沉積工藝工藝應用生長材料/作用常壓化學氣相沉積APCVD 用于制備單晶硅、多晶硅、二氧化硅、氧化鋅、二氧化鈦、磷硅玻璃等薄膜低壓化學氣相沉積LPCVD原位摻雜在沉積過程中直接將摻雜劑(如磷、硼或砷)引入到薄膜中互連鎢或鈦柵極、互聯、電阻沉積多晶硅(Poly-Si)薄膜的常用方法介質絕緣、雜質掩蔽、淺溝道隔離、掩膜、外層鈍化保護氮化硅臺階覆蓋高寬比填充60請參閱附注免責聲明薄膜:CVD資料來源:集成電路產業全書(王陽元主編),中郵證券研究所圖表52:各類CVD工藝和原子層沉積工藝介紹(接上表)沉積工藝工藝應用生長材料/作用等離子
137、體增強化學氣相沉積PECVD淺槽隔離填充高密度等離子體二氧化硅、流體沉積二氧化硅光刻硬掩模等離子體非晶碳、等離子體氮化硅、等離子體氮化鈦光刻防反射層等離子體氮氧化硅側壁隔離等離子體氮化硅前金屬隔離填充阻擋層等離子體氮化硅前金屬隔離填充高密度等離子摻磷二氧化硅金屬連接刻蝕停止層等離子體氮化硅圓片背面污染保護層等離子體氮化硅金屬連線介質隔離等離子體二氧化硅、等離子體低k介質材料鑲嵌式刻蝕及平坦化停止層等離子體氮化硅、等離子體碳化硅器件鈍化層等離子體氮化硅高密度等離子體增強化學氣相沉積HDP-CVD130-45nm技術節點的淺溝槽隔離填充二氧化硅130-45nm技術節點的前金屬介質填充摻磷二氧化硅次
138、常壓化學氣相沉積SACVD40/28nm技術節點的淺溝槽隔離、金屬前介質層BPSG(硼磷硅玻璃)、SAF(旋涂玻璃)等流體化學氣相沉積FCVD 10/7nm技術節點的淺溝槽隔離、金屬前介質層二氧化硅金屬化學氣相沉積M-CVD鎢栓塞、DRAM和3D NAND字控制線、金屬接觸,鎢栓塞阻擋層MOTiN制備,DRAM電容電極和3D NAND位電極(Bit Line)最早應用的MCVD是為了沉積鎢,用于填充接觸孔隙及存儲器上的字線。隨著孔隙尺寸變小,鎢的阻擋層氮化鈦由采用PVD改為CVD。原子層沉積ALD高介電常數(High-k)材料沉積氧化鉿、氧化鋁先進制程金屬柵極鈦氮化物、鉭氮化物三維結構填充由于
139、ALD的自限制特性,可以在高寬比結構中實現均勻的薄膜覆蓋選擇性原子層沉積實現目標介電層在底部介電層的自對準生長,而在非生長區金屬銅表面不生長61請參閱附注免責聲明薄膜:CVD在邏輯的主要應用及薄膜材料 PECVD、ALD、SACVD、HDPCVD及超高深寬比溝槽填充CVD設備均屬于CVD細分領域產品,不同的設備技術原理不同,所沉積的薄膜種類和性能不同,適用于芯片內不同的應用工序,主要應用及薄膜材料如圖示:資料來源:拓荊科技公告,中郵證券研究所圖表53:CVD在邏輯芯片中的應用圖示62請參閱附注免責聲明薄膜:CVD在DRAM的主要應用及薄膜材料資料來源:拓荊科技公告,中郵證券研究所圖表54:CV
140、D在DRAM存儲芯片中的應用圖示63請參閱附注免責聲明薄膜:CVD在3D NAND的主要應用及薄膜材料資料來源:拓荊科技公告,中郵證券研究所圖表55:CVD在3D NAND存儲芯片中的應用圖示64請參閱附注免責聲明薄膜:PVD 在150mm圓片時代,物理氣相沉積(PVD)以單片單腔室的形式為主。從IC技術發展的角度來看,因制備的薄膜更加均勻、致密,對襯底的附著性強純度更高,濺射設備逐漸取代了真空蒸鍍設備(VaeuumEvaporator)。隨著IC技術代的發展,要求PVD設備從能夠制備單一均勻的平面薄膜,到覆蓋具有一定深寬比的孔隙溝槽,這種發展需求使PVD腔室工作壓力從數個毫托發展到亞毫托(減
141、小),或者到數十個毫托(增大),靶材到圓片的距離也顯著增加。這種發展需求也伴隨著磁控濺射設備、射頻PVD設備和離子化PVD設備的逐步發展。磁控濺射源除了采用直流電源,也引人射頻源來降低入射粒子能量,以減少對圓片上器件的損傷,這類離子化物理氣相沉積腔室在銅互連和金屬柵的沉積中應用廣泛。除此之外,還引入了輔助磁場、輔助射頻電源或準直器。承載圓片的基座除了具有加熱或冷卻的功能,還引人了射頻電源所產生的負偏壓及反濺射的功能。此類離子化PVD腔室和金屬化學氣相沉積(Metal CVD)及原子層沉積也有著結合在同一系統中的趨勢。圖表56:評估薄膜設備的重要指標重要指標注釋生長速率薄膜的生長速率直接影響到設
142、備的生產效率均勻性包括各種均勻性,如薄膜厚度均勻性、薄膜電阻均勻性等臺階覆蓋率包括對溝槽、孔隙的頂部、中部、底部(拐角處)的覆蓋率介電性能(k值)/漏電流薄膜(介電)的絕緣性能功函數(Work Function)金屬薄膜的重要參數薄膜應力控制包括單層薄膜的應力控制及復合薄膜的應力控制微粒雜質控制包括圓片傳輸過程和工藝過程中的微粒雜質控制反應腔室清理周期反應腔室清理的周期及清理過程中的時長均會影響設備的生產效率資料來源:集成電路產業全書(王陽元主編),中郵證券研究所65請參閱附注免責聲明薄膜:PVD圖表57:各類PVD工藝介紹沉積工藝工藝應用作用直流物理氣相沉積DCPVD金屬柵極隨著制程技術的發
143、展,金屬柵極逐漸替代了傳統的多晶硅柵極,以降低電阻率并提高器件性能金屬導線在0.13um以下的制程中,由于銅具有更高的電導率,DCPVD技術被用來沉積銅導線以減小RC延遲阻擋層用于沉積阻擋層,如TiN/TaN等籽晶層在電鍍銅互連工藝中,DCPVD技術用于在阻擋層上沉積一層金屬籽晶層,作為電鍍銅的種子層,以實現金屬互連層的填充硬掩膜用于沉積硬掩膜,如TiN等,這些硬掩膜材料具有較高的硬度和耐磨性,用于保護下層材料不受后續工藝步驟的損傷射頻物理氣相沉積RFPVD絕緣膜由于射頻濺射中靶材上的自偏壓效應與靶材材料本身的屬性無關,RFPVD可以用于沉積絕緣材料,如二氧化硅和氮化硅等用于制作絕緣層和掩蔽層
144、金屬膜層RFPVD技術可以沉積各種金屬膜層,如銅、鋁、鈦和氮化鈦等,這些金屬層在集成電路中用于制作導電線路和接觸點高深寬比結構接觸孔和溝槽RFPVD技術能夠提供更好的底部覆蓋率金屬柵晶體管應用材料公司的Endura Avenir RF PVD系統通過拓展RFPVD技術,實現了在22nm及更小技術節點的晶體管觸點制造中沉積鎳鉑合金離子化物理氣相沉積I-PVD鋁互聯的隔離層、鎢栓塞的黏附層、銅互連中的隔離層和籽晶層對于接觸孔頂部的拐角處形成屋檐式的懸垂(overhang)結構,提高高深寬比通孔和狹窄溝道的臺階底部的覆蓋能力離子化PVD腔室和金屬CVD腔室結合在一個系統中各自發揮特長,如鎢栓塞的黏附
145、層(鈦離子PVD)和隔離層(氮化鈦CVD)資料來源:集成電路產業全書(王陽元主編),中郵證券研究所66請參閱附注免責聲明外延設備概覽資料來源:Yole,物聯網世界,中郵證券研究所外延設備EPIMOCVD 金屬有機化學氣相沉積MBE分子束外延設備HTCVD高溫化學氣相沉積Si HTCVDSiC HTCVD 外延設備在超越摩爾定律(技術節點涵蓋180nm到7nm)時代有著巨大的市場機會,隨著應用范圍的擴大,硅市場以及包括砷化鎵(GaAs)、氮化鎵(GaN)、碳化硅(SiC)和磷化銦(InP)等襯底在內的其他市場正在以顯著的復合年增長率增長,由于這些材料的選擇具有嚴格的質量要求,需使用MOCVD、M
146、BE和HTCVD等外延設備生長超純薄膜和納米結構。圖表58:外延設備市場規模預測(單位:百萬美元)及驅動力CVD-化合物半導體MOCVD碳化硅功率和高端射頻行業的CVD、MBE按外延材料分:GaN 259;GaAs 157;SiC 133;Si 94;InP 47按外延材料分:GaN 402;GaAs 214SiC 239;Si 154InP 75其他 7按設備分:MOCVD和HTCVD:650;MBE:4239%2020年全球外延設備市場份額12%11%8%5%3%3%3%3%3%市場規模67請參閱附注免責聲明薄膜設備發展方向薄膜設備發展方向解釋(1)越來越多新材料的涌現要求研發新的設備及工
147、藝為了滿足集成電路工藝技術的發展,薄膜生長設備需要不斷地引入或研發新技術和新工藝,如在新的磁存儲器件中必須引入新的磁性薄膜,在新型二維器件中也需要引入MoS2和WS2等新的襯底材料。這些新材料的制備都需要研發新設備和新工藝。(2)更嚴格的熱預算限制要求更低溫的薄膜生長工藝新的器件結構對薄膜生長的熱預算有更嚴格的限制(特別是對一些傳統的薄膜,如SiO2和Si3N4等薄膜),這就需要研發新的制備工藝(如研發新的更低溫的反應前驅物),或者研發新的生長技術(如增強等離子體技術或光照技術來降低生長溫度)等。(3)更復雜的三維器件結構要求薄膜生長具有更好的臺階覆蓋率、更強的溝槽填充能力及更精準的膜厚控制三
148、維閃存和鰭狀場效應管等新的三維器件結構的出現,均要求在三維器件形貌上生長的薄膜具有更精準的膜厚控制及更優良的臺階蓋率。因此,未來原子層薄膜沉積(AID)技術在薄膜生長領域會有更多的應用。(4)更好的薄膜界面性能控制要求設備具備更高的設備集成整合度,可以完成一個應用模塊的工藝新的器件結構也對薄膜的工藝提出了更嚴峻的挑戰。為了更好地控制不同薄膜之間的生長,薄膜制備平臺的系統集成度會更高,如金屬互連阻擋層的制備需要將多個不同的工藝腔室集成在一個平臺上,這就對設備平臺的自動化控制提出了更高、更嚴峻的挑戰。圖表59:薄膜設備的發展方向資料來源:集成電路產業全書(王陽元主編),中郵證券研究所68薄膜:主要
149、廠商產品列表薄膜產品系列類型優勢應用ALTUS產品系列ALD結合化學氣相沉積和原子層沉積技術,用于先進的鎢金屬化工藝中高保形薄膜沉積。鎢插塞、接觸孔和通孔填充;3D NAND字線;低應力復合互連;用于通孔和接觸孔金屬化的WN阻擋膜Kallisto 產品系列 ECD先進的垂直加工平臺,適用于 300300 毫米至 5.1 代(11001300 毫米)基板的濕法化學處理,可滿足半導體產業的需求。Kallisto可在各種材料(包括有機玻璃和玻璃核心技術)上對小于 10 微米的結構進行精細線路電鍍。加工可以是單面的,也可以是雙面的,具體取決于應用。在凸、柱、墊、RDL、TGV、FLI 上電化學沉積銅、
150、鎳、錫銀、金及其他金屬Phoenix 產品系列光刻膠去除、光刻膠顯影、濕法清洗/去膠/刻蝕、ECD為 510515 毫米的基板提供全自動大批量面板加工,旨在重新定義擁有成本,在面板級封裝行業提供獨特的技術,并尊重其操作實用程序的使用;受晶圓級性能的啟發,提供帶材、顯影、刻蝕和電鍍解決方案,以滿足由人工智能、高性能計算和其他應用驅動的未來市場需求。在凸、柱、墊、RDL、TGV、FLI 上電化學沉積銅、鎳、錫銀、金及其他金屬;金屬刻蝕、UBM 刻蝕、氧化物刻蝕;光刻膠去除、光刻膠顯影Reliant 沉積產品CVD、PECVD、PLD、HDPCVD實現了特色工藝的路線圖,并延長了晶圓廠的生產設備利用
151、年限?;瘜W氣相沉積(CVD)鎢;HDPCVD 填隙氧化物;PECVD 硅烷氧化物、氮化物和氧氮化物;PECVD TEOS 氧化物;脈沖激光沉積(PLD);摻雜氧化物(硼磷)SABRE產品系列ECD幫助推動了向銅互連技術的變革,憑借生產效率居于行業領先水平的平臺,滿足銅大馬士革鑲嵌工藝的精度需求。邏輯互連;存儲器互連SOLA產品系列UVTP旨在滿足最新芯片絕緣需求的新型介電材料所具有的特性,為了實現這些先進薄膜應用,可以運用泛林SOLA 紫外熱處理產品系列的特殊沉積后薄膜處理技術,穩定部分薄膜,同時增強其他薄膜以提高器件性能。氮化物薄膜應力處理SPEED產品系列HDPCVD介電“間隙填充”工藝通
152、過填充導線之間以及器件之間各種深寬比的開口,在導電區域和/或有源區域之間沉積關鍵絕緣層。對于先進器件,被填充的結構可能非常高且狹窄。因此,由于出現串擾和器件故障的可能性不斷增加,優質介電薄膜尤顯重要。該系統可為高質量間隙填充應用提供多層介電薄膜解決方案。淺溝槽隔離(STI);金屬前介電(PMD);層間介電(ILD);金屬間介電(IMD);鈍化層STRIKER產品系列 ALD最新的存儲器、邏輯和成像器件都需要采用超薄、高保形介電薄膜,以持續改進器件性能和不斷小型化。該系統為側壁的多圖形化方案等極具挑戰性的需求帶來關鍵解決方案,以最低的持有成本提供一流的薄膜技術和缺陷率水平。間隙填充介電材料;適形
153、襯墊;側壁和掩膜圖案化;密閉封裝;刻蝕截止層;光學薄膜Triton 產品系列濕法清洗/去膠/刻蝕、ECDTriton 平臺是用于單晶圓電鍍和濕法工藝的多功能模塊化解決方案,該平臺可在一臺設備中制備酸和溶劑,這使 Triton 成為在狹小空間內解決各種工藝步驟的理想解決方案。在凸、柱、墊、RDL、TSV、FLI 上電化學沉積銅、鎳、錫銀、金及其他金屬;去電鍍;金屬刻蝕、UBM 刻蝕、氧化物刻蝕;背面斜面刻蝕;光刻膠去除、光刻膠顯影VECTOR產品系列 PECVD介電薄膜沉積工藝用于形成半導體器件中一些最難生產的絕緣層,包括最新晶體管和3D結構中使用的絕緣層。該系統具有出色的性能和靈活性,可為各種
154、極具挑戰性的器件應用打造出所需的結構。硬掩膜;防反射層(ARL);鈍化層;擴散阻擋膜;3D NAND多層疊層薄膜;雙重和四重圖案化層的核心層;金屬間層圖表60:Lam薄膜設備列表請參閱附注免責聲明資料來源:Lam官網,中郵證券研究所69薄膜:主要廠商產品列表TELINDYSeries工藝反應條件特點TELINDY PLUSThermal ALD,LPCVD,Oxididation/AnnealThermal工藝性能和生產率不斷提高,并進一步擴展到ALD應用程序。TELFORMULAOxide,Anneal,Nitride,Poly,TEOS,High-kThermal最先進的等溫小批量平臺,包
155、括LPCVD Si(Poly,a-Si),Si3N4,SiO2,ALD High-k,ATM/LP氧化,氧化氮化等。TELINDY PLUSIRadPlasma Assisted ALDSiN,SiO2*Plasma進一步將批量技術的工藝領域擴展到新的、更低的溫度范圍,同時保持沉積膜的質量,主要應用包括超低溫ALD Si3N4和SiO2ALPHA-8SEiOxide,Anneal,Nitride,Poly,TEOS,HTO,High-KThermal用于200mm晶圓的垂直批量熱處理系統NT333Series工藝應用反應條件特點NT333SiO2,SiN,High-kThermal/Plasm
156、a高臺階覆蓋,高質量薄膜,高通量,應力可控性,低等離子損傷”Triase+Series應用工藝特點Triase+EX-TiNCapacitor electrode,Word line barrier,Metal gateASFD TiN,TiON高臺階覆蓋率,TiN薄膜性能好,沉積速度快,周期長,ClF3清潔Triase+Ti/TiNContact,Capacitor electrodeCVD Ti/TiN高臺階覆蓋率,Ti沉積過程中同時形成的形成TiSi;ClF3清潔Triase+WContact plug,Via FillW高生產力,ClF3清洗Triase+SPAiGate nitrid
157、ation,Gate recovery oxidation,STI liner oxidation,High-k nitridationOxidation,Nitridation低溫無損傷等離子體工藝,高密度低溫等離子體EpisodeSeries腔體數量應用工藝Episode11-8Logic ContactPrecleaningCVD TiEpisode2 DMR1-4Capacitor electrode,Word line TiN,Barrier metalASFD*TiN圖表61:TEL薄膜設備列表請參閱附注免責聲明資料來源:TEL官網,中郵證券研究所70薄膜:主要廠商產品列表PVD介
158、紹AxcelaPVD大多數金屬化應用(包括 EMI 屏蔽、背面金屬化、MEMS、TSV、UBM 和 RDL)的絕佳選擇,可以嚴格控制薄膜的沉積厚度。ChargerUBM PVD對于 UBM 和 RDL 應用中使用的各種金屬(如 Ti、TiW、Cu 和 NiV),可滿足嚴格的薄膜沉積均勻性規格。Endura底層凸塊金屬化 PVD后端封裝(包括 NiV、Cu、Ti、TiW、CuCr、TaN 和 AI)。EnduraALPSPVD(ALPS Co&Ni)Endura ALPS(先進低壓源)Cobalt PVD(物理氣相沉積)系統為高深寬比結構的柵極和接觸孔應用提供簡單的高性能金屬硅化物解決方案;AL
159、PS 技術將鈷延伸至 90nm 技術節點以下,可提供優良的鈷底部覆蓋,且不會對器件造成等離子損傷,缺陷數量極少。EnduraAmberPVD在 1xnm 技術節點下,超小通孔開口周圍會出現銅凸懸,新系統通過銅回流,增強了 PVD 沉積工藝,實現自底向上的填充。這個兩步驟工藝通過減少通孔的有效深寬比(應用一次)或完全填充通孔(重復應用),簡化了電鍍過程,使無孔洞填充更有保障,從而確保器件的可靠性。EnduraAvenirRF PVD解決了 22nm 及以下節點的高 K/金屬柵極應用以及邏輯接觸硅化物問題。對于高深寬比的 Ni(Pt)邏輯接觸硅化物,該系統在高壓力電容耦合等離子體模式下運行,以便實
160、現更高的金屬電離密度和底部覆蓋率。EnduraCirrusHT CO PVD可通過增強功能來實現所需的硅化物覆蓋率,以應對縮小的接觸面積和增加的深寬比所帶來的挑戰。相比其他來源技術,使用高頻 RF 源可產生金屬離子濃度更高的等離子體,該系統可在高深寬比特征層的底部實現出色的厚度和一致性。EnduraCirrusHTX PVD該系統采用了頻率極高的 RF 源,形成的 TiN 達到了抗張應力性與高密度的理想結合,從而帶來極佳的刻蝕選擇比、出色的 CD 線寬控制和通孔堆疊對準,以及密集復雜圖形的高保真度。新系統可生產能夠在 10nm 以下的節點中確保圖案保真度的突破性硬掩膜。EnduraClover
161、MRAM PVD該系統是用于磁性隨機存取存儲器(MRAM)器件大規模量產(HVM)的首款具有生產價值的集成材料解決方案(Integrated Materials Solution)。EnduraCuBS RFX PVDEndura CuBS(銅阻擋層/種子層)RF XT PVD 系統用于 3x/2x 及更先進節點的邏輯和存儲器件應用,該技術能夠以最小的懸突和光滑的形態實現完全覆蓋的低溫薄膜沉積。Endura CuBS Volta 釕晶體管密度的不斷提高要求布線越來越小,EnduraCuBS(銅屏障/種子)IMS與 Volta釕化學氣相沉積技術(CVD)可在單一集成材料解決方案中降低功耗、提高性
162、能并實現面積擴展。EnduraImpulsePCRAM PVD該系統是用于相變隨機存取存儲器(PCRAM)和電阻式隨機存取存儲器(ReRAM)器件大規模量產(HVM)且具有生產價值的集成材料解決方案(Integrated Materials Solution)。EnduraIoniqW PVD該系統將鎢(W)在邏輯應用中的關鍵導線處的使用擴展到了 5 納米以下,同時也為 DRAM 和 NAND 器件的低電阻連接提供了一種獨特的金屬化方法,有助于進一步推進器件微縮。EnduraPVD該金屬化系統憑借跨越前段金屬化(如鈷、鎢、鋁和銅互連)以及凸點下金屬化等封裝應用的沉積功能,目前,Endura 系
163、統在全球的銷量已超過 4,500 套。Endura 能夠以嚴格的薄膜厚度控制、出色的底部覆蓋率和高共形性沉積多種超純薄膜,該系統最多可容納九個工藝腔室,能夠混搭腔室以創建集成的多步工藝序列。高度可配置的 Endura 平臺支持兩個可去除原生氧化物的預清潔腔室、多達六個 PVD 腔室以及兩個 MOCVD 腔室(可選)。EnduraVenturaPVD該系統專為 TSV 金屬化而設計,是公司在物理氣相沉積(PVD)領域的最新創新,使客戶能夠將其 2D 鑲嵌集成基礎設施和專門技術擴展到深寬比 10:1 的 TSV 和 2.5D 中介層應用。它也是首個面向 TSV 的 PVD 系統,可實現具有量產價值
164、的鈦阻擋層沉積。EnduraVersaXLR2 W PVDVersa XLR2 W PVD 腔通過沉積更純凈和更光滑的鎢膜來降低互聯電阻,該鎢膜比使用當前技術所沉積的鎢的電阻率低 10-15%。Versa XLR2 W 系統所生產的電阻率更低的鎢是微縮的促成者,它將擴展作為位線金屬的鎢在用于 1xnm 節點的 DRAM 技術中的用途。EnduraiLBPVD/ALD隨著器件尺寸縮小,而集成式襯墊/阻擋層(iLB)厚度消耗更多的鎢插頭體積,接觸電阻在不斷增加,造成相應的困難;應用材料公司配備 Centinel沉積室的 Endura iLB PVD/ALD 系統解決了這一難題。PikaPVD該系統
165、是當今業界體積更小、速度更快的單晶圓 PVD 設備,設計用于以較低的擁有成本開展高性能研發和小批量生產。該系統設計緊湊(1657mm x 755mm x 1822mm),包含脫氣、預清潔和濺射沉積模塊,并配備高真空機械手傳送室和完整的盒對盒自動化功能。它具有很高的可靠性,正常運行時間超過 92。TopazPVD該系統可滿足市場對 PLP(面板級封裝)不斷增長的需求,憑借領先的技術能力,可處理最大尺寸為 600mm x 600mm 的襯底。市場需要更低成本、更高性能的電子產品,而這種需求促使半導體行業從 WLP(晶圓級封裝)轉向 PLP。在標準化固定成本支出上,PLP 不到晶圓級封裝的一半。圖表
166、62:AMAT PVD設備列表請參閱附注免責聲明資料來源:AMAT官網,中郵證券研究所71薄膜:主要廠商產品列表CVD介紹EnduraVoltaW CVD根據關鍵尺寸和工藝流程,Volta CVD W 薄膜最高可將接觸孔電阻降低 90%。CenturaDXZ CVD先進MEMS,功率器件和封裝中的應用驅動了對先進的150mm和200mm CVD技術的需求。為滿足這些技術的制造要求,需要超厚氧化物(20m),低溫(180C-350C),保形的、低濕刻蝕速率的薄膜和折射率可調的摻雜薄膜。這些薄膜加入了Centura DXZ CVD系統上可用的廣泛工藝組合,從TEOS,基于硅烷的氧化物和氮化物到低k
167、介電質,應變工程和可光刻的薄膜。該系統還產生各種摻雜(膦,硼和氟)和未摻雜的間隙填充溶液。這些過程處理諸如STI,PMD,ILD和IMD之類的應用程序。CenturaUltima HDP CVD既可沉積無摻雜薄膜,又可沉積摻雜薄膜,應用包括沉積淺溝槽隔離層(STI)、金屬前介電質層(PMD)、層間介電質層(ILD)、金屬層間介電質層(IMD)和鈍化保護層。CenturaiSprintSSW ALD/CVD融合了應用材料公司在材料工程和金屬 CVD 接觸孔應用領域長期積累的專業能力和豐富經驗,利用獨特的“選擇比”抑制機制,自底向上進行填充,避免出現縫隙或孔洞。改進填充工藝的完整性,有助于提高鎢體
168、積(有可能降低電阻),制造出更堅固耐用的特征結構,緩解對介電質和刻蝕開口步驟的要求,從而改善性能、器件設計和成品率。EnduraVoltaCobalt CVDVolta CVD Cobalt 系統為擴展銅互連技術推出新材料,將這一工藝帶入新時代。它通過改善銅浸潤來促進銅種子層覆蓋,從而能夠形成便于修復不連續形貌和形成健壯種子層的連續薄共形層。這種高品質層進而能夠在最先進的節點下促進無孔洞銅間隙填充。此外,尺寸收縮會造成更高的電阻,而且更容易受到銅線路中電遷移失效的影響。Volta 系統一流的(100:1)選擇性金屬蓋帽工藝可強化銅介電質界面層處的粘附,從而能夠成數量級提升電遷移性能,而不會增加
169、線路電阻,也不會削弱介電質層時變擊穿特性。Volta CVD Cobalt 同時用于襯里和選擇性金屬蓋帽工藝,便于完全封裝銅線路,確保 2Xnm 及以下節點最穩健的互連可靠性。Producer XP PrecisionCVD沉積新型硬掩膜薄膜,如 Saphira APF,具有高選擇比、低應力和更高的透明度,非常適合生成新近業內流行的高深寬比和密集圖形化特征。ProducerPrecisionAPFPECVD能夠為關鍵圖形化工序生成一系列可剝除的無定形碳硬掩膜。該系統是業界第一個商用 PECVD 沉積可灰化無定形碳薄膜圖形化系統,業內領先的 APF 是實現多重圖形化集成方案的一種薄膜,可突破標準
170、 ArF 光刻的物理極限進行微縮;并可以實現高深寬比(HAR)特征的圖形化。隨著 APF 應用的激增,APF 已從最初的單一薄膜發展成為一系列的專用薄膜。ProducerAvilaPECVD高品質氧化物和氮化物系列薄膜可滿足 TSV(硅通孔)和其他先進封裝應用所需的低熱預算和高產量需求。ProducerBLOkPECVD可生成行業領先的超低 k 銅阻擋層和刻蝕阻擋層薄膜,用于鑲嵌互連應用。借助 Producer 的 Twin Chamber架構,在進行 BLOk 沉積前,每個晶圓都經過原位氧化銅消除工藝(專利技術),確保出色的銅或鈷粘附性,以減少電遷移。BLOk 薄膜能夠大幅降低介電薄膜疊層的
171、電容,同時還可以保持出色的刻蝕選擇比和電學性能,有利于進一步的 RC 縮放。久經驗證的表面預處理和初始層工藝使 BLOk 很容易與 Black Diamond 薄膜集成,從而確保順利向 45nm 及以下節點應用換代過渡。Producer Black Diamond PECVD降低了最小 K 值,從而實現了 2 納米節點及以下的微縮,同時提高了薄膜的機械強度以支持三維邏輯和存儲器堆疊技術。這種新型致密低介電薄膜可以調整到很寬的 k 值范圍,因此可以用于任何金屬層。領先的邏輯和內存芯片制造商繼續采用 Black Diamond 薄膜,在最先進的節點上進行大規模量產。ProducerCVD除了傳統的
172、 PECVD(基于TEOS和硅烷的氧化物以及氮化物)和亞大氣壓的 CVD 膜外,Producer 系統還適用于低介電常數、應變工程、可光刻膜以及熱膜,高溫 PECVD 應用,硅鍺(SiGe)和非晶硅(a-Si)。它在 MtM 器件方面的一些應用示例包括較厚的膜(20m),較低溫度的工藝(180-350C),更保形的膜及諸如低溫 PECVD 硅鍺(50um)和非晶硅(a-Si)等新材料。ProducerCeleraPECVD可以沉積可調壓縮和拉伸高應力氮化硅薄膜,用于 45nm 及以下節點的應變工程。應用材料公司的 Celera 沉積和 UV 固化工藝集成于經過生產驗證的高產能 Producer
173、 平臺上,具有靈活的 Twin Chamber配置以及平臺可擴展性,使客戶能夠將 Producer 工具集應用于多個工藝節點。ProducerDARCPECVD沉積抗反射涂層薄膜,可在 90nm 及以下節點應用中,最大限度降低反射率、減少光刻膠中毒,提高光刻膠的附著力。APF/DARC 薄膜疊層與應用材料公司的 APF(先進圖形化薄膜)可剝離 CVD 硬掩膜結合使用,可提升刻蝕優化解決方案的刻蝕選擇比、CD 控制和線條邊緣粗糙度。圖表63:AMAT CVD設備列表請參閱附注免責聲明資料來源:AMAT官網,中郵證券研究所72薄膜:主要廠商產品列表ALD介紹AppliedPicosunMorphe
174、rF8吋批式熱ALD;可以與AppliedPicosunMorpherP(等離子體ALD單晶圓處理模塊)或AppliedPicosunMorpherT(熱ALD單晶圓處理模塊)結合使用。AppliedPicosunMorpherP 8吋單晶圓等離子體增強型ALDAppliedPicosunMorpherT單晶圓等離子體增強型ALDAppliedPicosunSprinter12吋批式ALD,與通常用于批量ALD處理的垂直爐反應器相比,Sprinter以更低的熱預算提供更高的膜質量,適用于溫度敏感設備CenturaiSprintSSW ALD/CVD利用獨特的“選擇比”抑制機制,自底向上進行填充
175、,避免出現縫隙或孔洞。改進填充工藝的完整性,有助于提高鎢體積(有可能降低電阻),制造出更堅固耐用的特征結構,緩解對介電質和刻蝕開口步驟的要求,從而改善性能、器件設計和成品率OlympiaALD能夠單獨沉積介電層薄膜,應對了在3D 器件制造所需的低沉積溫度下,獲取高品質 ALD 薄膜的重大挑戰EPI介紹CenturaEpi 200mm憑借寬泛的溫度和壓力特性、出色的溫度均勻性以及靈活的氣體面板配置,Centura Epi 系統可支持先進的低溫外延和多晶沉積工藝,包括鍺和硅鍺。CenturaPrimeEpiCentura Prime Epi 系統面向 3x 納米及更先進節點,其工藝組合涵蓋邏輯器件
176、 finFET 和 GAA 晶體管中的源極-漏極、通道和接觸孔以及內存、電源、模擬和 MEMS 領域的各種應用。ECD介紹NokotaECDNokota 系統的高生產率晶圓級封裝設備提供的一流性能,可支持各種封裝方案中采用的所有電鍍工序,從而擴展了應用材料公司的電化學沉積系統的產品線,這涵蓋了從倒裝芯片和晶圓級芯片規模封裝到 2D 和 3D 的扇出、2.5D 的中介層設計和硅通孔等各種封裝方案??梢杂糜阢~、錫/銀合金、鎳、金、錫和鈀等最常用的金屬,也可以用于其他金屬。RaiderECDRaider ECD 系統占地面積小、產能高,適用于 150mm-300mm 單晶圓、自動化、多腔室電化學沉積
177、。300mm 晶圓電鍍采用增強型腔室反應器,能夠動態改變電流密度,達到無與倫比的沉積均勻度。多區陽極陣列便于在超薄和電阻性種子層上電鍍。圖表64:AMAT CVD、ALD、EPI、ECD設備列表CVD介紹ProducerEternaFCVD可填充極端尺寸(深寬比高達 30:1)的間隙,包括高度不規則的間隙和具有復雜形貌的間隙。新工藝可在晶圓表面上沉積高品質的類流體態介電薄膜,使得薄膜能夠迅速流入間隙,完全填充間隙而不會留下孔洞或縫隙。采用的化學材料經過精心的挑選,可生成純度極高、穩定可靠、無碳的介電薄膜,以確??煽康碾姎飧綦x及與后續工序(如 CMP)的兼容。ProducerHARPProduc
178、er HARP(高深寬比工藝)是一種非等離子體 CVD 熱氧化工藝,可滿足高級邏輯 FinFET和存儲器技術節點的 STI(淺溝槽隔離層)和 PMD(金屬前電介質層)等應用的嚴格間隙填充要求。ProducerInViaCVDProducer InVia 系統提供 CVD 創新工藝,可在先通孔和中通孔 TSV 工藝中沉積高度共形且電學性能穩健的介電襯層。Applied ProducerXP PrecisionDracoCVDDraco 硬掩模采用一種新材料,這種材料的選擇性比傳統 DRAM 電容器硬掩模高 30 以上,可以將沉積的硬掩模厚度減少 30,從而縮小電容器的深寬比,降低刻蝕工藝的難度。
179、Draco 硬掩模與應用材料公司的的 Centris Sym3 Y 刻蝕系統一起協同優化,該系統經過特別調整以適合刻蝕這種新型材料,可將本地 CD 均勻性提高 50,將橋接缺陷(短路)減少 100倍,從而提升良率。Producer XP Precision Pioneer CVDPioneer 高級圖案化 CVD 薄膜采用獨特的高密度碳配方,對先進工藝節點中使用的刻蝕化學物質具有良好的耐受性,從而使薄膜堆疊具備出色的側壁特征均勻性。該薄膜在光刻膠圖案處理之前沉積在晶圓上,其獨特的設計可將所需的圖案精確地轉移到晶圓上。請參閱附注免責聲明資料來源:AMAT官網,中郵證券研究所73量檢測:質量控制貫
180、穿芯片制造全過程,保證良率的關鍵異物缺陷氣泡缺陷顆粒缺陷檢測光刻套刻偏移量薄膜膜厚三維形貌量測圖表65:半導體檢測和量測技術 傳統的集成電路工藝主要分為前道和后道,隨著集成電路行業的不斷發展進步,后道封裝技術向晶圓級封裝發展,從而衍生出先進封裝工藝。先進封裝工藝指在未切割的晶圓表面通過制程工藝以實現高密度的引腳接觸,實現系統 級封裝以及 2.5/3D 等集成度更高、尺度更小的器件的生產制造。鑒于此,集成電路工藝進一步細分為前道制程、中道先進封裝和后道封裝測試。貫穿于集成電路領域生產過程的質量控制環節進一步可分為前道檢測、中道檢測和后道測試,半導體質量控制通常也廣義地表達為檢測。其中,前道檢測主
181、要是針對光刻、刻蝕、薄膜沉積、清洗、CMP等每個工藝環節的質量控制的檢測;中道檢測面向先進封裝環節,主要為針對重布線結構、凸點與硅通孔等環節的質量控制;后道測試主要是利用電學對芯片進行功能和電參數測試,主要包括晶圓測試和成品測試兩個環節。應用于前道制程和先進封裝的質量控制根據工藝 可 細 分 為 檢 測(Inspection)和 量 測(Metrology)兩大環節。檢測(Inspection):指在晶圓表面上或電路結構中,檢測其是否出現異質情況,如顆粒污染、表面劃傷、開短路等對芯片工藝性能具有不良影響的特征性結構缺陷。量測(Metrology):指對被觀測的晶圓電路上的結構尺寸和材料特性做出
182、的量化描述,如薄膜厚度、關鍵尺寸、刻蝕深度、表面形貌等物理性參數的量測。請參閱附注免責聲明資料來源:中科飛測招股說明書,中郵證券研究所74量檢測:技術提升趨勢 從技術原理上看,檢測和量測包括光學檢測技術、電子束檢測技術和X光量測技術等。目前,在所有半導體檢測和量測設備中,應用光學檢測技術的設備占多數,公司所研發、生產的檢測和量測設備主要基于光學檢測技術。光刻機工藝朝更小光源波長和更高數值孔徑演進,未來為滿足更小關鍵尺寸晶圓的缺陷檢測,必須使用更短波長的光源,以及使用更大數值孔徑的光學系統,因此光學檢測技術發展史可參考光刻機工藝發展史。圖表66:光刻機工藝發展史更小光源波長和更高數值孔徑大數據檢
183、測算法和軟件愈發重要設備檢測速度和吞吐量不斷提升請參閱附注免責聲明資料來源:ASML官網,中科飛測招股說明書,中郵證券研究所75檢測技術光學檢測技術 電子束檢測技術X 光量測技術主要內容基于光學原理,通過對光信號進行計算分析以獲得檢測結果,具有速度快、精度高,無損傷的特點通過聚焦電子束掃描樣片表面產生樣品圖像以獲得檢測結果,具有精度高、速度較慢的特點,通常用于部分線下抽樣測量部分關鍵區域基于X光的穿透力強及無損傷特性進 行特定場景的測量先進制程工藝應用情況 應用于28nm及以下的全部先進制程。光學檢測技術因其特點,目前廣泛應用 于晶圓制造環節 應用于28nm及以下的全部先進制程。電子束檢測技術
184、因其具有精度高但速度慢特點,所以基于電子束檢測技術的設備一部分應用于研發環節,一部分應用在部分關鍵區域抽檢或尺寸量測等生產環節,例如納米量級尺度缺陷的復查、部分關鍵區域的表面尺度量測以及部分關鍵區域的抽檢等 應用于28nm及以下的全部先進制程,但鑒于 X 光具 有穿透性強、無損傷特性,所以 主要應用于特定 的場景,如檢測特定金屬成分未來發展方向通過提高光學分辨率,并結合圖像信號處理算法,進一步提高檢測精度提升檢測速度,提高吞吐量,由單一電子束向多通道電子束技術發展基于X光的穿透性特性,擴大應用的場景范圍圖示光源照明光學系統衍射光采集光學系統陣列探測儀2陣列探測儀1反射光采集光學系統圓片全圓片表
185、面成像方式宏觀缺陷檢測光學結構圖電子束圖形圓片缺陷檢測設備結構圖真空主腔體掃描電子顯微鏡真空進樣室系統前端機械手圓片盒圓片樣品定位平臺X射線發生器測角儀樣品測量記錄系統計算機系統XDR結構簡圖注:根據公開信息一般將 28nm 作為成熟制程和先進制程的分界線 圖表67:檢測技術分類請參閱附注免責聲明資料來源:集成電路產業全書(王陽元主編),中科飛測招股說明書,日聯科技,中郵證券研究所76檢測+量測環節貫穿前道制程和先進封裝全過程圖表68:半導體檢測和量測設備的主要類型及其在不同工序中的分布情況主要產品前道制造先進封裝2020年占全球總銷售額比例2020年全球銷售額(億美元)薄膜沉積光刻掩膜刻蝕離
186、子注入CMP清洗光刻刻蝕電鍍鍵合檢測設備掩膜版缺陷檢測設備11.3%8.6無圖形晶圓缺陷檢測設備9.7%7.4圖形晶圓缺陷檢測設備6.3%4.8納米圖形晶圓缺陷檢測設備24.7%18.9電子束缺陷檢測設備5.7%4.4電子束缺陷復查設備4.9%3.8總計62.6%47.9量測設備關鍵尺寸量測設備10.2%7.8電子束關鍵尺寸量測設備8.1%6.2套刻精度量測設備7.3%5.6晶圓介質薄膜量測設備3.0%2.3X光量測設備2.2%1.7掩膜版關鍵尺寸量測設備1.3%1.0三維形貌量測設備0.9%0.7晶圓金屬薄膜量測設備0.5%0.4總計33.5%25.6請參閱附注免責聲明資料來源:VLSI R
187、esearch,立鼎產業研究,中郵證券研究所7713%薄膜刻蝕拋光清洗生產線自動檢測其他光刻去膠離子注入熱處理/爐管量檢測驅動一:產能擴張,量檢測設備投資占比約13%2021年,檢測設備在晶圓環節半導體設備的投資占比約為11%。根據京儀裝備公告,結合統計國內主要晶圓制造廠商公布的未來幾年擴產計劃,考慮到擴產計劃的不確定性,出于謹慎性原則,折算后2024/2025年國內新增12英寸晶圓等效產能分別為606.39千片/月和593.89千片/月。穩定的產能擴張帶來量檢測設備需求。圖表70:主要晶圓廠擴產計劃公司名稱工廠地點規劃產能(萬片/月)晶圓尺寸(英寸)狀態投資金額中芯國際上海1012在建88.
188、7億美元中芯國際深圳412在建23.5億美元中芯國際北京1012在建76億美元中芯國際天津1012在建75億美元華虹集團無錫8.312計劃中67億美元長江存儲武漢2012在建(二期)一期二期合計240億美元合肥長鑫集成電路有限公司合肥2412在建(二期)二期三期合計1500億元紹興中芯紹興12.758在建175.64億元中芯集成電路(寧波)有限公司 寧波38在建39.9億元廣州粵芯廣州612在建二期三期合計227.5億元北京燕東微電子科技有限公司北京412在建75億元廈門士蘭集科微電子有限公司廈門812在建120億元杭州312在建39億元格科半導體(上海)有限公司上海612在建155億元上海鼎
189、泰匠芯科技有限公司上海312在建超120億元芯恩(青島)集成電路有限公司青島3、28、12在建150億元杭州積海半導體有限公司杭州612在建一期二期合計350億元圖表69:2022年全球晶圓廠設備分類市場占比請參閱附注免責聲明資料來源:Gartner,公司公告,京儀裝備公告,中郵證券研究所7850.8%11.5%8.9%5.6%5.6%5.2%2.6%2.0%7.8%科磊半導體應用材料日立雷泰光電創新科技阿斯麥新星測量儀器康鈦科技其他55.3%9.1%7.2%4.3%2.9%1.9%0.5%18.8%科磊半導體應用材料日立雷泰光學阿斯麥康鈦科技迪恩士其他2020年全球檢測和量測設備競爭格局20
190、20年中國檢測和量測設備競爭格局量檢測國產化率50099.99%95%最終總良品率每道工序的良品率工序數量50099.98%90%最終總良品率每道工序的良品率工序數量從FinFET到GAA構架的過渡,帶來了額外的流程復雜性工藝數量(道)50%關鍵檢查層的數量增加量檢測步驟數量量檢測驅動三:先進制程推進催漲量檢測需求30%高精度/性能薄膜量檢測的數量增加Gen4Gen5Gen4Gen5高精度/性能薄膜高精度/性能薄膜量檢測步驟數量FinFETGAA81請參閱附注免責聲明 先進封裝技術相比于傳統的芯片制造,引入了一系列復雜的封裝結構及材料。這種復雜度的增加對生產過程的質量控制帶來了新的挑戰,特別是
191、針對量檢測的需求,隨著集成度提高和功能復雜性的增加,量檢測成為確保產品質量和性能的關鍵步驟,一方面,先進封裝要求前道級別的過程控制,另一方面,先進封裝的IC基板需要晶圓級工藝和檢測。資料來源:KLA,中郵證券研究所圖表75:先進封裝的幾個關鍵工藝及其相關的量檢測需求量檢測驅動四:先進封裝要求前道級別的過程控制關鍵工藝相關量檢測需求3D堆疊與組裝對于3D堆疊,需要精確地測量晶圓之間的垂直距離以及每個組件的位置精度,包括晶圓厚度、層間距離、以及微小的元件定位誤差。利用激光掃描儀、光刻設備配合高精度的圖像處理技術進行檢測。硅中介層硅中介層用于提供額外的空間以便于將不同晶片連接起來。需要監測其厚度、平
192、整度以及與封裝基板的匹配程度,確保良好的導電性和機械強度。通常采用光學干涉法、原子力顯微鏡等技術進行測量。凸塊(Bumping)凸塊工藝通過形成金屬球狀突起在晶圓表面,用于后續的互連。重要的是監測凸塊的高度一致性、尺寸精確度、表面粗糙度以及與下一層電路接觸的可靠性。使用三維成像系統如相干光模式識別等方法來評估質量。倒裝芯片焊接(Flip Chip Bonding)倒裝芯片焊接需要精確的熱管理和壓力控制來確保焊點的可靠性和電氣性能。需要監測焊料的分布、形狀、大小、以及與目標表面的貼合情況。熱像儀、CT掃描等技術可用于分析焊接效果。封裝材料與粘接劑封裝過程中使用的各種粘接劑和填充材料需保證其均勻性
193、、粘附力、耐溫性以及化學穩定性。這涉及材料成分比例、流變特性、固化程度等多個方面的檢測,常采用拉伸測試、X射線衍射、SEM掃描電子顯微鏡等手段。1D2D2.5D3D硅中介層、TSV存儲堆疊 邏輯堆疊系統級封裝SiP邏輯 存儲82四盈利預測83請參閱附注免責聲明盈利預測資料來源:公司公告,中郵證券研究所 銷售專用設備:刻蝕設備:公司的等離子體刻蝕設備已批量應用在國內外一線客戶從65納米到14納米、7納米和5納米及更先進的集成電路加工制造生產線及先進封裝生產線,針對先進邏輯和存儲器件制造中關鍵刻蝕工藝的高端產品新增付運量顯著提升,CCP和ICP刻蝕設備的銷售增長和在國內主要客戶芯片生產線上市占率均
194、大幅提升。24H1刻蝕設備新增訂單39.4億元,同比+50.7%,其中ICP開啟放量。工藝覆蓋方面,超高深寬比掩膜、超高深寬比介質刻蝕、晶圓邊緣Bevel刻蝕等進展順利?;诖?,我們測算刻蝕設備2024/2025/2026 年 的 營 收 增 速 分 別 為 45.71%/47.06%/34.60%,毛 利 率 分 別 為45.35%/46.00%/46.67%。MOCVD設備:24H1 MOCVD設備實現收入1.52億元,同比-49.04%,主要因為公司在藍綠光LED生產線和Mini-LED產業化中保持絕對領先的地位,該終端市場近兩年處于下降趨勢。公司緊跟MOCVD市場發展機遇,積極布局用于
195、碳化硅和氮化鉀基功率器件應用的市場,并在Micro-LED和其他顯示領域的專用MOCVD設備開發上取得良好進展,已付運和將付運幾種MOCVD新產品進入市場?;诖?,我們測算刻蝕設備2024/2025/2026年的營收增速分別為-20.44%/18.70%/13.32%,毛利率保持35%。薄膜設備:新產品LPCVD設備實現首臺銷售,24H1確認收入0.28億元。24H1 LPCVD新增訂單1.68億元,新產品開始啟動放量。公司目前已有多款新型設備產品進入市場,其中部分設備已獲得重復性訂單,其他多個關鍵薄膜沉積設備研發項目正在順利推進。鎢系列薄膜沉積產品可覆蓋存儲器件所有鎢應用,并已完成多家邏輯和
196、存儲客戶對 CVD/HAR/ALD W 鎢設備的驗證,取得了客戶訂單。EPI設備已順利進入客戶驗證階段?;诖?,我們假設該設備2024/2025/2026年的營收分別為1/3.5/7億元,毛利率保持50%。備品備件及服務:該業務隨著設備銷售規模穩健增長。84請參閱附注免責聲明盈利預測資料來源:iFind,公司公告,中郵證券研究所注:部分毛利率為推測數據產品線產品線(單位:百萬元)(單位:百萬元)2021202120222022202320232024E2024E2025E2025E2026E2026E專用設備專用設備銷售收入25073847516673211086514760增長率39.38%
197、53.47%34.26%41.73%48.41%35.85%毛利105817382333328749646854毛利率42.20%45.18%45.17%44.90%45.69%46.44%刻蝕刻蝕銷售收入2004 3147 4703 6853 10078 13565 增長率57.06%49.42%45.71%47.06%34.60%毛利888 1479 2174 3108 4636 6331 毛利率44.32%47.00%46.22%45.35%46.00%46.67%CCP44.32%47.00%銷售收入1500 2330 3359 483760477558增長率31.69%55.36%4
198、4.15%44.00%25.00%25.00%毛利675 1119 1583 222528423628毛利率45.00%48.00%47.11%46.00%47.00%48.00%ICP銷售收入504 817 1344 201640316006增長率236.00%62.10%64.47%50.00%100.00%49.00%毛利213 361 591 88317942703毛利率42.30%44.15%44.00%43.80%44.50%45.00%MOCVDMOCVD銷售收入503 700 463 368437495增長率39.17%-33.92%-20.44%18.70%13.32%毛利1
199、70 259 160 129 153 173 毛利率33.77%37.01%34.50%35.00%35.00%35.00%其他設備(薄膜等)其他設備(薄膜等)銷售收入100350700增長率-250.00%100.00%毛利50175350毛利率50.00%50.00%50.00%備品備件備品備件銷售收入556835971105911541258增長率25.79%50.27%40.00%9.00%9.00%9.00%毛利261391469508555607毛利率46.92%46.88%48.30%48.00%48.10%48.30%設備維護設備維護銷售收入4557127138151164增長
200、率37.91%26.82%18.00%9.00%9.00%9.00%毛利293868758189毛利率63.64%66.48%53.68%54.00%54.00%54.00%合計合計銷售收入310847406,2648,51812,16916,182增長率36.71%52.51%32.15%35.99%42.87%32.97%毛利1,3482,1682,8713,8705,6007,550毛利率43.36%45.74%45.83%45.43%46.02%46.66%85請參閱附注免責聲明可比公司估值資料來源:iFind,中郵證券研究所注:中微公司的歸母凈利潤預測值采用中郵證券研究所預測值;其他
201、公司的歸母凈利潤預測值均采用iFind一致預期值。2024/11/14證券簡稱證券代碼總市值歸母凈利潤(億元)PE(億元)TTM2024E2025E2026ETTM2024E2025E2026E北方華創002371.SZ2395 54.77 57.78 77.97 100.34 43.73 41.45 30.72 23.87 拓荊科技688072.SH572 6.63 7.01 10.36 14.06 86.29 81.61 55.19 40.67 盛美上海688082.SH500 9.96 11.80 15.75 19.93 50.15 42.32 31.72 25.06 華海清科68812
202、0.SH465 8.81 10.09 13.22 16.45 52.82 46.10 35.18 28.27 中科飛測688361.SH325 0.09 1.13 2.81 4.95 3,485.67 287.26 115.84 65.70 精測電子300567.SZ210 2.45 2.27 3.45 4.59 85.76 92.70 60.90 45.81 芯源微688037.SH216 1.38 2.54 3.81 5.38 156.30 85.03 56.60 40.09 萬業企業600641.SH172-0.44 0.91 1.48 2.19-390.10 190.10 115.94
203、 78.77 至純科技603690.SH113 3.74 3.98 5.62 6.80 30.34 28.53 20.20 16.70 均值99.46 58.03 40.55 中微公司688012.SH1462 15.39 15.12 26.77 37.26 95.01 96.73 54.63 39.24 86請參閱附注免責聲明風險提示資料來源:公司公告,中郵證券研究所 下游客戶擴產不及預期的風險,員工股權激勵帶來的公司治理風險,政府支持與稅收優惠政策變動的風險,供應鏈風險,行業政策變化風險,國際貿易摩擦加劇風險,知識產權風險,人才資源風險,投資風險,研發投入不足導致技術被趕超或替代的風險。8
204、7請參閱附注免責聲明公司財務報表和主要財務比率資料來源:公司公告,中郵證券研究所財務報表和主要財務比率財務報表和主要財務比率財務報表財務報表(百萬元百萬元)2023A2023A2024E2024E2025E2025E2026E2026E主要財務比率主要財務比率2023A2023A2024E2024E2025E2025E2026E2026E利潤表利潤表成長能力成長能力營業收入營業收入6,263.51 6,263.51 8,517.74 8,517.74 12,169.16 12,169.16 16,181.71 16,181.71 營業收入32.15%35.99%42.87%32.97%營業成本
205、3,393.24 4,648.17 6,569.11 8,631.40 營業利潤56.81%-14.59%77.32%39.27%稅金及附加11.84 23.85 34.07 45.31 歸屬于母公司凈利潤52.67%-15.36%77.09%39.20%銷售費用491.73 562.17 754.49 922.36 獲利能力獲利能力管理費用343.67 425.89 608.46 792.90 毛利率45.83%45.43%46.02%46.66%研發費用816.65 1,277.66 1,642.84 2,119.80 凈利率28.51%17.75%22.00%23.03%財務費用-87.
206、24-134.89-146.96-151.48 ROE10.02%7.68%11.95%14.24%資產減值損失-10.84-25.00-25.00-25.00 ROIC6.33%6.88%11.09%13.44%營業利潤營業利潤1,980.32 1,980.32 1,691.30 1,691.30 2,998.93 2,998.93 4,176.60 4,176.60 償債能力償債能力營業外收入33.02 8.00 8.00 8.00 資產負債率17.20%24.13%26.96%28.55%營業外支出2.96 2.80 2.90 2.90 流動比率4.16 3.00 2.68 2.57 利
207、潤總額利潤總額2,010.38 1,696.50 3,004.03 4,181.70 營運能力營運能力所得稅226.40 186.61 330.44 459.99 應收賬款周轉率7.02 6.65 7.14 6.83 凈利潤凈利潤1,783.98 1,509.88 2,673.59 3,721.72 存貨周轉率0.89 0.93 0.94 0.91 歸母凈利潤歸母凈利潤1,785.91 1,511.55 2,676.75 3,725.97 總資產周轉率0.30 0.36 0.43 0.48 每股收益(元)每股收益(元)2.87 2.43 4.30 5.99 每股指標(元)每股指標(元)資產負債
208、表資產負債表每股收益2.87 2.43 4.30 5.99 貨幣資金7,090.41 8,006.82 8,232.51 9,599.05 每股凈資產28.65 31.63 36.00 42.06 交易性金融資產1,868.93 1,577.94 1,577.94 1,577.94 估值比率估值比率應收票據及應收賬款1,213.27 1,528.20 2,182.52 2,941.23 PE81.87 96.73 54.63 39.24 預付款項112.46 107.07 162.97 221.02 PB8.20 7.43 6.53 5.59 存貨4,260.34 5,742.79 8,255
209、.47 10,784.44 流動資產合計流動資產合計15,087.50 17,566.04 21,054.30 25,805.97 現金流量表現金流量表固定資產1,987.61 2,716.61 3,545.26 4,387.45 凈利潤1,783.98 1,509.88 2,673.59 3,721.72 在建工程848.80 1,036.29 1,178.39 1,286.70 折舊和攤銷175.54 264.08 301.90 353.63 無形資產686.94 788.33 874.15 966.96 營運資本變動-2,237.66 627.87-1,255.32-1,196.06 非
210、流動資產合計非流動資產合計6,438.05 8,366.86 9,604.44 10,808.56 其他-698.79 102.49-162.01-185.31 資產總計資產總計21,525.55 25,932.90 30,658.74 36,614.53 經營活動現金流凈額經營活動現金流凈額-976.93 2,504.32 1,558.16 2,693.99 短期借款0.00 0.00 0.00 0.00 資本開支-866.68-1,746.22-1,362.41-1,401.18 應付票據及應付賬款1,305.11 1,795.54 2,519.71 3,320.08 其他2,694.01
211、-208.31 43.10 86.90 其他流動負債2,318.51 4,062.58 5,345.66 6,734.37 投資活動現金流凈額投資活動現金流凈額1,827.33-1,954.53-1,319.31-1,314.28 流動負債合計流動負債合計3,623.63 5,858.12 7,865.37 10,054.45 股權融資372.28 489.85 0.00 0.00 其他78.82 399.54 399.54 399.54 債務融資0.00 3.51 0.00 0.00 非流動負債合計非流動負債合計78.82 399.54 399.54 399.54 其他-149.69-119
212、.08-13.17-13.17 負債合計負債合計3,702.44 6,257.67 8,264.92 10,453.99 籌資活動現金流凈額籌資活動現金流凈額222.60 374.28-13.17-13.17 股本619.28 622.21 622.21 622.21 現金及現金等價物凈增加額現金及現金等價物凈增加額1,085.49 916.41 225.69 1,366.54 資本公積金13,317.39 13,804.32 13,804.32 13,804.32 未分配利潤3,570.69 4,707.46 7,027.70 10,239.77 少數股東權益-3.02-4.69-7.86-
213、12.11 其他318.76 545.94 947.46 1,506.35 所有者權益合計所有者權益合計17,823.11 19,675.23 22,393.82 26,160.54 負債和所有者權益總計負債和所有者權益總計21,525.55 25,932.90 30,658.74 36,614.53 88請參閱附注免責聲明感謝您的信任與支持!THANK YOU吳文吉(首席分析師)SAC編號:S1340523050004郵箱:翟一夢(研究助理)SAC編號:S1340123040020郵箱:89請參閱附注免責聲明免責聲明分析師聲明撰寫此報告的分析師(一人或多人)承諾本機構、本人以及財產利害關系人
214、與所評價或推薦的證券無利害關系。本報告所采用的數據均來自我們認為可靠的目前已公開的信息,并通過獨立判斷并得出結論,力求獨立、客觀、公平,報告結論不受本公司其他部門和人員以及證券發行人、上市公司、基金公司、證券資產管理公司、特定客戶等利益相關方的干涉和影響,特此聲明。免責聲明中郵證券有限責任公司(以下簡稱“中郵證券”)具備經中國證監會批準的開展證券投資咨詢業務的資格。本報告信息均來源于公開資料或者我們認為可靠的資料,我們力求但不保證這些信息的準確性和完整性。報告內容僅供參考,報告中的信息或所表達觀點不構成所涉證券買賣的出價或詢價,中郵證券不對因使用本報告的內容而導致的損失承擔任何責任??蛻舨粦?/p>
215、本報告取代其獨立判斷或僅根據本報告做出決策。中郵證券可發出其它與本報告所載信息不一致或有不同結論的報告。報告所載資料、意見及推測僅反映研究人員于發出本報告當日的判斷,可隨時更改且不予通告。中郵證券及其所屬關聯機構可能會持有報告中提到的公司所發行的證券頭寸并進行交易,也可能為這些公司提供或者計劃提供投資銀行、財務顧問或者其他金融產品等相關服務。證券期貨投資者適當性管理辦法于2017年7月1日起正式實施,本報告僅供中郵證券客戶中的專業投資者使用,若您非中郵證券客戶中的專業投資者,為控制投資風險,請取消接收、訂閱或使用本報告中的任何信息。本公司不會因接收人收到、閱讀或關注本報告中的內容而視其為專業投
216、資者。本報告版權歸中郵證券所有,未經書面許可,任何機構或個人不得存在對本報告以任何形式進行翻版、修改、節選、復制、發布,或對本報告進行改編、匯編等侵犯知識產權的行為,亦不得存在其他有損中郵證券商業性權益的任何情形。如經中郵證券授權后引用發布,需注明出處為中郵證券研究所,且不得對本報告進行有悖原意的引用、刪節或修改。中郵證券對于本申明具有最終解釋權。90請參閱附注免責聲明免責聲明投資評級說明中郵證券研究所公司簡介中郵證券有限責任公司,2002年9月經中國證券監督管理委員會批準設立,注冊資本50.6億元人民幣。中郵證券是中國郵政集團有限公司絕對控股的證券類金融子公司。公司經營范圍包括:證券經紀;證
217、券自營;證券投資咨詢;證券資產管理;融資融券;證券投資基金銷售;證券承銷與保薦;代理銷售金融產品;與證券交易、證券投資活動有關的財務顧問。此外,公司還具有:證券經紀人業務資格;企業債券主承銷資格;滬港通;深港通;利率互換;投資管理人受托管理保險資金;全國銀行間同業拆借;作為主辦券商在全國中小企業股份轉讓系統從事經紀、做市、推薦業務資格等業務資格。公司目前已經在北京、陜西、深圳、山東、江蘇、四川、江西、湖北、湖南、福建、遼寧、吉林、黑龍江、廣東、浙江、貴州、新疆、河南、山西、上海、云南、內蒙古、重慶、天津、河北等地設有分支機構,全國多家分支機構正在建設中。中郵證券緊緊依托中國郵政集團有限公司雄厚的實力,堅持誠信經營,踐行普惠服務,為社會大眾提供全方位專業化的證券投、融資服務,幫助客戶實現價值增長,努力成為客戶認同、社會尊重、股東滿意、員工自豪的優秀企業。北京北京郵箱:地址:北京市東城區前門街道珠市口東大街17號郵編:100050上海上海郵箱:地址:上海市虹口區東大名路1080號大廈3樓郵編:200000深圳深圳郵箱:地址:深圳市福田區濱河大道9023號國通大廈二樓郵編:51804891